TWI570832B - 基板支持組件之產生局部磁場的元件 - Google Patents
基板支持組件之產生局部磁場的元件 Download PDFInfo
- Publication number
- TWI570832B TWI570832B TW101132350A TW101132350A TWI570832B TW I570832 B TWI570832 B TW I570832B TW 101132350 A TW101132350 A TW 101132350A TW 101132350 A TW101132350 A TW 101132350A TW I570832 B TWI570832 B TW I570832B
- Authority
- TW
- Taiwan
- Prior art keywords
- substrate
- current loops
- magnetic field
- substrate support
- semiconductor substrate
- Prior art date
Links
- 239000000758 substrate Substances 0.000 title claims description 181
- 238000005530 etching Methods 0.000 claims description 38
- 238000012545 processing Methods 0.000 claims description 32
- 239000004065 semiconductor Substances 0.000 claims description 28
- 238000000034 method Methods 0.000 claims description 20
- 238000010438 heat treatment Methods 0.000 claims description 14
- 239000000919 ceramic Substances 0.000 claims description 12
- 238000001020 plasma etching Methods 0.000 claims description 7
- 238000009832 plasma treatment Methods 0.000 claims description 4
- 239000004020 conductor Substances 0.000 description 31
- 210000002381 plasma Anatomy 0.000 description 27
- 235000012431 wafers Nutrition 0.000 description 15
- 239000000463 material Substances 0.000 description 6
- 238000010292 electrical insulation Methods 0.000 description 5
- 238000009413 insulation Methods 0.000 description 4
- 238000012937 correction Methods 0.000 description 3
- 239000011810 insulating material Substances 0.000 description 3
- 239000012212 insulator Substances 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 239000000843 powder Substances 0.000 description 3
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 2
- 238000004458 analytical method Methods 0.000 description 2
- 239000012809 cooling fluid Substances 0.000 description 2
- 238000005305 interferometry Methods 0.000 description 2
- 230000002093 peripheral effect Effects 0.000 description 2
- 230000035945 sensitivity Effects 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 239000007858 starting material Substances 0.000 description 2
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 1
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 1
- 239000004593 Epoxy Substances 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 239000011230 binding agent Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 229910052802 copper Inorganic materials 0.000 description 1
- 239000010949 copper Substances 0.000 description 1
- 125000006612 decyloxy group Chemical group 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 125000003700 epoxy group Chemical group 0.000 description 1
- NBVXSUQYWXRMNV-UHFFFAOYSA-N fluoromethane Chemical compound FC NBVXSUQYWXRMNV-UHFFFAOYSA-N 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- 230000002452 interceptive effect Effects 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- SIWVEOZUMHYXCS-UHFFFAOYSA-N oxo(oxoyttriooxy)yttrium Chemical compound O=[Y]O[Y]=O SIWVEOZUMHYXCS-UHFFFAOYSA-N 0.000 description 1
- 230000000149 penetrating effect Effects 0.000 description 1
- 229920003223 poly(pyromellitimide-1,4-diphenyl ether) Polymers 0.000 description 1
- 229910001220 stainless steel Inorganic materials 0.000 description 1
- 239000010935 stainless steel Substances 0.000 description 1
- 239000002470 thermal conductor Substances 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3266—Magnetic control means
- H01J37/32669—Particular magnets or magnet arrangements for controlling the discharge
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67017—Apparatus for fluid treatment
- H01L21/67063—Apparatus for fluid treatment for etching
- H01L21/67069—Apparatus for fluid treatment for etching for drying etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01F—MAGNETS; INDUCTANCES; TRANSFORMERS; SELECTION OF MATERIALS FOR THEIR MAGNETIC PROPERTIES
- H01F7/00—Magnets
- H01F7/06—Electromagnets; Actuators including electromagnets
- H01F7/20—Electromagnets; Actuators including electromagnets without armatures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
- H01L21/6833—Details of electrostatic chucks
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Chemical & Material Sciences (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Analytical Chemistry (AREA)
- Plasma & Fusion (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Drying Of Semiconductors (AREA)
- Electromagnetism (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
- Plasma Technology (AREA)
Description
於此揭露基板支持組件的元件,其具有數個電流迴路,適合在電漿處理由基板支持組件所支持之半導體基板的期間產生小型磁場並補償差異。此元件可為邊緣環或如可調式靜電夾頭(ESC,electrostatic chuck)的基板支持件,其能提升對於臨界尺寸(CD,critical dimension)一致性的控制。
在本說明書中,當參照或論及文件、作為或某項知識時,該參照或論述並非承認該文件、作為、或該項知識、或其任意組合在優先權日時已公開使用、為公眾所知悉、係通常知識的一部分、或在適用的法律條文下以其他方式構成先前技術;或者是眾所皆知其和嘗試解決本說明書所關注之任何問題有關。
共有之美國專利號第6,921,724號揭露用於蝕刻晶圓的蝕刻處理器,包含支承晶圓的ESC與回報晶圓溫度的溫度感測器。夾頭具有由溫度控制系統控制的加熱器,且溫度感測器和溫度控制系統共同運作以維持ESC的溫度在可選的設定點溫度上。選擇第一設定點溫度與第二設定點溫度。將晶圓放在夾頭上並設定在第一設定點溫度。接著將晶圓在第一設定點溫度上處理達第一段時間並在第二設定點溫度上處理達第二段時間。
共有之美國專利號第6,847,014號揭露用於電漿處理器的ESC,包含溫控基座、絕熱器、平面支持件、與加熱器。溫控基座的溫度低於基板的所需溫度。絕熱器置於溫控基座之上。平面支持件支承基板並置於絕熱器之上。加熱器嵌在平面支持件內且/或置於平面支持件的底側並包括加熱數個加熱區的數個對應加熱單元。各個加熱單元的所供電力及/或溫度皆為獨立控制。
共有之美國專利公開案第2011/0092072號揭露用於半導體電漿
處理設備中基板支持組件的加熱板,包含以可縮放多工布局配置之多個獨立控制的平面加熱區以及電子零件以獨立控制並供電給平面加熱區。
因此,需要一種基板支持組件(例如包含ESC或邊緣環的基板支
持組件)的元件,能夠針對方位性電漿處理率的不一致進行空間校正及/或調整以校正薄膜厚度差異、蝕刻腔引起之蝕刻率的不一致以及大型磁場(源自電漿生成)引起的不一致。
雖然已論及習知技術的特定態樣以利於揭示本發明,然而發明
人絕非放棄請求該等技術態樣之權利,且當知所請發明可涵蓋或包含一或多個此處所論之習知技術態樣。
於此揭露基板支持組件的元件,包含邊緣環或基板支持件,其
內含數個電流迴路以於電漿處理至少一個半導體基板的期間在電漿中產生小型磁場。該元件產生局部磁場而無需永久磁鐵或鐵芯。磁場小到足以避免損害正在處理半導體基板的電路、但大到足以影響電漿以能增加或減少局部電漿處理,例如電漿蝕刻期間的蝕刻率。針對局部電漿處理率的空間調整可補償薄膜厚度差異、腔室不一致及/或磁場引起的不一致。
在例如蝕刻的電漿處理期間,可將電流迴路通電以操作電漿並
使針對方位性電漿的空間調整生效以校正薄膜厚度差異、腔室不一致及/或磁場引起的不一致。
10‧‧‧基底板
20‧‧‧冷卻流體通道
30‧‧‧絕熱層
40‧‧‧加熱板
50‧‧‧加熱區
60‧‧‧陶瓷板
70‧‧‧基板
100‧‧‧基板支持組件
110‧‧‧邊緣環
130‧‧‧導線
150‧‧‧(載流)導體/電流迴路
180、190‧‧‧區域
圖1呈現包含ESC之基板支持組件的橫剖面圖。
圖2呈現依照一實施例的基板支持組件之元件的上視圖(圖2A)以及與其相關之垂直外加磁場的橫剖面圖(圖2B)。
圖3呈現依照一實施例的基板支持組件之元件的透視圖。
圖4呈現依照另一實施例的基板支持組件之元件的上視圖。
圖5呈現依照又一實施例的基板支持組件之元件的上視圖。
圖6呈現依照再一實施例的基板支持組件之元件的上視圖。
圖7呈現依照一實施例而環繞基板支持件之元件的上視圖。
圖8呈現依照一實施例而環繞基板支持件之元件的透視圖。
圖9呈現依照另一實施例而環繞基板支持件之元件的上視圖。
圖10呈現依照一實施例的基板支持件之元件以及依照一實施例而環繞該基板支持件之元件的上視圖。
圖11呈現依照另一實施例的基板支持件之元件以及依照另一實施例而環繞該基板支持件之元件的上視圖。
圖12呈現依照又一實施例的基板支持件之元件以及依照又一實施例而環繞該基板支持件之元件的上視圖。
圖13呈現在部分蝕刻基板之後蝕刻率型態的上視圖。
圖14呈現在最終蝕刻基板之後蝕刻率型態的上視圖。
藉由在方位角上對於基板溫度進行少量校正(例如<5℃)而對基板進行精確的方位性CD控制可處理對於基板溫度敏感(例如高到1 nm/℃)的CD一致性。舉例而言,即使具備方位性對稱的蝕刻室設計,然而由於薄膜較薄的基板區域會經歷比基板上其他區域更快的薄膜清除,所以薄膜厚度的不一致會造成方位性蝕刻率的不一致。硬體中的少量差異亦會導致方位性蝕刻率的不一致(例如<1%)。例如用於電漿生成而施加的大型DC磁場(例如>20 Gauss)可以是電漿蝕刻中蝕刻率不一致的來源。此類磁場會對電漿中電子造成由F=ExB加以定義(其中E為電漿中的電場且B為磁場)的外力F,其在電漿蝕刻期間造成電漿中方位性的不一致,而此類電漿中的不一致會導致蝕刻率的不一致。
圖1呈現基板70與包含可調式ESC的基板支持組件100的剖面圖。可調式ESC包含冷卻流體通道20係設置成貫穿其中的基底板10。絕熱層30係設置在基底板10上。加熱板40係設置在絕熱層30上且包含一批個別的加熱區50,其係橫向分布在基板支持件上且可針對CD控制而用以調整空間溫度分布。陶瓷板60係設置在加熱板40上。基板70係設置在陶瓷
板60上且透過嵌在陶瓷板中的靜電夾持電極(未呈現)而受ESC靜電夾持。當注意基板支持組件100可包含標準(或非可調式)ESC,以取代可調式ESC。基板支持組件適於支持直徑至少約200 mm、或直徑至少約300 mm或直徑至少約450 mm的基板。元件的材料並無特定限制。基底板10最好以合適的導熱體材料製作,例如鋁或不鏽鋼。陶瓷板60最好以合適的陶瓷材料製作,例如氧化鋁(Al2O3)或氮化鋁(AlN)。絕熱層30最好包含使基底板10黏附加熱板40的矽氧材料。最好使用環氧基、矽氧基、或冶金黏結劑來使加熱板40黏附陶瓷板60。
在運作情況(例如電漿蝕刻)下,用於電漿生成的DC磁場為眾所皆知之蝕刻率不一致的來源。舉例而言,和在電漿容積中經歷處理的基板之平面平行的磁場預期會引起方位性蝕刻率型態的不一致,其量值為外加磁場每一高斯會引起大約5%的蝕刻率不一致。眾所皆知薄膜厚度差異與蝕刻室硬體差異亦會造成方位性蝕刻率的不一致。
藉由使用外加DC磁場,所引起的不一致可用以調整方位性蝕刻率型態。該等外加磁場相當小(例如<20高斯或<10高斯,最好是1高斯或1/2高斯)且能針對電漿處理進行局部調整,例如調整蝕刻率而不大幅影響其他蝕刻參數(例如CD一致性、基板溫度)。舉例而言,相當小的外加磁場亦使得被蝕刻基板上的電路所受的可能損害降到最小。因此,當在蝕刻製程中偵測到例如由薄膜厚度差異、蝕刻室硬體與電漿磁場所引起之方位性蝕刻率的不一致時,在基板之上且由基板支持件產生的局部磁場就可用以調整方位性蝕刻率型態。在例如電漿輔助沉積的其他電漿處理中亦可獲致類似的結果。
要施加此類的局部磁場,至少一個載流導體(電流迴路)要予以通電。圖2圖示嵌在基板支持組件100之元件中的載流導體150(圖2A)。當DC電流流經載流導體150時,磁場主要產生在垂直基板支持組件100與基板70的方向上(圖2B)。在運作情況(例如電漿蝕刻)下,導體150係和基板支持組件100的其他元件分開運作,例如提供RF至基底板10與供電至加熱板40以及供應電壓至ESC。當藉由貫穿該元件主體的電導線來供應DC電力至導體150時,其即適於產生DC磁場。
為產生小型磁場,數個導體150係在基板支持件及/或邊緣環上
橫向分隔開而位於適合的位置上,以產生能有效校正及/或調整電漿處理(例如蝕刻率型態)的外加磁場。導體150可位在例如ESC陶瓷的元件(如陶瓷板60)中。導體150可位在例如基底板10的其他元件中。導體150亦可位在例如邊緣環之鄰近基板支持件的硬體中。載流導體150最好置於基底板10的內側,使得由流經導體內側的電流所產生之任何熱能皆不會實質改變基板溫度。若導體150內含在基底板10中,則其最好為具備電氣絕緣護皮的電線。
載流導體150最好包含電線、電纜或導電軌跡,其和週遭環境
為電氣絕緣以確保外加DC電流僅會流入導體內而不會流入導體所嵌入的基板支持元件中。電氣絕緣可透過在載流導體150周圍提供一或多層的電氣絕緣層而達成。舉例而言,若載流導體150係設置在導電元件中,則一或多層的電氣絕緣材料薄層或護皮係繞著該導體設置以達電氣絕緣。電氣絕緣材料可包含凱通(Kapton)膜(聚亞醯胺膜)、環氧膜、矽氧膜與其組合。
若載流導體150係設置在非導電元件中,則要達成電氣絕緣就無需一或多層的電氣絕緣材料薄層或護皮。導體150材料最好包含銅,但可由具合適導電性的材料構成。
導體150可設置在基板支持件的元件中而使其形成電流迴路
150。電流迴路150可在該元件中形成和基板70上表面的平面相關之任何所需外形,且其最好為圓形或半圓形。其他外形可為橢圓形、半橢圓形、方形、矩形、梯形、三角形、或其他多邊形。若選擇電線作為導體150以內含在陶瓷板60中,則可將電線置放在模具的所需位置中,而該模具含有元件的粉末起始材料。接著烘製該模造元件以形成該元件。若選擇導電軌跡作為導體150,則軌跡的粉末起始材料可在粉末模造物中形成圖案、並接著烘烤該模造物以形成元件。若選擇導電軌跡作為導體150且其係置於元件的外表面上,則可將金屬或其他材料電鍍在元件上、並接著蝕刻該金屬或其他材料以形成元件上的電流迴路。若選擇獨立電線作為導體150且其係形成在元件的上表面上,則可以機器在該表面製出尺寸適合容納該電線的溝槽,且該絕緣電線係可藉由合適的黏結劑而裝在該溝槽中。
電流迴路150可透過連接至此的電導線來供應DC電力。圖3
呈現基板支持組件100的透視圖,包含電流迴路150及用於電力供應(向上箭頭)與電力回送(向下箭頭)的導線130。電流迴路150係設置在基底板10之中或之上。該等導線係相隔數mm,致使產生在導線上的磁場(尤其是鄰近電流迴路150的那些)彼此抵銷而不會干擾在受蝕刻的基板70(圖2A)之上的磁場。
一或多個電流迴路可包含單獨一圈。然而,包含數圈以形成線
圈或類似線圈的結構之一或多個電流迴路亦受思量。線圈或類似線圈的結構可減少在蝕刻製程中用以產生外加磁場所需的DC電流。一或多個電流迴路之實施例最好係設置在平行於基板的平面中。然而,若將一或多個電流迴路配置在不平行於基板的平面中係為所需,則可進行該類配置。
載流導體150的尺寸並無特定限制,只要該尺寸能使其外加磁
場能有效校正及/或調整電漿以達成一致性的處理,例如方位性蝕刻率型態。可將載流導體150的長度選成能使對應的電流迴路150可形成為所需形狀。舉例而言,若要蝕刻直徑300mm的晶圓,則藉由形成為迴路直徑介於約1-150mm且最好是1-75mm之間的單一圓形電流迴路即可產生各個局部磁場。取決於基板支持件中電流迴路的外形與所需的電流迴路數量,個別電流迴路的長度可為5-1000mm,例如5-50mm或是50-1000mm,誠如在元件包含高達二百個電流迴路的情況中。載流導體150本身的直徑亦無特定限制,而可為任何會形成合適的外加局部磁場之直徑或尺寸。舉例而言,若要蝕刻直徑300mm的晶圓,則電流迴路可為直徑介約0.5mm-10mm之間且最好是0.5mm-5mm之間的電線。若是以導電軌跡作為該電流迴路150,則該軌跡可形成為矩形,其厚度介於約0.5mm-10mm且最好是0.5mm-5mm之間,而寬度則介於約0.5mm-10mm且最好是0.5mm-5mm之間。電流流入電流迴路的方向並無特定限制且可為順時針或逆時針。流入電流迴路150的電流最好適於可來回切換電流方向,因而若有需要就可切換外加DC磁場的方向。
為用以說明,圖2呈現包含單一電流迴路150之基板支持組件
100的元件實施例。然而,要提供局部磁場,在基板支持件中就需要有數個
電流迴路150。數個電流迴路150能夠使得針對基板之上局部磁場強度所需的DC電流減少。數個電流迴路150的一個優點是每個迴路係可彼此獨立操作,致能供應多樣化的電力水準至各個電流迴路且可更有效地校正及/或調整處理的不一致。若數個電流迴路中的各個電流迴路150係獨立操作,便會賦予基板上的外加磁場更為精細的調整能力。數個電流迴路150最好連接到由控制器所控制之一或多個DC電源,致能同時或不同時以相同或不同的電力水準供電給該等迴路。一或多個DC電源最好包含多工供電結構且能供電給每個電流迴路150,致能透過時域多工處理來個別調整每個迴路。數個電流迴路中的各個電流迴路150之周邊在橫向上偏離鄰近電流迴路的周邊,致使重疊不會發生。數個電流迴路150最好以橫向對稱或等距的方式設置,致使垂直交叉元件中心而為迴路所設置的平面會產生元件各半邊的實質鏡像。元件中的電流迴路150最好是以預定型態加以配置,例如矩形格柵、六角形格柵、極性陣列或任何所需型態。
圖4呈現基板支持組件100的元件較佳實施例,其中基板支持
件包含數個電流迴路150。圖4呈現具備二個獨立電流迴路150的較佳實施例,其為D-形且其筆直接腳彼此相對。該等電流迴路150的尺寸可以相同或不同。各電流迴路150延伸最好小於約支持件或邊緣環的半圈。該等電流迴路150係呈現為朝基板支持元件的周邊區域設置,但亦可設置在任何所需的徑向位置上。當這二個迴路的電流是以相同方向(例如皆為順時針或皆為逆時針)施加時,就會產生類似圖2A所示的磁場。當這二個迴路的電流是以相反方向(例如一為順時針且一為逆時針)施加時,此外加磁場的特定部分會在基板中心上相互抵消。
圖5呈現基板支持組件100的元件較佳實施例,其中基板支持
件包含多個電流迴路150。圖5呈現具備四個獨立電流迴路150的較佳實施例,其各為D-形且其筆直接腳面朝內。和圖4所示相似,電流迴路150係呈現為朝基板支持元件的周邊區域設置,但亦可設置在任何所需的徑向位置上。和圖4中二個獨立迴路所產生的外加磁場的情況類似,四個電流迴路150能依四個電流迴路150之各者的電流方向而在基板之上產生多種方向的外加磁場。
圖6呈現具備圓形電流迴路之基板支持組件100的元件實施
例,其中藉由控制不同電流迴路150中的電流方向,就可在基板之上產生更複雜的磁場型態。圖6實施例包含九個獨立電流迴路150,其中八個外圈電流迴路環繞一個中心電流迴路。若有需要,電流迴路150的總數可明顯超過九個,且可高到約達二百個。電流迴路150越多,就能賦予基板之上的外加磁場更為精細的調整能力。
圖7呈現基板支持組件100的實施例,其中適於環繞基板支持
件的元件包含至少一個電流迴路150,且其中基板支持件並不包含電流迴路。該元件所產生的磁場抵消基板70最外緣的不一致。圖7呈現一實施例,其中邊緣環110包含設置在平行於基板70上表面之平面中的二個電流迴路150。電流迴路150係形成為塊狀的半圓形,其實質上環繞基板支持件且設置在邊緣環110的相對側邊。該等迴路彼此係獨立運作以致產生二個磁場。
電流迴路的主要接腳可在相同或不同平面上。圖8呈現設置在邊緣環110中之電流迴路150的透視圖。該迴路具備主要接腳,其在垂直方向上偏離用於電力供應(向上箭頭)與電力回流(向下箭頭)的電導線130。該等導線相隔數mm,使得產生在導線上的磁場(尤其是那些接近電流迴路150者)彼此抵消且不會干擾受蝕刻基板70之上的磁場(圖2A)。若有需要,邊緣環110可包含超過二個電流迴路150。圖9呈現一實施例,其中邊緣環110包含四個電流迴路150,且其中基板支持件不包含任何電流迴路。四個電流迴路150之各者係配置成在直徑上相對另一個迴路150。
圖10呈現基板支持組件100的元件實施例,其中基板支持件與
環繞基板支持件的元件110皆包含至少一個電流迴路150。增加至少一個電流迴路150至該類硬體(例如環繞基板支持件的邊緣環110)會將基板之上的外加磁場之效力擴及基板的70最外緣。在圖10的實施例中,基板支持件與邊緣環110各包含二個電流迴路150。內含在基板支持件中的電流迴路為D-形且其筆直接腳彼此相對。內含在邊緣環中的電流迴路偏離基板支持件的電流迴路90°。基板支持件與邊緣環中的電流迴路150彼此或相對基板表面可為或不為同平面。邊緣環110中的電流迴路150最好繞著其圓周的大部分延伸。
基板支持組件100所包含之電流迴路150的數量可大於二,如
圖11所示,其中基板支持件與邊緣環110二者各自包含四個電流迴路150。圖12呈現基板支持組件100的一實施例,其中支持件包含九個電流迴路150且邊緣環110包含十二個電流迴路150。基板支持件或邊緣環中所含的電流迴路150是以對稱的方式橫向分布。
電流迴路可內含於任何類型的基板支持件中,其可包含或可不
包含靜電夾持裝置、加熱裝置、及/或溫度控制基底板。在使用內含電流迴路之基板支持件來控制及/或調整蝕刻率型態的一較佳方法包含:將基板支持在基板支持件上,該基板支持件包含基底板、設置在該基底板之上的絕熱層、設置在該絕熱層之上的加熱板、設置在該絕熱層之上的陶瓷板、以及電流迴路;蝕刻設置在基板支持件上的基板;在已開始蝕刻之後,偵測蝕刻率的不一致,例如方位性蝕刻率的不一致;以及供應DC電力至一或多個電流迴路以產生用以校正及/或調整蝕刻率不一致的局部DC磁場。
方位性蝕刻率的不一致可如下所述般予以偵測。藉由使用標準
干涉測量術,包含待處理薄膜(例如在半導體基板之情況中的多矽薄膜)的基板係經偵測以判定在整個基板上不同位置的薄膜厚度。基板接著會經電漿蝕刻或部分蝕刻。在蝕刻或部分蝕刻之後,再次使用標準干涉測量術來測量薄膜的厚度。二個薄膜厚度測量結果之間的差異透過適當的演算法加以判定,其亦能產生基板表面上的蝕刻型態。從蝕刻率型態可判定殘存在基板上之薄膜厚度的平均深度、以及例如標準差與總體最大與最小深度的其他參數。該等參數係用以判定在後續蝕刻一批經歷相同蝕刻製程的晶圓期間,可在何處使用選擇性施加磁場以校正及/或調整方位性蝕刻率的不一致。
或者,可測量基板接續的晶圓厚度,可判定用以提供一致性蝕
刻的B-場(磁場)型態,並可執行一批基板的蝕刻作業。在另一方法中,可蝕刻一基板,可判定蝕刻用的方位性型態,判定磁場補償並在施加該磁場補償時蝕刻後續基板。在電漿蝕刻期間可監控蝕刻率或其他參數,且在電漿蝕刻製程期間可使電流迴路通電以補償局部蝕刻率的差異。
和圖7的配置相似,待蝕刻至約400nm深而在其表面上具有
1μm厚之氧化矽膜的矽晶圓被具備二個電流迴路的邊緣環所環繞,其中供應軌跡與回送軌跡非同平面。蝕刻作業可藉由使用氟碳化物的蝕刻氣體加以執行。將基板載入電漿蝕刻真空室並部份蝕刻至約200nm的深度、然後接著從該腔室中移除。在部分蝕刻之前與之後,透過測量基板上的薄膜厚度剖面,干擾測量術係用以判定蝕刻率的不一致。一演算法係用以從該等測量結果中產生蝕刻率型態。在分析該型態後,用以判定針對方位性蝕刻率的不一致所進行之校正及/或調整的參數係經決定。部分蝕刻係經決定以形成192.4nm的薄膜平均深度、其三σ標準差為19.2nm(10%)。總體最大與最小值之間的差值為31.9nm(16.6%)。蝕刻率型態的分析係呈現在圖13中。基板70上的(黑色)區域190係呈現為以快於(灰色)區域180的蝕刻率加以蝕刻。
接著對基板70上剩餘的薄膜部分進行蝕刻。在後續蝕刻期間,
供應DC電力至設置於邊緣環110中的電流迴路150。供應的DC電力能使該等迴路150產生3高斯的磁場。在完成蝕刻後,蝕刻率型態係如上所述般決定。此蝕刻作業造成平均189.5nm的薄膜厚度被移除、其三σ標準差為13.9nm(7.3%)。總體最大與最小值之間的差值為25.2nm(13.3%)。蝕刻率型態的分析係呈現在圖14中。基板70上的(灰色)區域190係呈現為以慢於(黑色)區域180的蝕刻率加以蝕刻。
因此,在外加DC磁場存在的情況下蝕刻基板可補償蝕刻率的
不一致並因而提供更一致的蝕刻率。當邊緣環中的電流迴路產生約3高斯的磁場時,方位性蝕刻率的不一致可降低約3.3.%(部分蝕刻之後的範圍-最終蝕刻之後的範圍)、其三σ標準差降低約2.7%(部分蝕刻之後的偏差-最終蝕刻之後的偏差)。此外,3高斯磁場的使用展現出在部分蝕刻中以較快蝕刻率蝕刻的區域可在最終蝕刻步驟中以較慢的蝕刻率加以蝕刻,因而校正方位性蝕刻率的不一致。相似地,3高斯磁場的使用展現出在部分蝕刻中以較慢蝕刻率蝕刻的區域可在最終蝕刻步驟中以較快的蝕刻率加以蝕刻,因而校正方位性蝕刻率的不一致。
在補償蝕刻率差異的程序方案中:
a.部分蝕刻晶圓並測量蝕刻率的不一致;b.施加磁場型態至晶圓之上的電漿(依據歷史知識);c.蝕刻另一晶圓,既然外加磁場已知,針對外加磁場型態判定蝕刻型態靈敏度;以及d.選擇性重覆步驟a-c以判定最佳的磁場型態。
在補償接續晶圓厚度差異的程序方案中:a.測量接續晶圓的厚度差異;b.施加磁場型態(依據歷史知識);c.蝕刻晶圓,既然外加磁場已知,針對外加磁場型態判定蝕刻型態靈敏度;以及d.選擇性重覆步驟a-c並依所需調整外加磁場。
所有上述的參考文獻皆以其整體納入本文作為參考,其程度就如同具體且單獨地引出各個獨立參考文獻而以其整體納入本文作為參考。
雖然已參照較佳實施例來描述本發明,但當知對於精於本技術者而言,顯然可採取多種變化與修正。該等變化與修正係視為落入隨附申請專利範圍所定義之本發明的範圍與範疇中。
100‧‧‧基板支持組件
110‧‧‧邊緣環
150‧‧‧(載流)導體/電流迴路
Claims (20)
- 一種基板支持組件的元件,用於支持經歷電漿處理的獨立半導體基板,該元件包含:一基板支持件及/或一邊緣環,該半導體基板在電漿處理期間能被支持在該基板支持件上,而該邊緣環圍繞該半導體基板;內含於該基板支持件及/或該邊緣環中的數個電流迴路,該等電流迴路係在橫向上分隔開且延伸小於環繞該基板支持件或邊緣環的半圈,在電漿處理被支持於該基板支持件上的該半導體基板期間,該等電流迴路的每一者係可用以在該半導體基板上產生磁場強度小於20高斯的局部DC磁場。
- 如申請專利範圍第1項之基板支持組件的元件,其中該基板支持件包含一基座板、在該基座板之上的一絕熱層、以及在該絕熱層之上而內嵌靜電夾持電極的一陶瓷板;且該等電流迴路係嵌於該基座板或該陶瓷板中,致使該等電流迴路實質上位在平行於該半導體基板之上表面的平面中。
- 如申請專利範圍第1項之基板支持組件的元件,其中該等電流迴路係嵌於該邊緣環中,致使該等電流迴路實質上位在平行於該半導體基板之上表面的平面中。
- 如申請專利範圍第1項之基板支持組件的元件,其中高達200個尺寸相同且具圓形外形的電流迴路係嵌於該基板支持件或該邊緣環中。
- 如申請專利範圍第1項之基板支持組件的元件,其中該等電流迴路之每一者具圓形、半圓形、橢圓形、半橢圓形、方形、矩形、梯形、三角形或多邊形的外形。
- 如申請專利範圍第1項之基板支持組件的元件,其中在該數個電流迴路中之各個電流迴路為形成直徑介於約0.5-10mm之間之線圈的電線。
- 如申請專利範圍第1項之基板支持組件的元件,其中在該數個電流迴路 中之各個電流迴路的外圍在橫向上偏離一鄰近電流迴路的外圍。
- 一種電漿處理室,含有如申請專利範圍第1項之基板支持組件的元件,其中該基板支持件包含加熱層,其具有橫向分布在整個該基板支持件上並可用以針對臨界尺寸(CD)控制而調整空間溫度分布之數個加熱器,該基板支持件包含至少9個電流迴路,其橫向分布在整個該基板支持件上並可用以補償在處理該半導體基板上之局部的不一致。
- 如申請專利範圍第8項之電漿處理室,其中該電漿處理室為電漿蝕刻室。
- 如申請專利範圍第8項之電漿處理室,其中該等電流迴路係連接至由控制器所控制之一或數個DC電源,致能在同一時間或不同時間以相同或不同的電力水準供應DC電力至該等電流迴路且在該等電流迴路中的電流流動可為相同方向或不同方向。
- 一種在電漿處理半導體基板期間控制及/或調整磁場型態的方法,而該半導體基板在如申請專利範圍第8項之電漿處理室中經歷處理作業,該方法包含:a)將一半導體基板支持在該基板支持件上;b)電漿處理該半導體基板;以及c)供應DC電力至該等電流迴路之至少一者以在該半導體基板之上一區域中產生局部DC磁場以補償處理中局部的不一致。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該等電流迴路係供應有不同的DC電量,且其電流在該等電流迴路之每一者中以順時針方向行進。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該等電流迴路係供應有不同的DC電量,且其電流在該等電流迴路之若干者中以不同方向行進。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該等電流迴路之每一者在該半導體基板之上產生磁場強度小於1高斯的局部磁場。
- 如申請專利範圍第14項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該磁場強度小於0.5高斯。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該基板支持件係由具有至少二個電流迴路的一邊緣環所環繞,而該等電流迴路之每一者係配置在該邊緣環的相對側邊上。
- 如申請專利範圍第16項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該邊緣環具有至少四個電流迴路,而該等電流迴路之每一者係配置成在直徑上相對該等電流迴路之另一者,且該等電流迴路之每一者具圓形、半圓形、橢圓形、半橢圓形、方形、矩形、梯形、三角形、或多邊形的外形。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該電漿處理為電漿蝕刻且在步驟a)與b)之後、步驟c)之前更包含:將該半導體基板從該電漿處理室中移除;偵測該半導體基板上蝕刻率型態中蝕刻率的不一致;以及修改步驟c)以補償薄膜厚度所引起之蝕刻率的不一致、蝕刻室所引起之蝕刻率的不一致或電漿所引起之蝕刻率的不一致。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁場型態的方法,其中該DC電力係從包含多工電力方案之至少一個DC電源所供應。
- 如申請專利範圍第11項之在電漿處理半導體基板期間控制及/或調整磁 場型態的方法,其中該基板支持件適於支持直徑為至少約200mm、至少約300mm或至少約4500mm的基板。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US13/234,473 US10388493B2 (en) | 2011-09-16 | 2011-09-16 | Component of a substrate support assembly producing localized magnetic fields |
Publications (2)
Publication Number | Publication Date |
---|---|
TW201327718A TW201327718A (zh) | 2013-07-01 |
TWI570832B true TWI570832B (zh) | 2017-02-11 |
Family
ID=47881056
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW101132350A TWI570832B (zh) | 2011-09-16 | 2012-09-05 | 基板支持組件之產生局部磁場的元件 |
Country Status (5)
Country | Link |
---|---|
US (2) | US10388493B2 (zh) |
JP (2) | JP6271427B2 (zh) |
KR (4) | KR20230098924A (zh) |
TW (1) | TWI570832B (zh) |
WO (1) | WO2013039718A1 (zh) |
Families Citing this family (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
DK2251453T3 (da) | 2009-05-13 | 2014-07-07 | Sio2 Medical Products Inc | Beholderholder |
US9545360B2 (en) | 2009-05-13 | 2017-01-17 | Sio2 Medical Products, Inc. | Saccharide protective coating for pharmaceutical package |
US9458536B2 (en) | 2009-07-02 | 2016-10-04 | Sio2 Medical Products, Inc. | PECVD coating methods for capped syringes, cartridges and other articles |
WO2011081645A2 (en) | 2009-12-15 | 2011-07-07 | Lam Research Corporation | Adjusting substrate temperature to improve cd uniformity |
US11624115B2 (en) | 2010-05-12 | 2023-04-11 | Sio2 Medical Products, Inc. | Syringe with PECVD lubrication |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
US9878101B2 (en) | 2010-11-12 | 2018-01-30 | Sio2 Medical Products, Inc. | Cyclic olefin polymer vessels and vessel coating methods |
US9272095B2 (en) | 2011-04-01 | 2016-03-01 | Sio2 Medical Products, Inc. | Vessels, contact surfaces, and coating and inspection apparatus and methods |
US9307578B2 (en) | 2011-08-17 | 2016-04-05 | Lam Research Corporation | System and method for monitoring temperatures of and controlling multiplexed heater array |
US10388493B2 (en) * | 2011-09-16 | 2019-08-20 | Lam Research Corporation | Component of a substrate support assembly producing localized magnetic fields |
US11116695B2 (en) | 2011-11-11 | 2021-09-14 | Sio2 Medical Products, Inc. | Blood sample collection tube |
CN103930595A (zh) | 2011-11-11 | 2014-07-16 | Sio2医药产品公司 | 用于药物包装的钝化、pH保护性或润滑性涂层、涂布方法以及设备 |
CA2890066C (en) | 2012-11-01 | 2021-11-09 | Sio2 Medical Products, Inc. | Coating inspection method |
EP2920567B1 (en) | 2012-11-16 | 2020-08-19 | SiO2 Medical Products, Inc. | Method and apparatus for detecting rapid barrier coating integrity characteristics |
US9764093B2 (en) | 2012-11-30 | 2017-09-19 | Sio2 Medical Products, Inc. | Controlling the uniformity of PECVD deposition |
JP6382830B2 (ja) | 2012-11-30 | 2018-08-29 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 医療シリンジ、カートリッジ等上でのpecvd堆積の均一性制御 |
US9662450B2 (en) | 2013-03-01 | 2017-05-30 | Sio2 Medical Products, Inc. | Plasma or CVD pre-treatment for lubricated pharmaceutical package, coating process and apparatus |
US9937099B2 (en) | 2013-03-11 | 2018-04-10 | Sio2 Medical Products, Inc. | Trilayer coated pharmaceutical packaging with low oxygen transmission rate |
CN110074968B (zh) | 2013-03-11 | 2021-12-21 | Sio2医药产品公司 | 涂布包装材料 |
WO2014164910A1 (en) * | 2013-03-12 | 2014-10-09 | Applied Materials, Inc. | Multi zone heating and cooling esc for plasma process chamber |
US9863042B2 (en) | 2013-03-15 | 2018-01-09 | Sio2 Medical Products, Inc. | PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases |
KR102377903B1 (ko) * | 2013-11-06 | 2022-03-23 | 어플라이드 머티어리얼스, 인코포레이티드 | 졸 겔 코팅된 지지 링 |
US9435692B2 (en) | 2014-02-05 | 2016-09-06 | Lam Research Corporation | Calculating power input to an array of thermal control elements to achieve a two-dimensional temperature output |
EP3122917B1 (en) | 2014-03-28 | 2020-05-06 | SiO2 Medical Products, Inc. | Antistatic coatings for plastic vessels |
US9543171B2 (en) | 2014-06-17 | 2017-01-10 | Lam Research Corporation | Auto-correction of malfunctioning thermal control element in a temperature control plate of a semiconductor substrate support assembly that includes deactivating the malfunctioning thermal control element and modifying a power level of at least one functioning thermal control element |
US9873180B2 (en) | 2014-10-17 | 2018-01-23 | Applied Materials, Inc. | CMP pad construction with composite material properties using additive manufacturing processes |
US10875153B2 (en) | 2014-10-17 | 2020-12-29 | Applied Materials, Inc. | Advanced polishing pad materials and formulations |
US9776361B2 (en) | 2014-10-17 | 2017-10-03 | Applied Materials, Inc. | Polishing articles and integrated system and methods for manufacturing chemical mechanical polishing articles |
US11745302B2 (en) | 2014-10-17 | 2023-09-05 | Applied Materials, Inc. | Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process |
CN113579992A (zh) | 2014-10-17 | 2021-11-02 | 应用材料公司 | 使用加成制造工艺的具复合材料特性的cmp衬垫建构 |
JP2018523538A (ja) | 2015-08-18 | 2018-08-23 | エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド | 低酸素透過速度を有する薬剤包装及び他の包装 |
US10593574B2 (en) | 2015-11-06 | 2020-03-17 | Applied Materials, Inc. | Techniques for combining CMP process tracking data with 3D printed CMP consumables |
CN108028200B (zh) * | 2015-12-30 | 2022-05-27 | 玛特森技术公司 | 用于改善毫秒退火系统中的处理均匀性的方法 |
US10391605B2 (en) | 2016-01-19 | 2019-08-27 | Applied Materials, Inc. | Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process |
US11069553B2 (en) | 2016-07-07 | 2021-07-20 | Lam Research Corporation | Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity |
US10777442B2 (en) * | 2016-11-18 | 2020-09-15 | Applied Materials, Inc. | Hybrid substrate carrier |
US10910195B2 (en) * | 2017-01-05 | 2021-02-02 | Lam Research Corporation | Substrate support with improved process uniformity |
US10741425B2 (en) | 2017-02-22 | 2020-08-11 | Lam Research Corporation | Helium plug design to reduce arcing |
US10460978B2 (en) | 2017-03-08 | 2019-10-29 | Lam Research Corporation | Boltless substrate support assembly |
US10763081B2 (en) * | 2017-07-10 | 2020-09-01 | Applied Materials, Inc. | Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device |
US11471999B2 (en) | 2017-07-26 | 2022-10-18 | Applied Materials, Inc. | Integrated abrasive polishing pads and manufacturing methods |
US11078570B2 (en) * | 2018-06-29 | 2021-08-03 | Lam Research Corporation | Azimuthal critical dimension non-uniformity for double patterning process |
KR20210042171A (ko) | 2018-09-04 | 2021-04-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 진보한 폴리싱 패드들을 위한 제형들 |
JP6997863B2 (ja) * | 2018-10-30 | 2022-01-18 | 株式会社アルバック | 真空処理装置 |
TWI769568B (zh) * | 2019-11-05 | 2022-07-01 | 荷蘭商Asml荷蘭公司 | 用於高速應用之大主動區域偵測器封裝 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6095084A (en) * | 1996-02-02 | 2000-08-01 | Applied Materials, Inc. | High density plasma process chamber |
US20050016465A1 (en) * | 2003-07-23 | 2005-01-27 | Applied Materials, Inc. | Electrostatic chuck having electrode with rounded edge |
US20110092072A1 (en) * | 2009-10-21 | 2011-04-21 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
Family Cites Families (195)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US794064A (en) | 1904-03-28 | 1905-07-04 | Hubert Lawrence White | Fence-wire pliers. |
US1816888A (en) * | 1929-06-28 | 1931-08-04 | Arter Grinding Machine Company | Magnetic chuck and circuits therefor |
US3440883A (en) | 1966-12-01 | 1969-04-29 | Monsanto Co | Electronic semiconductor thermometer |
IT1052903B (it) | 1975-02-24 | 1981-08-31 | Kendall & Co | Raccordo perfezionato per collegare una siringa ad un catetere |
JPS5546346A (en) | 1978-09-27 | 1980-04-01 | Tokyo Electric Co Ltd | Roaster |
JPS601918B2 (ja) | 1980-04-26 | 1985-01-18 | ライオン株式会社 | 再汚染の少ない無燐洗剤組成物 |
JPS601918A (ja) | 1983-06-17 | 1985-01-08 | Fuji Electric Co Ltd | マトリツクス形選択回路 |
JPS6077146A (ja) * | 1983-09-30 | 1985-05-01 | Showa Highpolymer Co Ltd | 釉薬組成物 |
US4692836A (en) * | 1983-10-31 | 1987-09-08 | Toshiba Kikai Kabushiki Kaisha | Electrostatic chucks |
JPS621176A (ja) | 1985-06-26 | 1987-01-07 | Hitachi Ltd | ヘツド支持装置 |
JPH0215174A (ja) * | 1988-07-01 | 1990-01-18 | Canon Inc | マイクロ波プラズマcvd装置 |
US5059770A (en) | 1989-09-19 | 1991-10-22 | Watkins-Johnson Company | Multi-zone planar heater assembly and method of operation |
JPH0610391B2 (ja) | 1989-11-17 | 1994-02-09 | 株式会社ナブコ | プラグドアのガイド装置 |
JP2501948B2 (ja) * | 1990-10-26 | 1996-05-29 | 三菱電機株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US5536918A (en) | 1991-08-16 | 1996-07-16 | Tokyo Electron Sagami Kabushiki Kaisha | Heat treatment apparatus utilizing flat heating elements for treating semiconductor wafers |
US5184398A (en) * | 1991-08-30 | 1993-02-09 | Texas Instruments Incorporated | In-situ real-time sheet resistance measurement method |
FR2682253A1 (fr) | 1991-10-07 | 1993-04-09 | Commissariat Energie Atomique | Sole chauffante destinee a assurer le chauffage d'un objet dispose a sa surface et reacteur de traitement chimique muni de ladite sole. |
US5275683A (en) * | 1991-10-24 | 1994-01-04 | Tokyo Electron Limited | Mount for supporting substrates and plasma processing apparatus using the same |
US5255520A (en) | 1991-12-20 | 1993-10-26 | Refir Technologies | Advanced thermoelectric heating and cooling system |
US5231334A (en) * | 1992-04-15 | 1993-07-27 | Texas Instruments Incorporated | Plasma source and method of manufacturing |
JP3440475B2 (ja) | 1992-06-29 | 2003-08-25 | アイシン精機株式会社 | 人体局部洗浄装置 |
US5414245A (en) | 1992-08-03 | 1995-05-09 | Hewlett-Packard Corporation | Thermal-ink heater array using rectifying material |
JPH0677146A (ja) | 1992-08-27 | 1994-03-18 | Hitachi Ltd | プラズマ処理装置 |
DE4231702C2 (de) | 1992-09-22 | 1995-05-24 | Litef Gmbh | Thermoelektrische, beheizbare Kühlkammer |
US5800618A (en) * | 1992-11-12 | 1998-09-01 | Ngk Insulators, Ltd. | Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof |
US5460684A (en) * | 1992-12-04 | 1995-10-24 | Tokyo Electron Limited | Stage having electrostatic chuck and plasma processing apparatus using same |
KR100290748B1 (ko) | 1993-01-29 | 2001-06-01 | 히가시 데쓰로 | 플라즈마 처리장치 |
US5504471A (en) | 1993-09-16 | 1996-04-02 | Hewlett-Packard Company | Passively-multiplexed resistor array |
JP2659919B2 (ja) * | 1994-01-13 | 1997-09-30 | インターナショナル・ビジネス・マシーンズ・コーポレイション | プラズマの不均一性を補正するプラズマ装置 |
US5822171A (en) * | 1994-02-22 | 1998-10-13 | Applied Materials, Inc. | Electrostatic chuck with improved erosion resistance |
JPH08246170A (ja) * | 1995-03-06 | 1996-09-24 | Ricoh Opt Ind Co Ltd | Ecrプラズマエッチング方法および装置 |
JP3257328B2 (ja) | 1995-03-16 | 2002-02-18 | 株式会社日立製作所 | プラズマ処理装置及びプラズマ処理方法 |
US5664166A (en) | 1995-05-10 | 1997-09-02 | 3Comcorporation | System for generating a variable signal in response to a toggle signal selectively delayed using a clock edge and time delay measured from the clock edge |
US5874014A (en) * | 1995-06-07 | 1999-02-23 | Berkeley Scholars, Inc. | Durable plasma treatment apparatus and method |
US5667622A (en) | 1995-08-25 | 1997-09-16 | Siemens Aktiengesellschaft | In-situ wafer temperature control apparatus for single wafer tools |
JP3827758B2 (ja) | 1995-09-22 | 2006-09-27 | 株式会社半導体エネルギー研究所 | 薄膜作製方法及び薄膜作製装置 |
JPH09213781A (ja) | 1996-02-01 | 1997-08-15 | Tokyo Electron Ltd | 載置台構造及びそれを用いた処理装置 |
US5740016A (en) | 1996-03-29 | 1998-04-14 | Lam Research Corporation | Solid state temperature controlled substrate holder |
US6055150A (en) * | 1996-05-02 | 2000-04-25 | Applied Materials, Inc. | Multi-electrode electrostatic chuck having fuses in hollow cavities |
US5751537A (en) * | 1996-05-02 | 1998-05-12 | Applied Materials, Inc. | Multielectrode electrostatic chuck with fuses |
US5745332A (en) * | 1996-05-08 | 1998-04-28 | Applied Materials, Inc. | Monopolar electrostatic chuck having an electrode in contact with a workpiece |
US5793192A (en) * | 1996-06-28 | 1998-08-11 | Lam Research Corporation | Methods and apparatuses for clamping and declamping a semiconductor wafer in a wafer processing system |
WO1998005060A1 (en) | 1996-07-31 | 1998-02-05 | The Board Of Trustees Of The Leland Stanford Junior University | Multizone bake/chill thermal cycling module |
KR200159921Y1 (ko) | 1996-11-23 | 1999-11-01 | 이세원 | 리프터의 업/다운 제어회로 |
US5886864A (en) * | 1996-12-02 | 1999-03-23 | Applied Materials, Inc. | Substrate support member for uniform heating of a substrate |
JP3954177B2 (ja) * | 1997-01-29 | 2007-08-08 | 日本碍子株式会社 | 金属部材とセラミックス部材との接合構造およびその製造方法 |
US5994675A (en) | 1997-03-07 | 1999-11-30 | Semitool, Inc. | Semiconductor processing furnace heating control system |
JP3526184B2 (ja) | 1997-03-17 | 2004-05-10 | 大日本スクリーン製造株式会社 | 基板処理装置 |
US5880923A (en) * | 1997-06-09 | 1999-03-09 | Applied Materials Inc. | Method and apparatus for improved retention of a semiconductor wafer within a semiconductor wafer processing system |
US6091060A (en) | 1997-12-31 | 2000-07-18 | Temptronic Corporation | Power and control system for a workpiece chuck |
US6222161B1 (en) | 1998-01-12 | 2001-04-24 | Tokyo Electron Limited | Heat treatment apparatus |
US6216632B1 (en) | 1998-01-29 | 2001-04-17 | Anelva Corporation | Plasma processing system |
JP4283360B2 (ja) | 1998-01-29 | 2009-06-24 | キヤノンアネルバ株式会社 | プラズマ処理装置 |
US6342997B1 (en) | 1998-02-11 | 2002-01-29 | Therm-O-Disc, Incorporated | High sensitivity diode temperature sensor with adjustable current source |
US5886866A (en) | 1998-07-06 | 1999-03-23 | Applied Materials, Inc. | Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing |
GB2387023B (en) * | 1998-12-17 | 2003-12-03 | Trikon Holdings Ltd | Inductive coil assembly |
US6028286A (en) * | 1998-12-30 | 2000-02-22 | Lam Research Corporation | Method for igniting a plasma inside a plasma processing reactor |
JP3892609B2 (ja) | 1999-02-16 | 2007-03-14 | 株式会社東芝 | ホットプレートおよび半導体装置の製造方法 |
DE19907497C2 (de) | 1999-02-22 | 2003-05-28 | Steag Hamatech Ag | Vorrichtung und Verfahren zur Wärmebehandlung von Substraten |
US6353209B1 (en) | 1999-03-04 | 2002-03-05 | Board Of Trustees Of The Leland Stanford Junior University | Temperature processing module |
US6523493B1 (en) | 2000-08-01 | 2003-02-25 | Tokyo Electron Limited | Ring-shaped high-density plasma source and method |
JP4249843B2 (ja) * | 1999-04-12 | 2009-04-08 | 憲一 高木 | プラズマ処理装置 |
US6431112B1 (en) * | 1999-06-15 | 2002-08-13 | Tokyo Electron Limited | Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck |
US6100506A (en) | 1999-07-26 | 2000-08-08 | International Business Machines Corporation | Hot plate with in situ surface temperature adjustment |
JP2001035907A (ja) * | 1999-07-26 | 2001-02-09 | Ulvac Japan Ltd | 吸着装置 |
US6175175B1 (en) | 1999-09-10 | 2001-01-16 | The University Of Chicago | Levitation pressure and friction losses in superconducting bearings |
US6740853B1 (en) | 1999-09-29 | 2004-05-25 | Tokyo Electron Limited | Multi-zone resistance heater |
WO2001031978A1 (fr) | 1999-10-22 | 2001-05-03 | Ibiden Co., Ltd. | Plaque chauffante en ceramique |
JP2001244320A (ja) * | 2000-02-25 | 2001-09-07 | Ibiden Co Ltd | セラミック基板およびその製造方法 |
US6271459B1 (en) | 2000-04-26 | 2001-08-07 | Wafermasters, Inc. | Heat management in wafer processing equipment using thermoelectric device |
US6332710B1 (en) | 2000-07-24 | 2001-12-25 | National Semiconductor Corporation | Multi-channel remote diode temperature sensor |
US7430984B2 (en) | 2000-08-11 | 2008-10-07 | Applied Materials, Inc. | Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements |
US6403403B1 (en) | 2000-09-12 | 2002-06-11 | The Aerospace Corporation | Diode isolated thin film fuel cell array addressing method |
US7309997B1 (en) * | 2000-09-15 | 2007-12-18 | Varian Semiconductor Equipment Associates, Inc. | Monitor system and method for semiconductor processes |
US6475336B1 (en) * | 2000-10-06 | 2002-11-05 | Lam Research Corporation | Electrostatically clamped edge ring for plasma processing |
AU2002212963A1 (en) | 2000-10-25 | 2002-05-06 | Tokyo Electron Limited | Method of and structure for controlling electrode temperature |
US6501052B2 (en) | 2000-12-22 | 2002-12-31 | Chrysalis Technologies Incorporated | Aerosol generator having multiple heating zones and methods of use thereof |
AU2002240261A1 (en) | 2001-03-02 | 2002-09-19 | Tokyo Electron Limited | Method and apparatus for active temperature control of susceptors |
US6746616B1 (en) | 2001-03-27 | 2004-06-08 | Advanced Micro Devices, Inc. | Method and apparatus for providing etch uniformity using zoned temperature control |
US6741446B2 (en) | 2001-03-30 | 2004-05-25 | Lam Research Corporation | Vacuum plasma processor and method of operating same |
JP2002313535A (ja) | 2001-04-13 | 2002-10-25 | Sumitomo Electric Ind Ltd | 被処理物保持体 |
JP3582518B2 (ja) | 2001-04-18 | 2004-10-27 | 住友電気工業株式会社 | 抵抗発熱体回路パターンとそれを用いた基板処理装置 |
US20050211385A1 (en) | 2001-04-30 | 2005-09-29 | Lam Research Corporation, A Delaware Corporation | Method and apparatus for controlling spatial temperature distribution |
US7161121B1 (en) | 2001-04-30 | 2007-01-09 | Lam Research Corporation | Electrostatic chuck having radial temperature control capability |
US6847014B1 (en) | 2001-04-30 | 2005-01-25 | Lam Research Corporation | Method and apparatus for controlling the spatial temperature distribution across the surface of a workpiece support |
CN100401852C (zh) | 2001-04-30 | 2008-07-09 | 科林研发公司 | 用于控制工件支架表面上空间温度分布的方法与装置 |
US6795292B2 (en) | 2001-05-15 | 2004-09-21 | Dennis Grimard | Apparatus for regulating temperature of a process kit in a semiconductor wafer-processing chamber |
KR100416599B1 (ko) | 2001-05-31 | 2004-02-05 | 삼성전자주식회사 | 집적도와 독출동작 속도를 향상시키고 전력소모를감소시킬 수 있는 메탈 프로그래머블 롬의 메모리셀 구조 |
US20060191637A1 (en) | 2001-06-21 | 2006-08-31 | John Zajac | Etching Apparatus and Process with Thickness and Uniformity Control |
US6483690B1 (en) | 2001-06-28 | 2002-11-19 | Lam Research Corporation | Ceramic electrostatic chuck assembly and method of making |
JP3897563B2 (ja) | 2001-10-24 | 2007-03-28 | 日本碍子株式会社 | 加熱装置 |
US6739138B2 (en) | 2001-11-26 | 2004-05-25 | Innovations Inc. | Thermoelectric modules and a heating and cooling apparatus incorporating same |
KR100455350B1 (ko) * | 2002-02-08 | 2004-11-06 | 권광호 | 유도 결합형 플라즈마 발생 장치 및 방법 |
US6835290B2 (en) | 2002-02-13 | 2004-12-28 | Seagate Technology Llc | System and method for controlling thin film defects |
US6921724B2 (en) | 2002-04-02 | 2005-07-26 | Lam Research Corporation | Variable temperature processes for tunable electrostatic chuck |
US6612673B1 (en) | 2002-04-29 | 2003-09-02 | Hewlett-Packard Development Company, L.P. | System and method for predicting dynamic thermal conditions of an inkjet printing system |
JP3808407B2 (ja) | 2002-07-05 | 2006-08-09 | 住友大阪セメント株式会社 | 電極内蔵型サセプタ及びその製造方法 |
US6886347B2 (en) | 2002-07-11 | 2005-05-03 | Temptronic Corporation | Workpiece chuck with temperature control assembly having spacers between layers providing clearance for thermoelectric modules |
US6825681B2 (en) | 2002-07-19 | 2004-11-30 | Delta Design, Inc. | Thermal control of a DUT using a thermal control substrate |
US7504006B2 (en) | 2002-08-01 | 2009-03-17 | Applied Materials, Inc. | Self-ionized and capacitively-coupled plasma for sputtering and resputtering |
JP3924524B2 (ja) | 2002-10-29 | 2007-06-06 | 京セラ株式会社 | ウエハ加熱装置およびその製造方法 |
US20040110388A1 (en) | 2002-12-06 | 2004-06-10 | International Business Machines Corporation | Apparatus and method for shielding a wafer from charged particles during plasma etching |
US7372001B2 (en) | 2002-12-17 | 2008-05-13 | Nhk Spring Co., Ltd. | Ceramics heater |
US6979805B2 (en) | 2003-01-08 | 2005-12-27 | Hewlett-Packard Development Company, L.P. | Fuel-cell resistors and methods |
US6819096B2 (en) * | 2003-01-31 | 2004-11-16 | Advanced Energy Industries, Inc. | Power measurement mechanism for a transformer coupled plasma source |
US20040173469A1 (en) * | 2003-03-04 | 2004-09-09 | Ryujiro Udo | Plasma processing apparatus and method for manufacturing electrostatic chuck |
US6825617B2 (en) | 2003-02-27 | 2004-11-30 | Hitachi High-Technologies Corporation | Semiconductor processing apparatus |
JP2004278551A (ja) | 2003-03-12 | 2004-10-07 | Nsk Ltd | 転がりねじ装置 |
JP4607865B2 (ja) | 2003-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | 基板の温度コントロールのための方法とシステム |
US6989210B2 (en) | 2003-04-23 | 2006-01-24 | Hewlett-Packard Development Company, L.P. | Fuel cartridge with thermo-degradable barrier system |
US8974630B2 (en) * | 2003-05-07 | 2015-03-10 | Sungkyunkwan University | Inductively coupled plasma processing apparatus having internal linear antenna for large area processing |
JP2005048259A (ja) * | 2003-07-31 | 2005-02-24 | Matsushita Electric Ind Co Ltd | プラズマ処理装置 |
TWI247551B (en) | 2003-08-12 | 2006-01-11 | Ngk Insulators Ltd | Method of manufacturing electrical resistance heating element |
JP2005123286A (ja) | 2003-10-15 | 2005-05-12 | Hitachi Kokusai Electric Inc | 基板処理装置 |
US8536492B2 (en) | 2003-10-27 | 2013-09-17 | Applied Materials, Inc. | Processing multilayer semiconductors with multiple heat sources |
KR20050053464A (ko) | 2003-12-01 | 2005-06-08 | 정준호 | 직렬 연결된 2개의 다이오드를 이용한 반도체 기억소자 |
US20100257871A1 (en) | 2003-12-11 | 2010-10-14 | Rama Venkatasubramanian | Thin film thermoelectric devices for power conversion and cooling |
US7250309B2 (en) | 2004-01-09 | 2007-07-31 | Applied Materials, Inc. | Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control |
US6870728B1 (en) | 2004-01-29 | 2005-03-22 | Tdk Corporation | Electrolytic capacitor |
JP4349952B2 (ja) | 2004-03-24 | 2009-10-21 | 京セラ株式会社 | ウェハ支持部材とその製造方法 |
US20050211667A1 (en) * | 2004-03-26 | 2005-09-29 | Lam Research Corporation | Method and apparatus for measurement of thin films and residues on semiconductor substrates |
US7141763B2 (en) | 2004-03-26 | 2006-11-28 | Tokyo Electron Limited | Method and apparatus for rapid temperature change and control |
US7697260B2 (en) | 2004-03-31 | 2010-04-13 | Applied Materials, Inc. | Detachable electrostatic chuck |
JP2005294237A (ja) | 2004-04-05 | 2005-10-20 | Aun:Kk | 面状ヒーター |
JP4281605B2 (ja) | 2004-04-08 | 2009-06-17 | 住友電気工業株式会社 | 半導体加熱装置 |
US7415312B2 (en) | 2004-05-25 | 2008-08-19 | Barnett Jr James R | Process module tuning |
KR20050121913A (ko) | 2004-06-23 | 2005-12-28 | 삼성전자주식회사 | 베이크 장치 |
US7396431B2 (en) | 2004-09-30 | 2008-07-08 | Tokyo Electron Limited | Plasma processing system for treating a substrate |
KR100632544B1 (ko) | 2004-12-15 | 2006-10-09 | 현대자동차주식회사 | 직류변환기의 게이트 드라이버 회로 |
US7475551B2 (en) | 2004-12-23 | 2009-01-13 | Nanocoolers, Inc. | System employing temporal integration of thermoelectric action |
US7632375B2 (en) * | 2004-12-30 | 2009-12-15 | Lam Research Corporation | Electrically enhancing the confinement of plasma |
US20060226123A1 (en) | 2005-04-07 | 2006-10-12 | Applied Materials, Inc. | Profile control using selective heating |
US20060229854A1 (en) | 2005-04-08 | 2006-10-12 | Caterpillar Inc. | Computer system architecture for probabilistic modeling |
EP1900253B1 (en) | 2005-06-29 | 2013-07-31 | Watlow Electric Manufacturing Company | Smart layered heater surfaces |
JP4667158B2 (ja) | 2005-08-09 | 2011-04-06 | パナソニック株式会社 | ウェーハレベルバーンイン方法 |
US7349647B2 (en) | 2005-08-31 | 2008-03-25 | Kabushiki Kaisha Toshiba | Image forming apparatus |
JP2007081160A (ja) | 2005-09-14 | 2007-03-29 | Fujitsu Ltd | 半導体装置の製造方法 |
JP4483751B2 (ja) | 2005-09-16 | 2010-06-16 | 株式会社デンソー | 電源逆接続保護回路 |
US20070125762A1 (en) | 2005-12-01 | 2007-06-07 | Applied Materials, Inc. | Multi-zone resistive heater |
US8168050B2 (en) | 2006-07-05 | 2012-05-01 | Momentive Performance Materials Inc. | Electrode pattern for resistance heating element and wafer processing apparatus |
JP4394667B2 (ja) | 2006-08-22 | 2010-01-06 | 日本碍子株式会社 | ヒータ付き静電チャックの製造方法 |
US7701693B2 (en) * | 2006-09-13 | 2010-04-20 | Ngk Insulators, Ltd. | Electrostatic chuck with heater and manufacturing method thereof |
US7297894B1 (en) | 2006-09-25 | 2007-11-20 | Tokyo Electron Limited | Method for multi-step temperature control of a substrate |
US7723648B2 (en) | 2006-09-25 | 2010-05-25 | Tokyo Electron Limited | Temperature controlled substrate holder with non-uniform insulation layer for a substrate processing system |
US7557328B2 (en) | 2006-09-25 | 2009-07-07 | Tokyo Electron Limited | High rate method for stable temperature control of a substrate |
JP5032818B2 (ja) * | 2006-09-29 | 2012-09-26 | 新光電気工業株式会社 | 静電チャック |
JP4850664B2 (ja) | 2006-11-02 | 2012-01-11 | 東京エレクトロン株式会社 | 熱処理板の温度設定方法、プログラム、プログラムを記録したコンピュータ読み取り可能な記録媒体及び熱処理板の温度設定装置 |
KR20080058109A (ko) | 2006-12-21 | 2008-06-25 | 동부일렉트로닉스 주식회사 | 웨이퍼 가열장치 및 가열방법 |
US8222574B2 (en) | 2007-01-15 | 2012-07-17 | Applied Materials, Inc. | Temperature measurement and control of wafer support in thermal processing chamber |
US20080197015A1 (en) | 2007-02-16 | 2008-08-21 | Terry Bluck | Multiple-magnetron sputtering source with plasma confinement |
KR100849069B1 (ko) | 2007-04-20 | 2008-07-30 | 주식회사 하이닉스반도체 | 정전기 방전 보호 장치 |
US8057602B2 (en) | 2007-05-09 | 2011-11-15 | Applied Materials, Inc. | Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber |
US20090000738A1 (en) * | 2007-06-29 | 2009-01-01 | Neil Benjamin | Arrays of inductive elements for minimizing radial non-uniformity in plasma |
JP5301812B2 (ja) * | 2007-11-14 | 2013-09-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US8900405B2 (en) * | 2007-11-14 | 2014-12-02 | Applied Materials, Inc. | Plasma immersion ion implantation reactor with extended cathode process ring |
JP4486135B2 (ja) | 2008-01-22 | 2010-06-23 | 東京エレクトロン株式会社 | 温度制御機構およびそれを用いた処理装置 |
JP5351479B2 (ja) | 2008-01-28 | 2013-11-27 | 東京エレクトロン株式会社 | 加熱源の冷却構造 |
JP5307445B2 (ja) | 2008-04-28 | 2013-10-02 | 日本碍子株式会社 | 基板保持体及びその製造方法 |
JP4879233B2 (ja) | 2008-07-18 | 2012-02-22 | ファルマー・インヴェストメンツ・リミテッド | 布地染色機用フィルタ |
US20100116788A1 (en) | 2008-11-12 | 2010-05-13 | Lam Research Corporation | Substrate temperature control by using liquid controlled multizone substrate support |
JP5270310B2 (ja) | 2008-11-13 | 2013-08-21 | 東京エレクトロン株式会社 | 静電チャック及び基板処理装置 |
JP2010153730A (ja) | 2008-12-26 | 2010-07-08 | Omron Corp | 配線構造、ヒータ駆動装置、計測装置および制御システム |
EP2396804B1 (en) * | 2009-02-10 | 2014-03-26 | HELYSSEN S.à.r.l. | Apparatus for large area plasma processing |
JP5239988B2 (ja) | 2009-03-24 | 2013-07-17 | 東京エレクトロン株式会社 | 載置台構造及び処理装置 |
GB2470063B (en) | 2009-05-08 | 2011-09-28 | Siemens Magnet Technology Ltd | Quench propagation circuit for superconducting magnets |
JP2011018684A (ja) | 2009-07-07 | 2011-01-27 | Tokyo Electron Ltd | プラズマ処理用基板載置台、プラズマ処理方法、及びプラズマ処理装置 |
WO2011006018A2 (en) | 2009-07-08 | 2011-01-13 | Plasmasi, Inc. | Apparatus and method for plasma processing |
US8222822B2 (en) * | 2009-10-27 | 2012-07-17 | Tyco Healthcare Group Lp | Inductively-coupled plasma device |
KR101952065B1 (ko) | 2009-11-06 | 2019-02-25 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 및 그 동작 방법 |
WO2011081645A2 (en) | 2009-12-15 | 2011-07-07 | Lam Research Corporation | Adjusting substrate temperature to improve cd uniformity |
WO2011118159A1 (ja) | 2010-03-26 | 2011-09-29 | 株式会社アルバック | 基板保持装置 |
US9793126B2 (en) * | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US8791392B2 (en) | 2010-10-22 | 2014-07-29 | Lam Research Corporation | Methods of fault detection for multiplexed heater array |
US8546732B2 (en) | 2010-11-10 | 2013-10-01 | Lam Research Corporation | Heating plate with planar heater zones for semiconductor processing |
US8520360B2 (en) * | 2011-07-19 | 2013-08-27 | Lam Research Corporation | Electrostatic chuck with wafer backside plasma assisted dechuck |
WO2013014860A1 (ja) * | 2011-07-26 | 2013-01-31 | パナソニック株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US9307578B2 (en) | 2011-08-17 | 2016-04-05 | Lam Research Corporation | System and method for monitoring temperatures of and controlling multiplexed heater array |
US10388493B2 (en) * | 2011-09-16 | 2019-08-20 | Lam Research Corporation | Component of a substrate support assembly producing localized magnetic fields |
US8624168B2 (en) | 2011-09-20 | 2014-01-07 | Lam Research Corporation | Heating plate with diode planar heater zones for semiconductor processing |
US8461674B2 (en) | 2011-09-21 | 2013-06-11 | Lam Research Corporation | Thermal plate with planar thermal zones for semiconductor processing |
UY34484A (es) | 2011-12-15 | 2013-07-31 | Bayer Ip Gmbh | Benzotienilo-pirrolotriazinas disustituidas y sus usos |
US9324589B2 (en) | 2012-02-28 | 2016-04-26 | Lam Research Corporation | Multiplexed heater array using AC drive for semiconductor processing |
US8809747B2 (en) | 2012-04-13 | 2014-08-19 | Lam Research Corporation | Current peak spreading schemes for multiplexed heated array |
JP6010391B2 (ja) | 2012-08-24 | 2016-10-19 | 旭化成株式会社 | モールドの製造方法 |
JP2014049667A (ja) * | 2012-09-03 | 2014-03-17 | Tokyo Electron Ltd | プラズマ処理装置及びこれを備えた基板処理装置 |
JP5971144B2 (ja) * | 2013-02-06 | 2016-08-17 | 東京エレクトロン株式会社 | 基板処理装置及び成膜方法 |
US9697993B2 (en) * | 2013-11-06 | 2017-07-04 | Tokyo Electron Limited | Non-ambipolar plasma ehncanced DC/VHF phasor |
JP6218650B2 (ja) * | 2014-03-11 | 2017-10-25 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP6219229B2 (ja) * | 2014-05-19 | 2017-10-25 | 東京エレクトロン株式会社 | ヒータ給電機構 |
JP6319687B2 (ja) * | 2014-05-26 | 2018-05-09 | パナソニックIpマネジメント株式会社 | プラズマ処理装置及び方法 |
CN106024682B (zh) * | 2015-03-31 | 2020-07-21 | 松下知识产权经营株式会社 | 等离子处理装置以及等离子处理方法 |
CN106024566B (zh) * | 2015-03-31 | 2019-07-05 | 松下知识产权经营株式会社 | 等离子处理装置以及等离子处理方法 |
JP7149068B2 (ja) * | 2017-12-21 | 2022-10-06 | 株式会社日立ハイテク | プラズマ処理装置およびプラズマ処理方法 |
US20200048770A1 (en) * | 2018-08-07 | 2020-02-13 | Lam Research Corporation | Chemical vapor deposition tool for preventing or suppressing arcing |
JP7209508B2 (ja) * | 2018-10-16 | 2023-01-20 | 株式会社東芝 | プロセス装置 |
-
2011
- 2011-09-16 US US13/234,473 patent/US10388493B2/en active Active
-
2012
- 2012-08-31 KR KR1020237021742A patent/KR20230098924A/ko not_active Application Discontinuation
- 2012-08-31 KR KR1020147010198A patent/KR20140070607A/ko active Application Filing
- 2012-08-31 KR KR1020207012874A patent/KR20200052385A/ko not_active IP Right Cessation
- 2012-08-31 JP JP2014530690A patent/JP6271427B2/ja active Active
- 2012-08-31 WO PCT/US2012/053386 patent/WO2013039718A1/en active Application Filing
- 2012-08-31 KR KR1020217019722A patent/KR102550232B1/ko active IP Right Grant
- 2012-09-05 TW TW101132350A patent/TWI570832B/zh active
-
2017
- 2017-09-12 JP JP2017174496A patent/JP6494713B2/ja active Active
-
2019
- 2019-07-09 US US16/506,456 patent/US10872748B2/en active Active
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6095084A (en) * | 1996-02-02 | 2000-08-01 | Applied Materials, Inc. | High density plasma process chamber |
US20050016465A1 (en) * | 2003-07-23 | 2005-01-27 | Applied Materials, Inc. | Electrostatic chuck having electrode with rounded edge |
US20110092072A1 (en) * | 2009-10-21 | 2011-04-21 | Lam Research Corporation | Heating plate with planar heating zones for semiconductor processing |
Also Published As
Publication number | Publication date |
---|---|
KR20230098924A (ko) | 2023-07-04 |
JP6271427B2 (ja) | 2018-01-31 |
WO2013039718A1 (en) | 2013-03-21 |
US20130072025A1 (en) | 2013-03-21 |
JP2018037662A (ja) | 2018-03-08 |
KR102550232B1 (ko) | 2023-06-29 |
TW201327718A (zh) | 2013-07-01 |
KR20210089250A (ko) | 2021-07-15 |
US20190371576A1 (en) | 2019-12-05 |
JP6494713B2 (ja) | 2019-04-03 |
US10388493B2 (en) | 2019-08-20 |
US10872748B2 (en) | 2020-12-22 |
KR20200052385A (ko) | 2020-05-14 |
KR20140070607A (ko) | 2014-06-10 |
JP2014528169A (ja) | 2014-10-23 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
TWI570832B (zh) | 基板支持組件之產生局部磁場的元件 | |
KR102644272B1 (ko) | 정전척 어셈블리 | |
CN106356274B (zh) | 通过等离子体操作调节极端边缘鞘和晶片轮廓 | |
TWI584698B (zh) | A temperature control method for a chamber member of a plasma processing apparatus, a chamber member and a substrate stage, and a plasma processing apparatus | |
TW201703187A (zh) | 多電極基板支撐組件與相位控制系統 | |
KR20160015510A (ko) | 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법 | |
KR20090071060A (ko) | 정전척 및 그를 포함하는 기판처리장치 | |
TW200539258A (en) | Wafer stage | |
JP6219227B2 (ja) | ヒータ給電機構及びステージの温度制御方法 | |
US20210051769A1 (en) | Substrate processing system and substrate processing method | |
TW201344780A (zh) | 在有冷卻製程環與加熱工作件支撐表面的情況下所進行的電漿反應器靜電夾持 | |
JP3923323B2 (ja) | プラズマ処理装置及びプラズマ処理方法 | |
TW202117913A (zh) | 載置台及電漿處理裝置 | |
US20100319621A1 (en) | Plasma processing apparatus and plasma processing method | |
KR101073833B1 (ko) | 플라즈마 처리장치 | |
JP5479061B2 (ja) | プラズマ処理装置 | |
KR20190056521A (ko) | 전자석을 구비한 스퍼터링 장치 | |
JP2011054318A (ja) | 誘導加熱方法および誘導加熱装置 | |
TWI533397B (zh) | A placing table of the plasma processing apparatus, and a corresponding plasma processing apparatus | |
US20180282869A1 (en) | Shower plate, substrate processing apparatus and method for processing substrate | |
JP2003258073A (ja) | プラズマ処理装置及びその試料台 | |
KR20060030247A (ko) | 플라즈마 공정 챔버 시스템 | |
TW201308509A (zh) | 一種易於釋放晶片的靜電吸盤結構及方法 |