TWI529795B - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TWI529795B
TWI529795B TW100134717A TW100134717A TWI529795B TW I529795 B TWI529795 B TW I529795B TW 100134717 A TW100134717 A TW 100134717A TW 100134717 A TW100134717 A TW 100134717A TW I529795 B TWI529795 B TW I529795B
Authority
TW
Taiwan
Prior art keywords
substrate
etching
decaneization
unit
processing method
Prior art date
Application number
TW100134717A
Other languages
English (en)
Other versions
TW201250815A (en
Inventor
橋詰彰夫
Original Assignee
斯克林集團公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 斯克林集團公司 filed Critical 斯克林集團公司
Publication of TW201250815A publication Critical patent/TW201250815A/zh
Application granted granted Critical
Publication of TWI529795B publication Critical patent/TWI529795B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C9/00Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important
    • B05C9/06Apparatus or plant for applying liquid or other fluent material to surfaces by means not covered by any preceding group, or in which the means of applying the liquid or other fluent material is not important for applying two different liquids or other fluent materials, or the same liquid or other fluent material twice, to the same side of the work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C11/00Component parts, details or accessories not specifically provided for in groups B05C1/00 - B05C9/00
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C13/00Means for manipulating or holding work, e.g. for separate articles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05CAPPARATUS FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05C15/00Enclosures for apparatus; Booths
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B21/00Nitrogen; Compounds thereof
    • C01B21/06Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron
    • C01B21/068Binary compounds of nitrogen with metals, with silicon, or with boron, or with carbon, i.e. nitrides; Compounds of nitrogen with more than one metal, silicon or boron with silicon
    • C01B21/0687After-treatment, e.g. grinding, purification
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Weting (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

基板處理方法及基板處理裝置
本發明係關於一種對基板進行處理之基板處理方法及基板處理裝置。作為處理對象之基板包含例如半導體晶圓、液晶顯示裝置用基板、電漿顯示器用基板、場發射顯示器(FED,Field Emission Display)用基板、光碟用基板、磁碟用基板、磁光碟用基板、光罩用基板、陶瓷基板、太陽能電池用基板等。
於半導體裝置或液晶顯示裝置等之製造步驟中,有時會需要進行自形成有氮化矽膜(SiN膜)及氧化矽膜(SiO2膜)之基板表面選擇性地去除氮化矽膜之選擇性蝕刻。於此情形時,會將高溫(例如,120℃~160℃)之磷酸水溶液作為蝕刻液供給至基板之表面(例如,參照日本專利特開2007-258405號公報)。
本發明提供一種可進行選擇性蝕刻之選擇比(氮化膜之去除量/氧化膜之去除量)較高之基板處理之基板處理方法及基板處理裝置。
本發明之基板處理方法包括:矽烷化步驟,其係將矽烷化劑供給至基板;及蝕刻步驟,其係於進行上述矽烷化步驟之後,將蝕刻劑供給至上述基板。
根據此方法,於藉由蝕刻劑之供給而對基板進行蝕刻之前,藉由矽烷化劑之供給使基板矽烷化。因此,經矽烷化之基板被蝕刻。如下述,藉由使形成有氧化膜及氮化膜之基板矽烷化,可抑制氧化膜受到蝕刻。因此,可藉由對經矽烷化之基板進行蝕刻,而提高選擇比(氮化膜之去除量/氧化膜之去除量)。
上述基板處理方法亦可進一步包含:淋洗步驟,其係於進行上述蝕刻步驟之後,將淋洗液供給至上述基板;及乾燥步驟,其係於進行上述淋洗步驟之後,使上述基板乾燥。
又,上述基板處理方法亦可進一步包含加熱步驟,該加熱步驟係與上述矽烷化步驟並行地進行之步驟,且對上述基板進行加熱。於此情形時,由於基板之溫度上升,故可抑制供給至基板之矽烷化劑溫度之下降。因此,於矽烷化劑之活性隨著溫度變化而變化之情形時,可使矽烷化劑之活性穩定。而且,在基板之溫度高於供給至該基板之矽烷化劑之溫度之情形時,可使供給至基板之矽烷化劑之溫度上升。因此,於矽烷化劑之活性隨著溫度上升而增強之情形時,可增強矽烷化劑之活性。
本發明之一實施形態之基板處理方法包含有重複步驟,該重複步驟係複數次進行包含上述矽烷化步驟及上述蝕刻步驟之一系列循環。根據此方法,使經矽烷化之基板被蝕刻。其後,經蝕刻之基板將再次被矽烷化。然後,再次對經矽烷化之基板進行蝕刻。即,中斷蝕刻,於此蝕刻中斷之期間中,再次使基板矽烷化。若長時間繼續供給蝕刻劑,則有時由矽烷化劑所賦予氧化膜之蝕刻抑制能力會在中途下降,而使選擇比下降。因此,藉由再次使基板矽烷化,可恢復氧化膜之蝕刻抑制能力。因此,重新開始蝕刻時,可抑制氧化膜受到蝕刻。因此,可抑制或防止選擇比下降。
上述循環較佳為進一步包含淋洗步驟,該淋洗步驟係於進行上述蝕刻步驟之後,將淋洗液供給至上述基板。於此情形時,對經矽烷化之基板進行蝕刻,其後,對經蝕刻之基板供給淋洗液,而將附著於基板之液體或雜質洗掉。然後,再次依序進行矽烷化步驟、蝕刻步驟、及淋洗步驟。有時經蝕刻之基板會附著有因蝕刻而產生之雜質。尤其是,當供給至基板之蝕刻劑為蒸氣之情形時,相較於蝕刻劑為液體之情形,雜質易殘留於基板上。若於附著有因蝕刻而產生之雜質之狀態下使基板矽烷化,則有時將難以自基板去掉此雜質。因此,於再次進行矽烷化步驟之前,藉由去除附著於基板之雜質,可抑制或防止難以自基板去掉此雜質之情形。藉此,可抑制或防止雜質殘留於基板上。因此,可使基板之潔淨度提高。
上述循環較佳為進一步包含紫外線照射步驟,該紫外線照射步驟係於進行上述蝕刻步驟之後,對上述基板照射紫外線。於此情形時,複數次進行包括矽烷化步驟、蝕刻步驟、及紫外線照射步驟之一系列循環。於各循環中,對供給有矽烷化劑及蝕刻劑之基板照射紫外線。於第2次以後之循環中,對紫外線所照射之基板供給矽烷化劑及蝕刻劑。藉由對經矽烷化之基板照射紫外線,可去除附著於基板之矽烷化劑。因此,可使基板之潔淨度提高。又,於第2次以後之循環中,對藉由紫外線之照射而去除了有機物等雜質之基板供給矽烷化劑及蝕刻劑。因此,可提高於第2次以後之循環中,矽烷化劑及蝕刻劑相對於基板之反應性。藉此,可提高選擇比。
本發明之一實施形態之方法進一步包含矽烷化前紫外線照射步驟,該矽烷化前紫外線照射步驟係於進行上述矽烷化步驟之前,對上述基板照射紫外線。根據此方法,於對基板照射紫外線之後,將矽烷化劑及蝕刻劑依序供給至基板。藉由對基板照射紫外線,可去除附著於基板之有機物等雜質。因此,可提高矽烷化劑及蝕刻劑相對於基板之反應性。藉此,可提高選擇比。
本發明之一實施形態之方法進一步包含矽烷化後紫外線照射步驟,該矽烷化後紫外線照射步驟係於進行上述矽烷化步驟之後,對上述基板照射紫外線。根據此方法,對經矽烷化之基板照射紫外線。藉由對經矽烷化之基板照射紫外線,可去除附著於基板之矽烷化劑。因此,可提高基板之潔淨度。
於上述蝕刻步驟中供給至基板之蝕刻劑,亦可為包含氫氟酸及乙二醇之混合液。
又,於本發明之一實施形態中,上述矽烷化劑為非水溶性,且上述蝕刻劑含有水。非水溶性之矽烷化劑既可為完全不溶於水之矽烷化劑,亦可為幾乎不溶於水之矽烷化劑。於此實施形態中,於將非水溶性之矽烷化劑供給至基板之後,將含水之蝕刻劑供給至該基板。由於矽烷化劑為非水溶性,故附著於基板之矽烷化劑將不溶於供給至基板之蝕刻劑。因此,可維持氧化膜之蝕刻受抑制之狀態。藉此,可抑制或防止選擇比下降。
又,於上述蝕刻步驟中供給至基板之蝕刻劑亦可為包含蝕刻成分之蒸氣。
本發明之基板處理裝置包含有:基板保持單元,其係將基板保持於矽烷化位置及蝕刻位置;矽烷化劑供給單元,其係對由上述基板保持單元保持於上述矽烷化位置之基板供給矽烷化劑;蝕刻劑供給單元,其係對由上述基板保持單元保持於上述蝕刻位置之基板供給蝕刻劑;及控制單元,其係控制上述矽烷化劑供給單元及蝕刻劑供給單元。上述控制單元執行矽烷化步驟,該矽烷化步驟係藉由控制上述矽烷化劑供給單元,而對由上述基板保持單元保持於上述矽烷化位置之基板供給矽烷化劑。又,上述控制單元執行蝕刻步驟,該蝕刻步驟係藉由控制上述蝕刻劑供給單元,而於進行上述矽烷化步驟之後,對由上述基板保持單元保持於上述蝕刻位置之上述基板供給蝕刻劑。
上述矽烷化位置及蝕刻位置亦可為相同位置。即,相對於基板之矽烷化劑之供給及蝕刻劑之供給亦可於相同位置進行。於此情形時,在進行相對於基板之矽烷化劑之供給之後,亦可不使基板移動至蝕刻位置。藉此,由於可縮短基板之搬送時間,故可縮短基板之處理時間。
上述基板處理裝置亦可進一步包含對上述基板照射紫外線之紫外線照射單元。
本發明之上述及其他目的、特徵及效果,係參照附圖並藉由以下所敍述實施形態之說明而明確化。
[第1實施形態]
圖1係表示本發明第1實施形態之基板處理裝置1之配置的俯視示意圖。
基板處理裝置1係藉由藥液或淋洗液等處理液逐片地處理半導體晶圓等圓形之基板W之單片式基板處理裝置。基板處理裝置1具備有索引器區塊(indexer block)2、與索引器區塊2結合之處理區塊3、及控制基板處理裝置1所具備裝置之動作或閥之開閉之控制裝置4。
索引器區塊2具備有載具保持部5、索引機器人IR、及IR移動機構6。載具保持部5保持可收納複數片基板W之載具C。複數個載具C係於沿水平之載具排列方向U排列之狀態下由載具保持部5所保持。IR移動機構6係使索引機器人IR沿載具排列方向U移動。索引機器人IR係進行將基板W搬入至由載具保持部5所保持之載具C之搬入動作、及自載具C搬出基板W之搬出動作。
另一方面,處理區塊3具備有處理基板W之複數個(例如4個以上)處理單元7、及中央機器人CR。複數個處理單元7係以於俯視時包圍中央機器人CR之方式所配置。複數個處理單元7包含有使基板W矽烷化之矽烷化單元7a、及對基板W進行蝕刻之蝕刻單元7b。中央機器人CR係進行將基板W搬入至處理單元7之搬入動作、及自處理單元7搬出基板W之搬出動作。而且,中央機器人CR係於複數個處理單元7間搬送基板W。中央機器人CR係自索引機器人IR接收基板W,並且將基板W交給索引機器人IR。索引機器人IR及中央機器人CR係由控制裝置4所控制。
圖2係表示本發明第1實施形態之矽烷化單元7a之概略構成的示意圖。以下,針對矽烷化單元7a之概略構成、及於矽烷化單元7a中所進行基板W之處理之一例進行說明。首先,針對矽烷化單元7a之概略構成進行說明。
矽烷化單元7a具備有腔室8。腔室8例如為長方體狀。腔室8包括水平對向之2個側壁9及側壁10、以及上下對向之上壁11及底壁12。矽烷化單元7a進一步包括沿上壁11之外表面(上表面)配置之冷卻裝置13。腔室8係藉由冷卻裝置13進行冷卻。冷卻裝置13例如為水冷之冷卻裝置。
矽烷化單元7a進一步具備有設置於腔室8內之基板保持台14。於第1實施形態中,藉由基板保持台14、及下述旋轉卡盤38而構成基板保持單元。搬入至腔室8內之一片基板W係在載置於基板保持台14上之狀態下,由基板保持台14保持在保持位置P1(矽烷化位置)。基板保持台14係固定於沿鉛垂方向延伸之旋轉軸15之上端。於旋轉軸15係結合有使旋轉軸15圍繞旋轉軸15之中心軸線旋轉之基板旋轉機構16。基板旋轉機構16例如為包含馬達之機構。
於基板保持台14之內部,埋設有用以對由基板保持台14所保持之基板W進行加熱之加熱器17。而且,於基板保持台14上,設置有於利用加熱器17加熱時使基板W之溫度均勻化之均熱環18。均熱環18係形成為於基板保持台14上包圍基板W之保持位置P1之環狀。
與基板保持台14相關地,設置有使基板W相對於基板保持台14升降之複數根(例如3根)升降銷19。複數根升降銷19係插通於腔室8之底壁12,並於腔室8外由共有之支持構件20所支持。於支持構件20係結合有包含汽缸之升降銷升降機構21。升降銷升降機構21係使複數根升降銷19於複數根升降銷19之前端突出至基板保持台14上方之位置、與複數根升降銷19之前端退避至基板保持台14下方之位置之間一體地升降。
又,於腔室8之一側壁9(於圖2中,為左側之側壁),形成有用以將基板W搬入/搬出腔室8內之閘22。於側壁9之外側,設置有開閉閘22之閘擋板(gate shutter)23。於閘擋板23係結合有包含汽缸之閘開閉機構24。閘開閉機構24係使閘擋板23在密接於閘擋板23與側壁9之外表面而將閘22密封之封閉位置、與使閘擋板23一邊朝側壁9之側方離開一邊下降而使閘22大幅度開放之開放位置之間移動。
又,於腔室8之另一側壁10(於圖2中,為右側之側壁),設置有將惰性氣體之一例即氮氣導入腔室8內之側方導入管25。對於側方導入管25,係經由側方氣體閥26供給氮氣。側方導入管25係貫通側壁10。側方導入管25之面向腔室8內之端面係與側壁10之內表面為大致齊平面。於側壁10之內表面,設置有覆蓋該內表面大致整個區域之尺寸之擴散板27。擴散板27具有面向腔室8內之多數個吐出口(未圖示)。供給至側方導入管25之氮氣係自擴散板27之多數個吐出口分散地吐出。因此,供給至側方導入管25之氮氣係於腔室8內,在與側壁10之內表面平行之面內以大致均等之流速呈簇射狀地擴散。
又,設置有貫通腔室8之上壁11而將矽烷化劑之蒸氣及氮氣導入腔室8內之矽烷化劑導入管28(矽烷化劑供給單元)。對於矽烷化劑導入管28,係分別經由矽烷化劑閥29及上方氣體閥30供給矽烷化劑及氮氣。矽烷化劑導入管28之面向腔室8內之端面係與上壁11之內表面(下表面)為大致齊平面。於上壁11之內表面,設置有具有大於基板W直徑之圓板狀之擴散板31。此擴散板31具有面向腔室8內之多數個吐出口(未圖示)。供給至矽烷化劑導入管28之矽烷化劑及氮氣,係自擴散板31之多數個吐出口分散地吐出。因此,供給至矽烷化劑導入管28之矽烷化劑及氮氣係於腔室8內,在與上壁11之內表面平行之面內以大致均等之流速呈簇射狀地擴散。
作為矽烷化劑,例如可列舉:N-三甲基矽咪唑(TMSI,N-Trimethylsilyimidazole)、N,O-雙三甲基矽烷基三氟乙醯胺(BSTFA,N,O-bis[Trimethylsilyl]trifluoroacetamide)、N,O-雙三甲基矽烷基乙醯胺(BSA,N,O-bis[Trimethylsilyl]acetamide)、N-甲基-N-三甲基矽烷基三氟乙醯胺(MSTFA,N-Methyl-N-trimethylsilyl-trifluoroacetamide)、N-三甲基矽烷基二甲胺(TMSDMA,N-Trimethylsilyldimethylamine)、N-三甲基矽烷基二乙胺(TMSDEA,N-Trimethylsilyldiethylamine)、N-甲基-N-三甲基矽烷基乙醯胺(MTMSA,N-Methyl-N-(trimethylsilyl)acetamide)、三甲基氯矽烷(with base,帶鹼基)(TMCS,Trimethylchlorosilane)、六甲基二矽氮烷(HMDS,Hexamethyldisilazane)、具有疏水基之胺、有機矽化合物、四甲基矽烷(TMS,tetramethylsilane)、氟化烷氯矽烷、烷基二矽氮烷、二甲基矽烷基二甲基胺、二甲基矽烷基二乙基胺、雙(二甲基胺基)二甲基矽烷、及有機矽烷化合物。對矽烷化劑導入管28係供給此等矽烷化劑中之任一者之蒸氣。供給至矽烷化劑導入管28之矽烷化劑之蒸氣,既可為僅包含矽烷化劑之微粒子者,亦可為包含矽烷化劑之微粒子及載體氣體(例如惰性氣體)者。
又,於腔室8之底壁12,形成有包圍基板保持台14之周圍之俯視為圓環狀之周圍排氣口32。於周圍排氣口32,連接有前端連接於排氣源(未圖示)之排氣管33之基端。於排氣管33之中途,插裝有周圍排氣閥34。若周圍排氣閥34開啟,則腔室8內之環境氣體就會自周圍排氣口32排氣,若周圍排氣閥34關閉,則自周圍排氣口32之排氣就會停止。
又,於腔室8之底壁12,在周圍排氣口32之外側形成有沿側壁9延伸之俯視為大致長方形狀之閘側排氣口35。於閘側排氣口35,連接有前端與排氣源(未圖示)連接之排氣管36之基端。於排氣管36之中途,插裝有閘側排氣閥37。若閘側排氣閥37開啟,則腔室8內之環境氣體就會自閘側排氣口35排氣,若閘側排氣閥37關閉,則自閘側排氣口35之排氣就會停止。
接著,針對於矽烷化單元7a所進行基板W之處理之一例進行說明。
中央機器人CR係將基板W搬入矽烷化單元7a內。於將基板W向矽烷化單元7a內搬入之前,藉由控制裝置4驅動閘開閉機構24。藉此,使閘擋板23配置於開放位置,而使閘22開放。於閘22開放之期間,側方氣體閥26係藉由控制裝置4而開啟,使氮氣自側方導入管25導入腔室8內。而且,閘側排氣閥37係藉由控制裝置4而開啟,使腔室8內之環境氣體自閘側排氣口35排氣。藉此,自與基板保持台14之閘22為相反側,即自側壁10側朝向閘22之氮氣之氣流形成於腔室8內,藉由此氣流可防止腔室8外部之環境氣體流入腔室8內。於閘22開放之期間,矽烷化劑閥29、上方氣體閥30及周圍排氣閥34為關閉。
又,於將基板W向矽烷化單元7a內搬入之前,藉由控制裝置4驅動升降銷升降機構21。藉此,使升降銷19配置於其前端突出至基板保持台14上方之位置。然後,藉由中央機器人CR將基板W搬入腔室8內。搬入腔室8內之基板W係藉由中央機器人CR載置於升降銷19上。其後,中央機器人CR自腔室8內退避。於中央機器人CR自腔室8內退避之後,藉由控制裝置4驅動閘開閉機構24。藉此,使閘擋板23配置於封閉位置,閘22係由閘擋板23所密封。
於將閘22密封之後,控制裝置4關閉側方氣體閥26及閘側排氣閥37,並開啟上方氣體閥30及周圍排氣閥34。藉此,使氮氣自矽烷化劑導入管28導入腔室8內,同時使腔室8內之環境氣體自周圍排氣口32迅速排氣。其結果,腔室8內之環境氣體係於短時間內置換為自矽烷化劑導入管28所導入之氮氣。又,與將腔室8內之環境氣體置換為氮氣環境並行地,藉由控制裝置4驅動升降銷升降機構21。藉此,使升降銷19下降至其前端退避至基板保持台14下方之位置。藉由此升降銷19之下降,使升降銷19上之基板W移載於基板保持台14上。藉此,使基板W由基板保持台14保持於保持位置P1。
於基板W移載於基板保持台14上之後,控制裝置4關閉上方氣體閥30,並開啟矽烷化劑閥29。藉此,使矽烷化劑之蒸氣自矽烷化劑導入管28導入腔室8內,而使矽烷化劑之蒸氣供給至基板W之上表面。又,與矽烷化劑之供給並行地,藉由控制裝置4驅動基板旋轉機構16,使基板W旋轉。藉此,將矽烷化劑均勻地供給至基板W上表面之整個區域。而且,與矽烷化劑之供給並行地,藉由控制裝置4驅動加熱器17,將基板W加熱至高於常溫(與室溫相同,例如20℃~30℃)之溫度為止。由基板保持台14所保持之基板W,係藉由矽烷化劑之供給而矽烷化。
若持續以既定時間進行矽烷化劑之供給,就會藉由控制裝置4驅動升降銷升降機構21。藉此,使升降銷19上升,將基板W抬升至相對於基板保持台14向上方離開之位置(例如,在與中央機器人CR之間可交接基板W之位置)為止。然後,控制裝置4關閉矽烷化劑閥29,並開啟上方氣體閥30。藉此,使常溫之氮氣自矽烷化劑導入管28導入腔室8內,而使氮氣供給至基板W之上表面。其結果,高溫之基板W係藉由常溫之氮氣而冷卻。於基板W藉由氮氣而冷卻之期間,周圍排氣閥34保持開啟之狀態。因此,腔室8內之環境氣體將被迅速地置換為自矽烷化劑導入管28所導入之氮氣。
於將腔室8內之環境氣體置換為氮氣環境之後,藉由控制裝置4驅動閘開閉機構24。藉此,使閘擋板23配置於開放位置,而使閘22開放。又,若閘22開放,則控制裝置4就會關閉上方氣體閥30及周圍排氣閥34,並開啟側方氣體閥26及閘側排氣閥37。藉此,自側壁10側朝向閘22之氮氣之氣流係形成於腔室8內,藉由此氣流可防止腔室8外部之環境氣體流入腔室8內。於此狀態下,由升降銷19所支持之基板W係藉由中央機器人CR自腔室8搬出。
圖3係表示本發明第1實施形態之蝕刻單元7b之概略構成的示意圖。以下,針對蝕刻單元7b之概略構成、及於蝕刻單元7b所進行基板W之處理之一例進行說明。首先,針對蝕刻單元7b之概略構成進行說明。
蝕刻單元7b具備有:旋轉卡盤38(基板保持單元),其係水平地保持基板W並加以旋轉;蝕刻劑噴嘴39(蝕刻劑供給單元),其係對由旋轉卡盤38所保持基板W之上表面供給作為蝕刻劑之蝕刻液;淋洗液噴嘴40,其係對由旋轉卡盤38所保持基板W之上表面供給淋洗液;及腔室41,其係收納旋轉卡盤38、蝕刻劑噴嘴39、及淋洗液噴嘴40。
旋轉卡盤38包含:圓盤狀之旋轉基座42,其係水平地保持基板W且可圍繞穿過該基板W中心之鉛垂軸線旋轉;及旋轉馬達43,其係使此旋轉基座42圍繞鉛垂軸線旋轉。旋轉卡盤38既可為朝水平方向夾著基板W而水平地保持該基板W之夾持式卡盤,亦可為藉由吸附非元件形成面即基板W之背面(下表面)而水平地保持該基板W之真空式卡盤。於第1實施形態中,旋轉卡盤38為夾持式卡盤。旋轉卡盤38係將基板W水平地保持於保持位置P2。於第1實施形態中,保持位置P2為蝕刻位置。
蝕刻劑噴嘴39係連接於插裝有蝕刻劑閥44之蝕刻劑供給管45。對蝕刻劑噴嘴39之蝕刻液之供給,係藉由蝕刻劑閥44之開閉所控制。供給至蝕刻劑噴嘴39之蝕刻液,係朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。作為供給至蝕刻劑噴嘴39之蝕刻液,可列舉:常溫~70℃之包含氫氟酸及乙二醇之混合液、高溫(例如120℃~190℃)之磷酸水溶液、及常溫或高溫(高於常溫之溫度)之氫氟酸。
淋洗液噴嘴40係連接於插裝有淋洗液閥46之淋洗液供給管47。對淋洗液噴嘴40之淋洗液之供給,係藉由淋洗液閥46之開閉所控制。供給至淋洗液噴嘴40之淋洗液,係朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。作為供給至淋洗液噴嘴40之淋洗液,可例示:純水(去離子水)、碳酸水、電解離子水、氫水、臭氧水、或稀釋濃度(例如10~100 ppm左右)之鹽酸水等。
腔室41包含形成有用以將基板W搬入/搬出腔空41內之開口48之隔離壁49、及覆蓋此開口48之閘擋板50。閘擋板50係配置於隔離壁49外。於閘擋板50係結合有包含汽缸之閘開閉機構51。閘開閉機構51係使閘擋板50在密接於閘擋板50與隔離壁49之外表面而將開口48密封之封閉位置、與閘擋板50一邊朝隔離壁49之側方離開一邊下降而使開口48大幅度開放之開放位置之間移動。
接著,針對於蝕刻單元7b所進行基板W之處理之一例進行說明。
中央機器人CR係將基板W搬入蝕刻單元7b內。於將基板W向蝕刻單元7b內搬入之前,藉由控制裝置4驅動閘開閉機構51。藉此,使閘擋板50配置於開放位置,而使腔室41之開口48開放。其後,中央機器人CR係將基板W搬入腔室41內,並將此基板W載置於旋轉卡盤38上。控制裝置4係於藉由中央機器人CR將基板W載置於旋轉卡盤38上之後,使中央機器人CR自腔室41內退避。其後,藉由控制裝置4驅動閘開閉機構51,將閘擋板50配置於封閉位置。藉此,使腔室41之開口48由閘擋板50所密封。於將腔室41之開口48密封之後,控制裝置4係控制旋轉馬達43,藉此使由旋轉卡盤38所保持之基板W旋轉。
接著,將蝕刻液供給至基板W,進行對基板W蝕刻之蝕刻處理。具體而言,控制裝置4係一邊藉由旋轉卡盤38使基板W旋轉,一邊開啟蝕刻劑閥44,使蝕刻液自蝕刻劑噴嘴39朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。自蝕刻劑噴嘴39所吐出之蝕刻液係供給至基板W之上表面中央部,並受到因基板W之旋轉所產生之離心力而沿著基板W之上表面向外側擴展。藉此,使蝕刻液供給至基板W之上表面整個區域,而使基板W之上表面整個區域受到蝕刻。然後,若蝕刻劑閥44開啟後經過既定時間,控制裝置4就會關閉蝕刻劑閥44,使蝕刻液自蝕刻劑噴嘴39之吐出停止。
接著,將淋洗液供給至基板W,進行將附著於基板W之蝕刻液洗掉之淋洗處理。具體而言,控制裝置4係一邊藉由旋轉卡盤38使基板W旋轉,一邊開啟淋洗液閥46,使淋洗液自淋洗液噴嘴40朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。自淋洗液噴嘴40所吐出之淋洗液係供給至基板W之上表面中央部,並受到因基板W之旋轉所產生之離心力而沿著基板W之上表面向外側擴展。藉此,使淋洗液供給至基板W之上表面整個區域,而將附著於基板W之蝕刻液洗掉。然後,若淋洗液閥46開啟後經過既定時間,控制裝置4就會關閉淋洗液閥46,使淋洗液自淋洗液噴嘴40之吐出停止。
接著,進行使基板W乾燥之乾燥處理(旋轉乾燥)。具體而言,控制裝置4係控制旋轉馬達43,使基板W以高旋轉速度(例如數千rpm)旋轉。藉此,使較大之離心力作用於附著在基板W之淋洗液,將該淋洗液甩至基板W之周圍。如此一來,就會自基板W去除淋洗液,而使基板W乾燥。於持續進行既定時間之乾燥處理之後,控制裝置4係控制旋轉馬達43,使藉由旋轉卡盤38所進行基板W之旋轉停止。其後,藉由控制裝置4驅動閘開閉機構51,將閘擋板50配置於開放位置。藉此,使腔室41之開口48開放。其後,藉由中央機器人CR自腔室41內搬出由旋轉卡盤38所保持之基板W。
圖4(a)~圖4(d)係用以說明藉由本發明第1實施形態之基板處理裝置1所進行基板W之處理之一例的圖式。以下,針對將蝕刻劑供給至形成有氧化膜之一例即SiO2膜、及氮化膜之一例即SiN膜之基板W之表面,選擇性地去除SiN膜之選擇性蝕刻的一例進行說明。形成於基板W表面之氧化膜亦可為使用四乙基正矽酸鹽(TEOS,Tetra Ethyl Ortho Silicate)所形成之膜(TEOS膜)。以下,參照圖1及圖4(a)~圖4(d)。
收納在由載具保持部5所保持之載具C內之未處理基板W,係利用索引機器人IR搬出。然後,自載具C內所搬出之基板W係自索引機器人IR交給中央機器人CR。中央機器人CR係將自索引機器人IR接收之未處理基板W搬入矽烷化單元7a內。
如圖4(a)所示,於矽烷化單元7a係如上所述,將矽烷化劑之蒸氣供給至基板W,使基板W矽烷化(矽烷化處理;矽烷化步驟)。具體而言,矽烷化劑之一例即HMDS之蒸氣,係供給至由基板保持台14保持在保持位置P1之基板W之表面,而使基板W之表面矽烷化。然後,於將基板W之表面經矽烷化之後,係利用中央機器人CR自矽烷化單元7a內搬出配置於矽烷化單元7a內之基板W。自矽烷化單元7a內所搬出之基板W,係藉由中央機器人CR搬入至蝕刻單元7b內。
如圖4(b)所示,於蝕刻單元7b係如上所述,將蝕刻劑供給至基板W,而使基板W受到蝕刻(蝕刻處理;蝕刻步驟)。具體而言,蝕刻劑之一例即包含氫氟酸及乙二醇之混合液,係供給至由旋轉卡盤38保持於保持位置P2之基板W之表面。藉此,可選擇性地去除形成於基板W表面之SiN膜。其後,如圖4(c)所示,淋洗液之一例即純水,係供給至由旋轉卡盤38保持於保持位置P2之基板W之表面,而將附著於基板W表面之蝕刻劑洗掉(淋洗處理;淋洗步驟)。然後,如圖4(d)所示,藉由基板W之高速旋轉自基板W去除附著於基板W之淋洗液。藉此,使由旋轉卡盤38保持於保持位置P2之基板W乾燥(乾燥處理;乾燥步驟)。
於蝕刻單元7b在進行乾燥處理之後,係利用中央機器人CR自蝕刻單元7b內搬出基板W。然後,將自蝕刻單元7b內所搬出之基板W,自中央機器人CR交給索引機器人IR。索引機器人IR係將自中央機器人CR所接收處理完畢之基板W搬入由載具保持部5所保持之載具C。藉此,使於基板處理裝置1一系列之處理結束。控制裝置4係重複執行此一動作,逐片地處理複數片基板W。
圖5係表示對未矽烷化之基板W進行蝕刻時之蝕刻時間與蝕刻量及選擇比之關係的圖表。圖6係表示對經矽烷化之基板W進行蝕刻時之蝕刻時間與蝕刻量及選擇比之關係的圖表。圖5係比較例之圖表,圖6係本發明之實施例之圖表。
於圖5及圖6中,表示對形成有SiO2膜及SiN膜之基板W之表面供給包含氫氟酸及乙二醇之水溶液,而將基板W蝕刻時之蝕刻量及選擇比。基板W之蝕刻時所使用之包含氫氟酸及乙二醇之水溶液係50%之氫氟酸(氟化氫之水溶液)與100%之乙二醇,以4:96(氫氟酸為4,乙二醇為96)之比例加以混合,並調整溫度為60℃者。
圖6所示之蝕刻量及選擇比係於將蝕刻液供給至基板W之前,將HMDS供給至基板W時之數值。即,圖5所示之蝕刻量及選擇比,係對未矽烷化之基板W進行蝕刻時之數值,圖6所示之蝕刻量及選擇比,係對經矽烷化之基板W進行蝕刻時之數值。
如圖5所示,於對未矽烷化之基板W進行蝕刻之情形時,SiO2膜及SiN膜之蝕刻量係隨著處理時間之增加而增加,該變化之比例係大致相同。因此,於對未矽烷化之基板W進行蝕刻之情形時,選擇比(氮化膜之去除量/氧化膜之去除量)係無關於處理時間而大致固定。
另一方面,如圖6所示,於對經矽烷化之基板W進行蝕刻之情形時,雖然SiN膜之蝕刻量係隨著處理時間之增加而增加,但SiO2膜之蝕刻量則無關於處理時間而大致固定。因此,於對經矽烷化之基板W進行蝕刻之情形時,選擇比係隨著處理時間之增加而增加。
若比較對未矽烷化之基板W進行蝕刻時之SiN膜之蝕刻量、與對經矽烷化之基板W進行蝕刻時之SiN膜之蝕刻量,只要處理時間相同,兩者幾乎無異。另一方面,若比較對未矽烷化之基板W進行蝕刻時之SiO2膜之蝕刻量、與對經矽烷化之基板W進行蝕刻時之SiO2膜之蝕刻量,則於基板W經矽烷化之情形時較少。即,由於氧化膜具有羥基(OH基),故雖然與矽烷化劑發生反應,而由於氮化膜不具有羥基,但不與矽烷化劑發生反應。因此,若於將矽烷化劑供給至基板W之後,將蝕刻液供給至基板W,則氧化膜之蝕刻將藉由矽烷化劑之供給而受抑制。因此,藉由於將矽烷化劑供給至基板W之後,將蝕刻液供給至基板W,可提高選擇比。
如上述於第1實施形態中,係於將矽烷化劑供給至基板W之後,將蝕刻液作為蝕刻劑供給至基板W。因此,經矽烷化之基板W會受到蝕刻。如上述,藉由使形成有氧化膜及氮化膜之基板W矽烷化,可抑制氧化膜受到蝕刻。因此,藉由對經矽烷化之基板W進行蝕刻,可提高選擇比。
又,於第1實施形態中,由於供給至基板W之矽烷化劑包含矽烷化劑之一例即非水溶性之矽烷化劑,故將非水溶性之矽烷化劑供給至基板W。而且,將包含氫氟酸及乙二醇之水溶液等含水之蝕刻液供給至基板W。因此,於第1實施形態中,在將非水溶性之矽烷化劑供給至基板W之後,再將含水之蝕刻劑供給至該基板W。於此情形時,由於矽烷化劑為非水溶性,故附著於基板W之矽烷化劑不溶於供給至基板W之蝕刻液。因此,可維持氧化膜之蝕刻受抑制之狀態。藉此,可抑制或防止選擇比下降。
[第2實施形態]
接著,針對本發明之第2實施形態進行說明。此第2實施形態與上述第1實施形態之主要不同點在於處理單元之構成不同。即,於第1實施形態中,雖然已針對複數個處理單元係包括使基板W矽烷化之矽烷化單元、及對基板W蝕刻之蝕刻單元之情形進行說明,但於第2實施形態中,複數個處理單元係包括使基板W矽烷化同時對基板W進行蝕刻之矽烷化‧蝕刻單元。於以下之圖7~圖10(d)中,對於與上述圖1~圖6所示之各部分相當之構成部分,係標示與圖1等相同之參照符號,並省略其說明。
圖7係表示本發明第2實施形態之基板處理裝置201之配置的俯視示意圖。
第2實施形態之基板處理裝置201係除了複數個處理單元以外,具備有與第1實施形態之基板處理裝置1相同之構成。即,基板處理裝置201包含有複數個處理單元207以代替第1實施形態之複數個處理單元7。複數個處理單元207係以於俯視時包圍中央機器人CR之方式所配置。複數個處理單元207包括使基板W矽烷化同時對基板W進行蝕刻之矽烷化‧蝕刻單元207a。於第2實施形態中,所有處理單元207均為矽烷化‧蝕刻單元207a。
圖8係表示本發明第2實施形態之矽烷化‧蝕刻單元207a之概略構成的示意圖。圖9係本發明第2實施形態之阻斷板252及與此相關之構成的仰視圖。以下,參照圖8。適當地參照圖9。
矽烷化‧蝕刻單元207a具備有旋轉卡盤38、蝕刻劑噴嘴39、淋洗液噴嘴40、及腔室41。於第2實施形態中,係由旋轉卡盤38構成基板保持單元。矽烷化‧蝕刻單元207a進一步具備:阻斷板252,其係於腔室41內配置在旋轉卡盤38之上方;風扇‧過濾器‧單元253(FFU;Fan/Filter/Unit),其係將潔淨空氣自腔室41之上部供給至腔室41內;及排氣機構(未圖示),其係自腔室41之下部排出腔室41內之環境氣體。FFU253及排氣機構係永遠驅動,使腔室41內形成自腔室41上部朝向腔室41下部流動之氣流。
阻斷板252包括水平配置之圓板部254、沿圓板部254之外周緣所設置之筒狀部255、及與圓板部254連接之加熱器256。筒狀部255係自圓板部254之外周緣朝向下方鉛垂地延伸。筒狀部255為圓筒狀。圓板部254與筒狀部255為同軸。圓板部254具有較基板W之直徑更大之直徑。筒狀部255具有大於旋轉基座42之內徑。圓板部254係以水平之姿勢連結於支軸257之下端部。阻斷板252之中心軸線係配置於旋轉卡盤38之旋轉軸線上。阻斷板252係以使圓板部254之下表面成為水平之方式配置。於基板W由旋轉卡盤38所保持之狀態下,圓板部254之下表面係與基板W之上表面為對向。
圓板部254具有朝鉛垂方向貫通圓板部254中央部之貫通孔。此貫通孔係於圓板部254之下表面中央部開口。支軸257為中空軸,且支軸257之內部空間係連通於貫通孔。矽烷化劑導入管258(矽烷化劑供給單元)在非接觸狀態下插通於支軸257,而矽烷化劑導入管258之下端係到達貫通孔內。如圖9所示,於矽烷化劑導入管258之下端,係形成有吐出矽烷化劑之矽烷化劑吐出口259、及吐出氮氣之中心氣體吐出口260。又,於支軸257與矽烷化劑導入管258之間,形成有包圍矽烷化劑導入管258之筒狀之氣體供給路徑261。氣體供給路徑261之下端係於圓板部254之下表面開口。如圖9所示,氣體供給路徑261之下端係形成吐出氮氣之環狀氣體吐出口262。
於矽烷化劑導入管258,連接有矽烷化劑供給管263及第1氣體供給管264。對於矽烷化劑導入管258,分別經由矽烷化劑供給管263及第1氣體供給管264供給矽烷化劑及氮氣。供給至矽烷化劑導入管258之矽烷化劑,既可為矽烷化劑之蒸氣,亦可為矽烷化劑之液體。於矽烷化劑供給管263,插裝有控制對矽烷化劑導入管258供給及停止供給矽烷化劑之矽烷化劑閥265,而於第1氣體供給管264,插裝有控制對矽烷化劑導入管258供給及停止供給氮氣之第1氣體閥266。
又,於支軸257之上端部係連接有插裝有第2氣體閥267之第2氣體供給管268。對於氣體供給路徑261,係經由此第2氣體供給管268供給氮氣。供給至氣體供給路徑261之氮氣係自環狀氣體吐出口262向下方吐出。
支軸257係結合於阻斷板升降機構269。阻斷板升降機構269係使支軸257及阻斷板252,在圓板部254之下表面接近於由旋轉卡盤38所保持基板W之上表面之接近位置(圖10(a)所示之位置)、與圓板部254之下表面大幅度退避至旋轉卡盤38上方之退避位置(圖8所示位置)之間一體地升降。在阻斷板252位於接近位置之狀態下,使圓板部254之下表面接近於基板W之上表面,同時使阻斷板252之筒狀部255包圍基板W之周圍。
圖10(a)~圖10(d)係用以說明藉由本發明第2實施形態之基板處理裝置201所進行基板W之處理之一例的圖式。以下,針對將蝕刻液作為蝕刻劑供給至形成有氧化膜之一例即SiO2膜、及氮化膜之一例即SiN膜之基板W之表面,而選擇性地去除SiN膜之選擇性蝕刻的一例進行說明。又,以下,參照圖7、圖8、及圖10(a)~圖10(d)。
收納於由載具保持部5所保持之載具C內之未處理基板W,係由索引機器人IR所搬出。然後,將自載具C內搬出之基板W,自索引機器人IR交給中央機器人CR。中央機器人CR係將自索引機器人IR所接收未處理之基板W搬入矽烷化‧蝕刻單元207a內。
具體而言,於將基板W向矽烷化‧蝕刻單元207a內搬入之前,藉由控制裝置4驅動閘開閉機構51。藉此,使閘擋板50配置於開放位置,而使腔室41之開口48開放。其後,中央機器人CR係將基板W搬入腔室41內,並將此基板W載置於旋轉卡盤38上。當基板W載置於旋轉卡盤38上之時,阻斷板252係配置於大幅度退避至旋轉卡盤38上方之退避位置。
控制裝置4係在利用中央機器人CR將基板W載置於旋轉卡盤38上之後,使中央機器人CR自腔室41內退避。其後,藉由控制裝置4驅動閘開閉機構51,而使閘擋板50配置於封閉位置。藉此,使腔室41之開口48由閘擋板50所密封。於密封腔室41之開口48之後,控制裝置4係藉由控制旋轉馬達43使由旋轉卡盤38所保持之基板W旋轉。
接著,如圖10(a)所示,將矽烷化劑供給至基板W,進行使基板W矽烷化之矽烷基處理(矽烷化步驟)。具體而言,控制裝置4係藉由控制阻斷板升降機構269,而使阻斷板252自退避位置移動至接近位置。藉此,使阻斷板252之圓板部254之下表面接近於基板W之上表面,同時使阻斷板252之筒狀部255包圍基板W之周圍。控制裝置4係在阻斷板252位於接近位置之狀態下,開啟第1氣體閥266及第2氣體閥267,使氮氣自中心氣體吐出口260及環狀氣體吐出口262吐出。藉此,使圓板部254與旋轉基座42之間的環境氣體(包含水分之環境氣體)置換為氮氣環境。
然後,控制裝置4在阻斷板252位於接近位置之狀態下,開啟矽烷化劑閥265,使矽烷化劑之一例即HMDS之液體自矽烷化劑吐出口259吐出。自矽烷化劑吐出口259所吐出之HMDS係供給至基板W之上表面中央部,並受到因基板W之旋轉所產生之離心力而沿著基板W之上表面向外側擴展。藉此,將HMDS供給至基板W之上表面整個區域,而使基板W之上表面整個區域矽烷化。然後,若矽烷化劑閥265開啟後經過既定時間,控制裝置4就會關閉矽烷化劑閥265而使HMDS自矽烷化劑吐出口259之吐出停止。亦可於將HMDS供給至基板W之期間,藉由控制裝置4驅動加熱器256,而對基板W進行加熱。
於HMDS之吐出停止之後,控制裝置4在阻斷板252位於接近位置之狀態下,再次開啟第1氣體閥266及第2氣體閥267,使氮氣自中心氣體吐出口260及環狀氣體吐出口262吐出。藉此,使圓板部254與旋轉基座42之間的環境氣體置換為氮氣環境。又,藉由氮氣之供給而自圓板部254與旋轉基座42之間排出之環境氣體,係藉由排氣機構(未圖示)自腔室41內排出。
如此,於將HMDS供給至基板W之前,自基板W之附近去除包含水分之環境氣體。藉此,可抑制或防止HMDS與水發生反應而產生氨氣。又,於將HMDS供給至基板W之後,自腔室41內去除阻斷板252與旋轉基座42之間的環境氣體。因此,可自腔室41內去除藉由HMDS與基板W之反應所生成之氨氣。
接著,如圖10(b)所示,將蝕刻劑之一例即包含氫氟酸及乙二醇之混合液供給至基板W,進行對基板W蝕刻之蝕刻處理(蝕刻步驟)。具體而言,控制裝置4係一邊藉由旋轉卡盤38使基板W旋轉,一邊開啟蝕刻劑閥44,使包含氫氟酸及乙二醇之混合液自蝕刻劑噴嘴39朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。自蝕刻劑噴嘴39所吐出包含氫氟酸及乙二醇之混合液,係供給至基板W之上表面中央部,受到因基板W之旋轉所產生之離心力而沿著基板W之上表面向外側擴展。藉此,使包含氫氟酸及乙二醇之混合液供給至基板W之上表面整個區域,而使基板W之上表面整個區域受到蝕刻。然後,若蝕刻劑閥44開啟後經過既定時間,控制裝置4就會關閉蝕刻劑閥44,使包含氫氟酸及乙二醇之混合液自蝕刻劑噴嘴39之吐出停止。
接著,如圖10(c)所示,將淋洗液之一例即純水供給至基板W,進行將附著於基板W之包含有氫氟酸及乙二醇之混合液洗掉的淋洗處理(淋洗步驟)。具體而言,控制裝置4係一邊藉由旋轉卡盤38使基板W旋轉,一邊開啟淋洗液閥46,使純水自淋洗液噴嘴40朝向由旋轉卡盤38所保持基板W之上表面中央部吐出。自淋洗液噴嘴40所吐出之純水係供給至基板W之上表面中央部,受到因基板W之旋轉之離心力而沿著基板W之上表面向外側擴展。藉此,使純水供給至基板W之上表面整個區域,而將附著於基板W之包含氫氟酸及乙二醇之混合液洗掉。然後,若淋洗液閥46開啟後經過既定時間,控制裝置4就會關閉淋洗液閥46,使純水自淋洗液噴嘴40之吐出停止。
接著,如圖10(d)所示,進行使基板W乾燥之乾燥處理(旋轉乾燥;乾燥步驟)。具體而言,控制裝置4係控制旋轉馬達43,使基板W以高旋轉速度(例如數千rpm)旋轉。藉此,使較大之離心力作用於附著在基板W之純水,將該純水甩開至基板W之周圍。如此一來,可自基板W去除純水,使基板W乾燥。於持續既定時間地進行乾燥處理之後,控制裝置4係控制旋轉馬達43,使藉由旋轉卡盤38所進行基板W之旋轉停止。其後,藉由控制裝置4驅動閘開閉機構51,將閘擋板50配置於開放位置。藉此,使腔室41之開口48開放。
於腔室41之開口48開放之後,利用中央機器人CR自矽烷化‧蝕刻單元207a內搬出由旋轉卡盤38所保持之基板W。然後,自矽烷化‧蝕刻單元207a內所搬出之基板W,係自中央機器人CR交給索引機器人IR。索引機器人IR係將自中央機器人CR接收之處理完畢之基板W搬入由載具保持部5所保持之載具C。藉此,使於基板處理裝置201之一系列處理結束。控制裝置4係重複執行此一動作,逐片地處理複數片基板W。
如上述,於第2實施形態中,矽烷化劑及蝕刻劑係供給至由旋轉卡盤38所保持之基板W。即,於第2實施形態中,矽烷化位置與蝕刻位置為相同位置,對基板W供給矽烷化劑及供給蝕刻劑係於相同位置進行。因此,在進行對基板W供給矽烷化劑之後,可不使基板W移動至蝕刻位置。藉此,可縮短基板W之搬送時間。因此,可縮短基板W之處理時間。
[第3實施形態]
於第2實施形態中,雖然已針對阻斷板252之筒狀部255為圓筒狀之情形進行說明,但筒狀部255亦可為圓錐台狀。具體而言,如圖11所示之第3實施形態之阻斷板352,筒狀部355亦可沿圓板部254之外周緣設置,且以自圓板部254之外周緣朝外側擴展之方式延伸至下方。而且,筒狀部355亦可使其厚度隨著接近筒狀部355之下端減少。
[第4實施形態]
接著,針對本發明之第4實施形態進行說明。此第4實施形態與上述第1實施形態之主要不同點,在於藉由蝕刻劑之蒸氣對基板W進行蝕刻。於以下圖12~圖18中,針對與上述圖1~圖11所示之各部分相當之構成部分,標示與圖1等相同之參照符號並省略其說明。
圖12係表示本發明第4實施形態之基板處理裝置401之配置的俯視示意圖。
基板處理裝置401包括處理基板W之複數個處理單元407。複數個處理單元407係以於俯視時包圍中央機器人CR之方式所配置。中央機器人CR係於索引機器人IR與處理單元407之間搬送基板W,同時於複數個處理單元407間搬送基板W。複數個處理單元407包括使基板W矽烷化之矽烷化單元407a、對基板W進行蝕刻之蝕刻單元407b、及將淋洗液供給至基板W同時使該基板W乾燥之洗淨單元407c。
雖未圖示,但洗淨單元407c係具備有旋轉卡盤38、淋洗液噴嘴40(淋洗液供給單元)、及腔室41(參照圖3)。於洗淨單元407c係藉由將自淋洗液噴嘴40所吐出之純水供給至由旋轉卡盤38所保持基板W之上表面整個區域,而將附著於基板W之液體或雜質洗掉(淋洗處理;淋洗步驟)。其後,藉由旋轉卡盤38使基板W以高旋轉速度旋轉而自基板W去除純水。藉此,使基板W乾燥(乾燥處理;乾燥步驟)。
圖13及圖14係表示本發明第4實施形態之矽烷化單元407a之概略構成的示意圖。以下,針對矽烷化單元407a之概略構成及於矽烷化單元407a所進行基板W之處理之一例進行說明。首先,針對矽烷化單元407a之概略構成進行說明。
矽烷化單元407a包括保持基板W之基板保持台414、對由基板保持台414所保持之基板W進行加熱之加熱器417、及支持基板保持台414之支持構件412。基板保持台414例如為具有大於基板W直徑之圓板狀平板。加熱器417係埋設於基板保持台414。基板W係以基板W之中心與基板保持台414之中心位於共有之鉛垂軸線上之方式水平地載置於基板保持台414上。藉此,使基板W由基板保持台414水平地保持於保持位置P1。於第4實施形態中,係藉由基板保持台414、及下述加熱板478構成基板保持單元。基板保持台414係配置於支持構件412之上方。支持構件412例如為具有大於基板保持台414直徑之圓板狀平板。支持構件412之外周部係較基板保持台414更向外側突出。
又,矽烷化單元407a進一步包含有將基板W支持於基板保持台414上方之複數根升降銷419、及使複數根升降銷419一體地升降之升降銷升降機構421。各升降銷419係沿鉛垂方向延伸。各升降銷419之上端係配置於相同之高度。於基板保持台414及支持構件412,形成有朝鉛垂方向貫通基板保持台414及支持構件412之複數個貫通孔。若升降銷升降機構421將複數根升降銷419自退避位置(圖13所示之位置)上升至突出位置(圖14所示之位置),則複數根升降銷419就會分別插入複數個貫通孔,使各升降銷419之上端自基板保持台414之上表面突出。另一方面,若升降銷升降機構421使複數根升降銷419自突出位置下降至退避位置,則各升降銷419之上端就會移動至較基板保持台414之上表面更下方處。
複數根升降銷419係藉由支持基板W之下表面,而水平地保持該基板W。於複數根升降銷419將基板W保持在突出位置之狀態下,若升降銷升降機構421使複數根升降銷419下降至退避位置,則由複數根升降銷419所保持之基板W就會載置於基板保持台414。藉此,將基板W搬送至保持位置P1,使基板W由基板保持台414水平地保持於保持位置P1。另一方面,於由基板保持台414保持基板W之狀態下,若升降銷升降機構421使複數根升降銷419自退避位置上升至突出位置,則由基板保持台414所保持之基板W係藉由複數根升降銷419所支持,而搬送至較保持位置P1更上方處。如此一來,就會於基板保持台414與複數根升降銷419之間進行基板W之交接。
又,矽烷化單元407a進一步包含有配置於支持構件412上方之阻斷板452。阻斷板452包括水平配置之圓板部454、及沿圓板部454之外周緣所設置之筒狀部455。筒狀部455係自圓板部454之外周緣朝向下方鉛垂地延伸。筒狀部455為圓筒狀。圓板部454與筒狀部455為同軸。圓板部454係具有大於基板保持台414之直徑。筒狀部455係具有較基板保持台414之直徑更大之內徑。筒狀部455之內徑係小於支持構件412之外徑。阻斷板452係以使圓板部454之下表面成為水平之方式配置。於基板W由基板保持台414所保持之狀態下,圓板部454之下表面係對向於基板W之上表面。阻斷板452係以使圓板部454之中心與基板保持台414之中心位於共有之鉛垂軸線上之方式配置。
筒狀部455之下端係對向於支持構件412之上表面周緣部。於筒狀部455之下端與支持構件412之上表面周緣部之間,配置具有大於基板保持台414內徑之環狀之密封構件470(例如O型環)。於第4實施形態中,密封構件470係保持於支持構件412之上表面周緣部。密封構件470並不限於由支持構件412所保持,亦可由筒狀部455之下端所保持。密封構件470係沿支持構件412之上表面周緣部朝支持構件412之周方向延伸。阻斷板452係結合於阻斷板升降機構269。阻斷板升降機構269係使阻斷板452於密封位置(圖13所示之位置)、與設置於密封位置上方之開放位置(圖14所示之位置)之間升降。若阻斷板升降機構269使阻斷板452自退避位置下降至密封位置,筒狀部455之下端與支持構件412之上表面之間的間隙就會由密封構件470所密封。藉此,密封包含保持位置P1之收納空間471(參照圖13)。另一方面,若阻斷板升降機構269使阻斷板452自密封位置上升至退避位置,筒狀部455就會離開密封構件470,使收納空間471開放。
於圓板部454之下表面中央部,形成有吐出口472。矽烷化劑導入管458(矽烷化劑供給單元)係連接於吐出口472。對於矽烷化劑導入管458,係經由矽烷化劑供給管463供給矽烷化劑之蒸氣。又,對於矽烷化劑導入管458,係經由氮氣供給管464供給氮氣。於矽烷化劑供給管463,插裝有控制對矽烷化劑導入管458供給及停止供給矽烷化劑之矽烷化劑閥465,而於氮氣供給管464,插裝有控制對矽烷化劑導入管458供給及停止供給氮氣之氮氣閥466。供給至矽烷化劑導入管458之矽烷化劑之蒸氣及氮氣,係自吐出口472向下方吐出。
又,於支持構件412之上表面,形成有以俯視時包圍基板保持台414之環狀之排氣口432。排氣口432係連通於收納空間471。排氣管433之一端部係連接於排氣口432。於排氣管433係插裝有壓力調整閥473。由於在阻斷板452位於密封位置之狀態下將收納空間471密封,故於此狀態下,若矽烷化劑之蒸氣或氮氣自吐出口472吐出,則收納空間471之氣壓就會升高。然後,若收納空間471之氣壓達到既定值,壓力調整閥473就會開啟,使收納空間471之環境氣體自排出口432排出。藉此,使收納空間471之氣壓下降。然後,若收納空間471之氣壓未達既定值,壓力調整閥473就會關閉。因此,在阻斷板452位於密封位置之狀態下,若矽烷化劑之蒸氣或氮氣自吐出口472吐出,就會排淨收納空間471之氣體,使收納空間471之環境氣體置換為矽烷化劑之蒸氣或氮氣。其後,若矽烷化劑之蒸氣或氮氣自吐出口472之吐出停止,壓力調整閥473就會關閉,而維持矽烷化劑之蒸氣或氮氣充滿收納空間471之狀態。
接著,針對於矽烷化單元407a所進行基板W之處理之一例進行說明。
於基板W搬入矽烷化單元407a時,預先將阻斷板452配置於開放位置,並將複數根升降銷419配置於突出位置。於此狀態下,控制裝置4係藉由中央機器人CR將基板W載置於複數根升降銷419上。然後,控制裝置4使中央機器人CR退避之後,會藉由升降銷升降機構421使複數根升降銷419下降至退避位置。藉此,使由複數根升降銷419所支持之基板W載置於基板保持台414,使基板W由基板保持台414保持在保持位置P1。然後,控制裝置4於使複數根升降銷419移動至退避位置之後,會藉由阻斷板升降機構269使阻斷板452下降至密封位置。藉此,密封收納空間471。因此,使基板W保持於密封空間內。
接著,將收納空間471之環境氣體置換為氮氣環境。具體而言,控制裝置4係在阻斷板452位於密封位置之狀態下,開啟氮氣閥466,使氮氣自吐出口472吐出。藉此,將氮氣供給至收納空間471。因此,收納空間471之氣壓會上升,壓力調整閥473開啟。因此,收納空間471之氣體自排出口432排出。藉此,使收納空間471之環境氣體置換為氮氣。其後,控制裝置4關閉氮氣閥466。藉此,使壓力調整閥473關閉,而使氣體自收納空間471之排出停止。因此,可維持氮氣充滿收納空間471之狀態。
接著,將矽烷化劑之一例即HMDS之蒸氣供給至基板W。具體而言,控制裝置4在阻斷板452位於密封位置之狀態下,開啟矽烷化劑閥465,使HMDS之蒸氣自吐出口472吐出。藉此,將HMDS之蒸氣供給至收納空間471。因此,壓力調整閥473開啟,使充滿收納空間471之氮氣置換為HMDS之蒸氣。其後,控制裝置4關閉矽烷化劑閥465。藉此,使壓力調整閥473關閉,而使氣體停止自收納空間471排出。因此,可使HMDS之蒸氣維持充滿收納空間471之狀態。藉由使HMDS之蒸氣充滿收納空間471,而使HMDS之蒸氣供給至由基板保持台414保持於保持位置P1之基板W。又,由基板保持台414所保持之基板W係藉由利用加熱器417所進行之加熱而保持在高於室溫之固定溫度(例如50~100℃之範圍內之固定溫度)。因此,可將HMDS之蒸氣供給至保持在固定溫度之基板W。藉此,執行矽烷化處理(矽烷化步驟),使基板W之上表面整個區域矽烷化。
接著,將收納空間471之環境氣體置換為氮氣環境。具體而言,控制裝置4在阻斷板452位於密封位置之狀態下,開啟氮氣閥466,使氮氣自吐出口472吐出。藉此,將氮氣供給至收納空間471。因此,使壓力調整閥473開啟,而使充滿收納空間471之HMDS之蒸氣、或藉由基板W與HMDS之反應所生成之氣體置換為氮氣。然後,控制裝置4於將收納空間471之環境氣體置換為氮氣之後,就會關閉氮氣閥466。藉此,使壓力調整閥473關閉,而使氣體停止自收納空間471排出。因此,可使氮氣維持充滿收納空間471之狀態。
接著,自矽烷化單元407a搬出基板W。具體而言,控制裝置4係藉由阻斷板升降機構269使阻斷板452上升至退避位置。其後,控制裝置4係藉由升降銷升降機構421使複數根升降銷419上升至突出位置。藉此,使由基板保持台414所保持之基板W藉由複數根升降銷419支持而配置於保持位置P1上方。控制裝置4在使複數根升降銷419移動至突出位置之後,藉由中央機器人CR搬出由複數根升降銷419所支持之基板W。藉此,自矽烷化單元407a搬出基板W。
圖15係表示本發明第4實施形態之蝕刻單元407b之概略構成的示意圖。以下,針對蝕刻單元407b之概略構成、及於蝕刻單元407b所進行基板W之處理之一例進行說明。首先,針對蝕刻單元407b之概略構成進行說明。
蝕刻單元407b具備有在密封狀態下蓄積氫氟酸水溶液474之蒸氣產生容器475(蝕刻劑供給單元)、及收納蒸氣產生容器475之外罩476。於此蒸氣產生容器475下方係設置有將蝕刻劑之一例即氫氟酸之蒸氣向下方吐出之多個貫通孔之沖孔板477。而且,於沖孔板477之下方,配置有在基板W與沖孔板477對向之狀態下將該基板W水平地保持於保持位置P2之加熱板478。加熱板478係固定於旋轉軸479之上端。若包含馬達等之旋轉驅動機構480使旋轉軸479旋轉,則加熱板478係與旋轉軸479一併圍繞鉛垂軸線旋轉。由加熱板478所保持之基板W係藉由加熱板478進行加熱。
蝕刻單元407b進一步具備有設置於加熱板478之周圍之筒狀之風箱482。加熱板478係配置於風箱482內。風箱482可相對於外罩476之底面476a上下收縮。未圖示之驅動機構係使風箱482在風箱482之上端緣抵接於沖孔板477而密封加熱板478周圍之空間之密封位置(由實線表示之位置)、與風箱482之上端緣退避至較加熱板478之上表面478a更下方之退避位置(由虛線表示之位置)之間伸縮。風箱482內之氣體係經由連接於外罩476之底面476a之排氣管483而由排氣機構484排氣。
又,於外罩476之側壁,形成有位於加熱板478側方之開口485。開口485係藉由擋板486進行開閉。於將基板W搬入蝕刻單元407b時,預先將風箱482配置於退避位置(虛線之位置),同時使開口485開啟。然後,於此狀態下,藉由中央機器人CR將基板W載置於加熱板478上。其後,開口485藉由擋板486而關閉。另一方面,於自蝕刻單元407b搬出基板W時,將風箱482配置於退避位置,同時使開口485開啟。然後,於此狀態下,利用中央機器人CR搬出由加熱板478所保持之基板W。其後,利用擋板486關閉開口485。
於蒸氣產生容器475,連接有對設置於蒸氣產生容器475之蒸氣充滿空間487供給作為載體氣體之氮氣的氮氣供給管488。來自氮氣供給源489之氮氣係經由流量控制器(MFC;Mass Flow Controller)490、閥491、及氮氣供給管488而供給至蒸氣充滿空間487。又,蒸氣充滿空間487係經由閥492而連接於蒸氣供給路徑493。來自氮氣供給源489之氮氣係經由流量控制器494、閥495及氮氣供給管496而供給至蒸氣供給路徑493。於閥492開啟之狀態下,飄浮於蒸氣充滿空間487之氫氟酸之蒸氣,係藉由氮氣之流動而經由閥492供給至蒸氣供給路徑493。然後,供給至蒸氣供給路徑493之氫氟酸之蒸氣,係藉由氮氣之流動而經由蒸氣供給路徑493引導至沖孔板477。
蓄積於蒸氣產生容器475內之氫氟酸水溶液474係調製成所謂擬似共沸組成之濃度(例如,於1個大氣壓、室溫下,約為39.6%)。此擬似共沸組成之氫氟酸水溶液474,水與氟化氫之蒸發速度相等,因此,即便因經由閥492將氫氟酸之蒸氣自蒸氣充滿空間487引導至蒸氣供給路徑493而使蒸氣產生容器475內之氫氟酸水溶液474減少,引導至蒸氣供給路徑493之氫氟酸蒸氣之濃度亦保持固定。
接著,針對於蝕刻單元407b所進行基板W之處理之一例進行說明。
在對基板W之表面進行蝕刻時,於基板W由加熱板478保持且風箱482位於密接位置(實線之位置)之狀態下,控制裝置4開啟3個閥491、492、495。藉此,使於蒸氣產生容器475內所生成氫氟酸之蒸氣,藉由來自氮氣供給管488之氮氣,經由閥492向蒸氣供給路徑493擠出。而且,此氫氟酸之蒸氣係藉由來自氮氣供給管496之氮氣而運送至沖孔板477。然後,此氫氟酸之蒸氣係經由形成於沖孔板477之貫通孔而供給至由加熱板478所保持基板W之上表面(表面)。於將氫氟酸之蒸氣供給至基板W時,控制裝置4係藉由旋轉驅動機構480使基板W以固定之旋轉速度圍繞鉛垂軸線旋轉。而且,控制裝置4係藉由利用加熱板478對基板W進行加熱,使基板W之溫度維持在例如40~150℃之範圍內之固定溫度。如此一來,氫氟酸之蒸氣係供給至保持在固定溫度之基板W之表面整個區域,而使基板W之表面受到蝕刻(蝕刻處理,蝕刻步驟)。
圖16係用以說明藉由本發明第4實施形態之基板處理裝置401所進行基板W之處理之一例的圖式。於以下說明中之矽烷化處理、蝕刻處理、及淋洗處理係分別藉由矽烷化單元407a、蝕刻單元407b、及洗淨單元407c所進行之處理。以下,針對將蝕刻劑之一例即氫氟酸之蒸氣供給至形成有氧化膜之一例即SiO2膜、及氮化膜之一例即SiN膜之基板W之表面,而選擇性地去除SiN膜之選擇性蝕刻的一例進行說明。
於處理例4-1中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4藉由矽烷化單元407a執行矽烷化處理。藉此,使基板W之上表面整個區域矽烷化。然後,控制裝置4藉由中央機器人CR,將基板W自矽烷化單元407a搬送至蝕刻單元407b。其後,控制裝置4藉由蝕刻單元407b執行蝕刻處理。藉此,使基板W之上表面整個區域受到蝕刻。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例4-2中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理及蝕刻處理。然後,於進行蝕刻處理之後,控制裝置藉由中央機器人CR將基板W自蝕刻單元407b搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c執行淋洗處理及乾燥處理。藉此,將附著於基板W之液體或雜質洗掉。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例4-3中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理及蝕刻處理。然後,於進行蝕刻處理之後,控制裝置4再次依序執行矽烷化處理及蝕刻處理。即,於處理例4-3中,控制裝置4係執行重複步驟,該重複步驟會進行複數次包括矽烷化處理及蝕刻處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c而執行淋洗處理及乾燥處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例4-4中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理、蝕刻處理、淋洗處理、及乾燥處理。然後,於進行乾燥處理之後,控制裝置4再次依序執行矽烷化處理、蝕刻處理、淋洗處理、及乾燥處理。即,於處理例4-4中,控制裝置4係執行重複步驟,該重複步驟會進行複數次包括矽烷化處理、蝕刻處理、淋洗處理、及乾燥處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
圖17係表示對未矽烷化之基板W進行蝕刻時之基板W之溫度與蝕刻量及選擇比之關係的圖表。圖18係表示對經矽烷化之基板W進行蝕刻時之基板W之溫度與蝕刻量及選擇比之關係的圖表。圖17係比較例之圖表,圖18係本發明之實施例之圖表。
於圖17及圖18中,表示對形成有SiO2膜及SiN膜之基板W之表面供給氫氟酸之蒸氣而將基板W蝕刻時之蝕刻量及選擇比。蝕刻所使用氫氟酸之蒸氣之濃度為39.6%。於各圖表中基板W之溫度係將氫氟酸之蒸氣供給至基板W時基板W之溫度。
如圖17所示,於對未矽烷化之基板W進行蝕刻之情形時,當基板W之溫度為30℃時,相對於SiN膜之蝕刻量(參照符號●之曲線,為4.66 nm),SiO2膜之蝕刻量(參照符號■之曲線,為90.00 nm)極大。即,當基板W之溫度為30℃時,由於選擇比(氮化膜之去除量/氧化膜之去除量)之分母相對於分子為極大,因此,選擇比很小(參照符號▲之曲線,為0.05)。又,當基板W之溫度為40℃、50℃、70℃時,SiN膜之蝕刻量為3.97 nm(40℃)、3.39 nm(50℃)、1.68 nm(70℃),與30℃時相比並明顯變化。又,當基板W之溫度為40℃、50℃、70℃時,SiO2膜之蝕刻量為2.09 nm(40℃)、0.31 nm(50℃)、0.27 nm(70℃),雖然與30℃時相比較少,但SiO2膜仍受到蝕刻。基板W之溫度為40℃、50℃、70℃時之選擇比為1.90(40℃)、11.09(50℃)、6.31(70℃)。如此,於藉由氫氟酸之蒸氣對未矽烷化之基板W進行蝕刻之情形時,無論基板W之溫度為任一溫度,選擇比均約為11以下。
另一方面,如圖18所示,於對經過矽烷化之基板W進行蝕刻之情形時,當基板W之溫度為30℃時,相對於SiN膜之蝕刻量(參照符號●之曲線,為4.65 nm),由於SiO2膜之蝕刻量(參照符號■之曲線,為89.00 nm)極大,因此,選擇比(參照符號▲之曲線)很小(0.05)。又,當基板W之溫度為40℃、50℃、70℃時,SiN膜之蝕刻量為3.92 nm(40℃)、3.48 nm(50℃)、1.38 nm(70℃),與30℃時相比並無明顯變化。而且,即便與基板W未矽烷化之情形相比,亦無明顯差異。另一方面,當基板W之溫度為40℃、50℃、70℃時,SiO2膜之蝕刻量為-0.05 nm(40℃)、-0.06 nm(50℃)、-0.07 nm(70℃)。蝕刻量為負數係表示膜厚增加並未受到蝕刻,即代表蝕刻量為零。因此,當基板W之溫度為40℃以上時,由於選擇比之分母為零,故選擇比為無限大。如此,藉由於對基板W進行蝕刻之前使該基板W矽烷化,當基板W之溫度為40℃以上時可大幅度提高選擇比。
如上述於第4實施形態中,係對經過矽烷化之基板W進行蝕刻。其後,再次使經過蝕刻之基板W矽烷化。然後,再次對經矽烷化之基板W進行蝕刻。即,蝕刻受到中斷,並於此蝕刻中斷之期間中再次使基板W矽烷化。若長時間繼續蝕刻劑之供給,則由矽烷化劑所賦予氧化膜之蝕刻抑制能力有時會在中途下降,而無法充分地抑制氧化膜之蝕刻。因此,藉由再次使基板W矽烷化,可恢復氧化膜之蝕刻抑制能力。因此,於重新開始蝕刻時可抑制氧化膜受到蝕刻。因此,可抑制或防止選擇比下降。
又,於第4實施形態中,在對經矽烷化之基板W進行蝕刻之後,將淋洗液供給至基板W,而將附著於基板W之液體或雜質洗掉。然後,再次依序進行矽烷化步驟、蝕刻步驟、及淋洗步驟。經蝕刻之基板W有時會附著因蝕刻而產生之雜質。若於附著有因蝕刻所產生之雜質之狀態下使基板W矽烷化,則有時將難以自基板W去掉此雜質。因此,藉由於再次進行矽烷化步驟之前,去除附著於基板W之雜質,可抑制或防止難以自基板W去掉此雜質之情形。藉此,可抑制或防止雜質殘留於基板W。因此,可提高基板W之潔淨度。
[第5實施形態]
接著,針對本發明之第5實施形態進行說明。此第5實施形態與上述第4實施形態之主要不同點在於複數個處理單元進一步包含有將基板W冷卻之冷卻單元。於下述圖19~圖21中,針對與上述圖1~圖18所示之各部分相當之構成部分,標示與圖1等相同之參照符號並省略其說明。
圖19係表示本發明第5實施形態之基板處理裝置501之配置的俯視示意圖。
基板處理裝置501包括處理基板W之複數個處理單元507。複數個處理單元507係以於俯視時包圍中央機器人CR之方式配置。中央機器人CR係於索引機器人IR與處理單元507之間搬送基板W,同時於複數個處理單元507間搬送基板W。複數個處理單元507包括矽烷化單元407a、蝕刻單元407b、及洗淨單元407c。而且,複數個處理單元507包括冷卻基板W之冷卻單元507d。
圖20係表示本發明第5實施形態之冷卻單元507d之概略構成的示意圖。
冷卻單元507d包括基板保持台414、支持構件412、升降銷419、及升降銷升降機構421。而且,冷卻單元507d包括冷卻由基板保持台414所保持之基板W之冷卻裝置517。冷卻裝置517例如為水冷式冷卻裝置。冷卻裝置517係埋設於基板保持台414。由基板保持台414所保持之基板W係藉由與基板保持台414之接觸而冷卻(冷卻處理;冷卻步驟)。藉此,使基板W之溫度下降至例如室溫以下之固定溫度,而使基板W保持在此固定之溫度。
圖21係用以說明藉由本發明第5實施形態之基板處理裝置501所進行基板W之處理之一例的圖式。圖21中之矽烷化處理、蝕刻處理、淋洗處理、及冷卻處理係分別藉由矽烷化單元407a、蝕刻單元407b、洗淨單元407c、及冷卻單元507d進行之處理。以下,針對將蝕刻劑之一例即氫氟酸之蒸氣供給至形成有氧化膜之一例即SiO2膜、及氮化膜之一例即SiN膜之基板W表面,而選擇性地去除SiN膜之選擇性蝕刻的一例進行說明。
於處理例5-1中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4藉由矽烷化單元407a執行矽烷化處理。然後,控制裝置4藉由中央機器人CR將基板W自矽烷化單元407a搬送至冷卻單元507d。其後,控制裝置4藉由冷卻單元507d執行冷卻處理。藉此,使基板W之溫度下降至室溫以下之固定溫度。然後,控制裝置4藉由中央機器人CR將基板W自冷卻單元507d搬送至蝕刻單元407b。其後,控制裝置4藉由蝕刻單元407b執行蝕刻處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例5-2中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理、冷卻處理、及蝕刻處理。然後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c執行淋洗處理及乾燥處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例5-3中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理、冷卻處理、及蝕刻處理。然後,於進行蝕刻處理之後,控制裝置4再次依序執行矽烷化處理、冷卻處理、及蝕刻處理。即,於處理例5-3中,控制裝置4係執行重複步驟,該重複步驟會進行複數次包括矽烷化處理、冷卻處理、及蝕刻處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c執行淋洗處理及乾燥處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例5-4中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至矽烷化單元407a。其後,控制裝置4依序執行矽烷化處理、冷卻處理、蝕刻處理、淋洗處理、及乾燥處理。然後,於進行乾燥處理之後,控制裝置4再次依序執行矽烷化處理、冷卻處理、蝕刻處理、淋洗處理、及乾燥處理。即,於處理例5-4中,控制裝置4係執行重複步驟,該重複步驟會進行複數次包括矽烷化處理、冷卻處理、蝕刻處理、淋洗處理、及乾燥處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
[第6實施形態]
接著,針對本發明之第6實施形態進行說明。此第6實施形態與上述第5實施形態之主要不同點,在於複數個處理單元進一步包括對基板W照射紫外線(ultraviolet radiation)之紫外線照射單元。於下述圖22~圖25中,針對與上述圖1~圖21所示之各部分相當之構成部分,標示與圖1等相同之參照符號並省略其說明。
圖22係表示本發明第6實施形態之基板處理裝置601之配置的俯視示意圖。
基板處理裝置601包括處理基板W之複數個處理單元607。複數個處理單元607係以於俯視時包圍中央機器人CR之方式配置。中央機器人CR係於索引機器人IR與處理單元607之間搬送基板W,同時於複數個處理單元607間搬送基板W。複數個處理單元607包括矽烷化單元407a、蝕刻單元407b、洗淨單元407c、及冷卻單元507d。而且,複數個處理單元607包括對基板W照射紫外線之紫外線照射單元607e。
圖23及圖24係表示本發明第6實施形態之紫外線照射單元607e之概略構成的示意圖。
紫外線照射單元607e具備有基板保持台414、及收納基板保持台414之腔室608。腔室608包括形成有閘622之隔離壁649、使閘622開閉之閘擋板623、密封隔離壁649與閘擋板623之間的密封構件670(例如O型環)、及使閘622開閉之閘開閉機構624。密封構件670係沿閘622安裝於隔離壁649。密封構件670並不限於安裝在隔離壁649,亦可安裝在閘擋板623。閘擋板623係結合於閘開閉機構624。閘開閉機構624係使閘擋板623於藉由閘擋板623關閉閘622之封閉位置與開啟閘622之開放位置之間移動。若將閘擋板623配置於閉塞位置,則閘擋板623與隔離壁649之間的間隙係由密封構件670所密封。藉此,密封腔室608內之空間。
又,紫外線照射單元607e進一步包括複數根升降銷419、及升降銷升降機構421。於隔離壁649之底壁612與基板保持台414,形成有朝鉛垂方向貫通基板保持台414及底壁612之複數個貫通孔。若升降銷升降機構421使複數根升降銷419自退避位置(圖23所示之位置)上升至突出位置(圖24所示之位置),複數根升降銷419就會分別插入至複數個貫通孔,各升降銷419之上端係自基板保持台414之上表面突出。又,若升降銷升降機構421使複數根升降銷419自突出位置下降至退避位置,各升降銷419之上端就會移動至較基板保持台414之上表面更下方處。於基板保持台414與複數根升降銷419之間,基板W之交接係藉由複數根升降銷419之升降而進行。
又,紫外線照射單元607e進一步具備將氮氣導入腔室608內之側方導入管625。側方導入管625係安裝於隔離壁649之側壁609。於側方導入管625,插裝有氮氣閥626。若氮氣閥626開啟,氮氣就會自形成於側壁609之吐出口697吐出至腔室608內。
又,於底壁612之上表面,形成有以俯視時包圍基板保持台414之環狀之排氣口632。排氣口632係連通於腔室608內。排氣管433之一端部係連接於排氣口632。於排氣管433,插裝有壓力調整閥473。於閘622關閉之狀態下,若氮氣自吐出口697吐出,腔室608內之氣壓就會升高。然後,若腔室608內之氣壓達到既定值,壓力調整閥473就會開啟,並將氣體自腔室608內排出至排出口632。藉此,使腔室608內之氣壓下降。然後,若腔室608內之氣壓未達既定值,壓力調整閥473就會關閉。
又,紫外線照射單元607e進一步具備有紫外線照射燈698(紫外線照射單元)。紫外線照射燈698係安裝於隔離壁649之上壁611。紫外線照射燈698係配置於與由基板保持台414所保持之基板W對向之位置。紫外線照射燈698係朝向由基板保持台414所保持基板W之上表面照射紫外線。藉此,使紫外線均勻地照射至基板W之上表面。紫外線照射燈698照射例如185~254 nm波長之紫外線或20~200 nm波長之紫外線。
接著,針對於紫外線照射單元607e所進行基板W之處理之一例進行說明。
首先,針對紫外線照射燈698照射185~254 nm波長之紫外線之情形時之處理進行說明。
於將基板W搬入至紫外線照射單元607e時,預先將閘擋板623配置於開放位置,並將複數根升降銷419配置於突出位置。於此狀態下,控制裝置4藉由中央機器人CR將基板W載置於複數根升降銷419上。然後,控制裝置4使中央機器人CR退避之後,藉由升降銷升降機構421使複數根升降銷419下降至退避位置。藉此,由複數根升降銷419支持之基板W載置於基板保持台414,基板W由基板保持台414保持。然後,控制裝置4使複數根升降銷419移動至退避位置之後,藉由閘開閉機構624使閘擋板623下降至封閉位置。藉此,密封腔室608內。因此,基板W係保持於密封空間內。
接著,對基板W照射紫外線。具體而言,控制裝置4係在閘擋板623位於封閉位置之狀態下,自紫外線照射燈698照射紫外線。藉此,使紫外線均勻地照射至由基板保持台414所保持基板W之上表面。基板W係藉由照射紫外線而加熱。而且,附著於基板W之有機物等雜質係藉由紫外線之照射而去除。以如此方式進行UV(ultraviolet radiation,紫外線)處理(紫外線照射步驟)。而且,若持續既定時間地進行紫外線之照射,控制裝置4就會停止自紫外線照射燈698照射紫外線。
接著,將腔室608內之環境氣體置換為氮氣環境。具體而言,控制裝置4係在閘擋板623位於封閉位置之狀態下,開啟氮氣閥626,使氮氣自吐出口697吐出。藉此,將氮氣供給至腔室608內。因此,腔室608內之氣壓會上升,壓力調整閥473就會開啟。因此,腔室608內之環境氣體就會自排出口632排出。藉此,使腔室608內之環境氣體置換為氮氣。
接著,自紫外線照射單元607e搬出基板W。具體而言,控制裝置4係藉由閘開閉機構624使閘擋板623移動至開放位置。其後,控制裝置4藉由升降銷升降機構421使複數根升降銷419上升至突出位置。藉此,使由基板保持台414所保持之基板W藉由複數根升降銷419所支持。控制裝置4於將複數根升降銷419移動至突出位置之後,藉由中央機器人CR搬出由複數根升降銷419所支持之基板W。藉此,自紫外線照射單元607e搬出基板W。
接著,針對於紫外線照射燈698照射20~200 nm波長之紫外線之情形時之處理進行說明。
於將基板W搬入紫外線照射單元607e時,預先將閘擋板623配置於開放位置,並將複數根升降銷419配置於突出位置。於此狀態下,控制裝置4藉由中央機器人CR將基板W載置於複數根升降銷419上。然後,控制裝置4於使中央機器人CR退避之後,藉由升降銷升降機構421使複數根升降銷419下降至退避位置。藉此,使由複數根升降銷419所支持之基板W載置於基板保持台414,使基板W由基板保持台414所保持。然後,控制裝置4於使複數根升降銷419移動至退避位置之後,藉由閘開閉機構624使閘擋板623下降至封閉位置。藉此,密封腔室608內。因此,基板W係保持於密封空間內。
接著,將氮氣導入腔室608內之環境氣體中。具體而言,控制裝置4係在閘擋板623位於封閉位置之狀態下,開啟氮氣閥626,使氮氣自吐出口697吐出。藉此,將氮氣供給至腔室608內。因此,腔室608內之氣壓會上升,壓力調整閥473就會開啟。因此,腔室608內之環境氣體會自排出口632排出。藉此,將氮氣導入腔室608內。此時,以使腔室608內之環境氣體中氧濃度成為1~10%之方式導入氮氣。其後,控制裝置4關閉氮氣閥626。藉此,使壓力調整閥473關閉,使氣體自腔室608內之排出停止。因此,可維持空氣及氮氣充滿腔室608內之狀態。
接著,對基板W照射紫外線。具體而言,控制裝置4係在閘擋板623位於封閉位置之狀態下,自紫外線照射燈698照射紫外線。藉此,使紫外線均勻地照射至由基板保持台414所保持基板W之上表面。又,由於腔室608內之環境氣體會置換為氮氣環境,故對處於氮氣環境下之基板W之上表面照射紫外線。基板W係藉由照射紫外線而加熱。而且,附著於基板W之有機物等雜質係藉由紫外線之照射而去除。以如此方式進行UV處理(紫外線照射步驟)。而且,若持續既定時間地進行紫外線之照射,控制裝置4就會停止自紫外線照射燈698照射紫外線。
接著,將腔室608內之環境氣體置換為氮氣環境。具體而言,控制裝置4係在閘擋板623位於封閉位置之狀態下,開啟氮氣閥626,使氮氣自吐出口697吐出。藉此,將氮氣供給至腔室608內。因此,腔室608內之氣壓會上升,壓力調整閥473就會開啟。因此,腔室608內之環境氣體就會自排出口632排出。藉此,使腔室608內之環境氣體置換為氮氣。
接著,自紫外線照射單元607e搬出基板W。具體而言,控制裝置4係藉由閘開閉機構624使閘擋板623移動至開放位置。其後,控制裝置4藉由升降銷升降機構421使複數根升降銷419上升至突出位置。藉此,使由基板保持台414所保持之基板W藉由複數根升降銷419所支持。控制裝置4於使複數根升降銷419移動至突出位置之後,藉由中央機器人CR搬出由複數根升降銷419所支持之基板W。藉此,自紫外線照射單元607e搬出基板W。
圖25係用以說明藉由本發明第6實施形態之基板處理裝置601所進行基板W之處理之一例的圖式。圖25之矽烷化處理、蝕刻處理、淋洗處理、及UV處理係分別藉由矽烷化單元407a、蝕刻單元407b、洗淨單元407c、及紫外線照射單元607e所進行之處理。以下,針對將蝕刻劑之一例即氫氟酸之蒸氣供給至形成有氧化膜之一例即SiO2膜、及氮化膜之一例即SiN膜之基板W之表面,而選擇性地去除SiN膜之選擇性蝕刻的一例進行說明。
於處理例6-1中,控制裝置4係藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至紫外線照射單元607e。其後,控制裝置4藉由紫外線照射單元607e執行UV處理(矽烷化前紫外線照射步驟)。藉此,自基板W去除有機物。然後,控制裝置4藉由中央機器人CR將基板W自紫外線照射單元607e搬送至矽烷化單元407a。其後,控制裝置4藉由矽烷化單元407a執行矽烷化處理。然後,控制裝置4藉由中央機器人CR將基板W自矽烷化單元407a搬送至蝕刻單元407b。其後,控制裝置4藉由蝕刻單元407b執行蝕刻處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例6-2中,藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至紫外線照射單元607e。其後,控制裝置4依序執行UV處理(矽烷化前紫外線照射步驟)、矽烷化處理、及蝕刻處理。然後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至紫外線照射單元607e。其後,控制裝置4藉由紫外線照射單元607e執行UV處理(矽烷化後紫外線照射步驟)。藉此,自基板W去除矽烷化劑(例如HMDS)。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於上述處理例6-1中,控制裝置4亦可於執行蝕刻處理之後,藉由洗淨單元407c執行淋洗處理及乾燥處理,而將附著於基板W之液體或雜質洗掉(參照處理例6-3)。而且,於處理例6-1、6-3中,控制裝置4亦可於執行矽烷化處理之後且執行蝕刻處理之前,藉由冷卻單元507d執行冷卻處理。同樣地,於處理例6-2中,控制裝置4亦可於執行第2次UV處理之後,藉由洗淨單元407c執行淋洗處理及乾燥處理,而將附著於基板W之液體或雜質洗掉(參照處理例6-4)。而且,於處理例6-2及處理例6-4中,控制裝置4亦可於執行矽烷化處理之後且執行蝕刻處理之前,藉由冷卻單元507d執行冷卻處理。
於處理例6-5中,藉由索引機器人IR及中央機器人CR,將基板W自載具C搬送至紫外線照射單元607e。其後,控制裝置4依序執行UV處理(矽烷化前紫外線照射步驟)、矽烷化處理、及蝕刻處理。然後,於進行蝕刻處理之後,控制裝置4再次依序執行矽烷化處理及蝕刻處理。即,於處理例6-5中,控制裝置4係於進行UV處理之後執行重複步驟,該重複步驟會進行複數次包括矽烷化處理及蝕刻處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c執行淋洗處理及乾燥處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於處理例6-6中,藉由索引機器人IR及中央機器人CR將基板W自載具C搬送至紫外線照射單元607e。其後,控制裝置4依序執行UV處理(矽烷化前紫外線照射步驟)、矽烷化處理、及蝕刻處理。然後,於進行蝕刻處理之後,控制裝置4再次依序執行矽烷化處理及蝕刻處理。即,於處理例6-6中,控制裝置4係於進行UV處理之後執行重複步驟,該重複步驟會進行複數次包括矽烷化處理及蝕刻處理之一系列循環。然後,於進行重複步驟之後,控制裝置4藉由中央機器人CR將基板W自蝕刻單元407b搬送至紫外線照射單元607e。其後,控制裝置4藉由紫外線照射單元607e執行UV處理(矽烷化後紫外線照射步驟)。然後,控制裝置4藉由中央機器人CR將基板W自紫外線照射單元607e搬送至洗淨單元407c。其後,控制裝置4藉由洗淨單元407c執行淋洗處理及乾燥處理。然後,控制裝置4藉由索引機器人IR及中央機器人CR將基板W搬入載具C內。
於上述處理例6-5中,控制裝置4亦可一併將淋洗處理及乾燥處理,與矽烷化處理及蝕刻處理重複執行。即,亦可使於處理例6-5所進行在重複步驟之後所執行之淋洗處理及乾燥處理包含在一系列之循環中,而依序重複執行矽烷化處理、蝕刻處理、淋洗處理、及乾燥處理(參照處理例6-7)。同樣地,亦可使於處理例6-6所進行在重複步驟之後所執行之UV處理、淋洗處理、及乾燥處理包含在一系列之循環中,而依序重複執行矽烷化處理、蝕刻處理、UV處理、淋洗處理、及乾燥處理(參照處理例6-8)。而且,於處理例6-5~6-8中,亦可於執行矽烷化處理之後,執行蝕刻處理之前,執行冷卻處理。即,處理例6-5~6-8之一系列循環中亦可包括冷卻處理。如處理例6-8所示,當UV處理(紫外線照射步驟)包含於一系列之循環中之情形時,由於各循環之UV處理係於進行同一循環之矽烷化處理(矽烷化步驟)之後執行,因此,該UV處理係矽烷化後紫外線照射步驟。又,各循環之UV處理係於進行下一循環之矽烷化處理之前執行,因此,該UV處理亦為矽烷化前紫外線照射步驟。
如上述,於第6實施形態中,對紫外線所照射之基板W依序供給矽烷化劑及蝕刻劑。藉由對基板W照射紫外線,可去除附著於基板W之有機物等雜質。因此,可提高矽烷化劑及蝕刻劑對基板W之反應性。藉此,可提高選擇比。而且,於第6實施形態中,由於係對經矽烷化之基板W照射紫外線,故可去除附著於基板W之HMDS等矽烷化劑。因此,可提高基板W之潔淨度。
又,於第6實施形態中,進行複數次包括矽烷化步驟、蝕刻步驟、及紫外線照射步驟之一系列循環。即,於相同循環中,對供給有矽烷化劑及蝕刻劑之基板W照射紫外線,而於第2次之後之循環中,對紫外線所照射之基板W供給矽烷化劑及蝕刻劑。如上述,藉由對經矽烷化之基板W照射紫外線,可去除附著於基板W之矽烷化劑。因此,可提高基板W之潔淨度。又,於第2次之後之循環中,對藉由照射紫外線而去除了有機物等雜質之基板W供給矽烷化劑及蝕刻劑。因此,於第2次之後之循環中,可提高矽烷化劑及蝕刻劑對基板W之反應性。藉此,可提高選擇比。
雖然如上述已說明本發明之實施形態,但本發明並不限定於上述第1~第6實施形態之內容,亦可進一步以其他之形態實施。
例如於上述第1~第6實施形態中,雖然已針對基板處理裝置為單片式基板處理裝置之情形進行說明,但第1~第6實施形態之基板處理裝置亦可為總括地處理複數片基板W之批次式基板處理裝置。具體而言,第1~第6實施形態之基板處理裝置亦可為包括可蓄積浸漬複數片基板W之處理液之處理槽、對處理槽供給矽烷化劑之矽烷化劑供給機構、及對處理槽供給蝕刻劑之蝕刻劑供給機構的批次式基板處理裝置。
又,於上述第1及第2實施形態中,雖然將蝕刻液作為蝕刻劑供給至基板,但亦可將包含蝕刻成分之蒸氣作為蝕刻劑而供給至基板。例如,亦可將包含蝕刻成分之蒸氣(例如,氫氟酸之蒸氣)自蝕刻劑噴嘴39供給至基板。
又,於上述第2實施形態中,雖然已針對所有處理單元207均為矽烷化‧蝕刻單元207a之情形進行說明,但與矽烷化‧蝕刻單元207a不同之單元亦可包含於複數個處理單元207中。
又,於上述第1及第2實施形態中,矽烷化步驟及蝕刻步驟雖然各進行1次,但亦可對已進行矽烷化步驟及蝕刻步驟之基板W再次進行矽烷化步驟及蝕刻步驟。雖然藉由矽烷化劑之供給可抑制氧化膜之蝕刻,但若長時間進行蝕刻步驟,則抑制氧化膜蝕刻之效果就會隨時間經過而下降,而存在使選擇比下降之虞。因此,於進行長時間之蝕刻步驟之情形時,藉由於蝕刻步驟之期間再次進行矽烷化步驟,可於蝕刻步驟中維持較高之選擇比。
又,於上述第4~第6實施形態中,雖然已針對藉由氫氟酸之蒸氣將基板蝕刻之情形進行說明,但亦可藉由除氫氟酸以外之蝕刻劑對基板進行蝕刻。而且,供給至基板之蝕刻劑既可為蒸氣,亦可為液體。
又,於上述第4實施形態中,雖然已針對矽烷化單元、蝕刻單元、及洗淨單元分別獨立之情形進行說明,但亦可將矽烷化單元、蝕刻單元、及洗淨單元中2個以上之單元整合成1個。具體而言,如第2實施形態,亦可整合矽烷化單元及蝕刻單元。又,亦可整合矽烷化單元、蝕刻單元、及洗淨單元。
同樣地,於上述第5實施形態中,雖然已針對矽烷化單元、蝕刻單元、洗淨單元、冷卻單元分別獨立之情形進行說明,但亦可將此等單元中2個以上整合成1個。對於第6實施形態亦同樣地,可將矽烷化單元、蝕刻單元、洗淨單元、冷卻單元、及紫外線照射單元中2個以上整合成1個。具體而言,如第2實施形態,亦可整合矽烷化單元及蝕刻單元。又,亦可於設置在紫外線照射單元之基板保持台埋設冷卻裝置,而整合冷卻單元及紫外線照射單元。
雖然已針對本發明之實施形態進行詳細之說明,但此等僅為使本發明之技術內容明確化所使用之具體例,本發明不應受此等具體例之限定而進行解釋,本發明之範圍僅由隨附之申請專利範圍所限定。
本申請案係對應於2011年1月20日及2011年3月23日分別向日本專利局提交之特願2011-010154號及特願2011-063722號,此等申請案之所有揭示內容係藉由引用而併入本文中。
1、201、401、501、601...基板處理裝置
2...索引器區塊
3...處理區塊
4...控制裝置(控制單元)
5...載具保持部
6...IR移動機構
7、207、407、507、607...處理單元
7a、407a...矽烷化單元
7b、407b...蝕刻單元
8、41、608...腔室
9、10、609...側壁
11、611...上壁
12、612...底壁
13、517...冷卻裝置
14、414...基板保持台(基板保持單元)
15、479...旋轉軸
16...基板旋轉機構
17、256、417...加熱器
18...均熱環
19、419...升降銷
20、412...支持構件
21、421...升降銷升降機構
22、622...閘
23、50、623...閘擋板
24、51、624...閘開閉機構
25、625...側方導入管
26...側方氣體閥
27、31...擴散板
28、258、458...矽烷化劑導入管(矽烷化劑供給單元)
29、265、465...矽烷化劑閥
30...上方氣體閥
32...周圍排氣口
33、36、433、483...排氣管
34...周圍排氣閥
35...閘側排氣口
37...閘側排氣閥
38...旋轉卡盤(基板保持單元)
39...蝕刻劑噴嘴(蝕刻劑供給單元)
40...淋洗液噴嘴
42...旋轉基座
43...旋轉馬達
44...蝕刻劑閥
45...蝕刻劑供給管
46...淋洗液閥
47...淋洗液供給管
48、485...開口
49、649...隔離壁
207a...矽烷化‧蝕刻單元
252、352、452...阻斷板
253...風扇‧過濾器‧單元
254、454...圓板部
255、355、455...筒狀部
257...支軸
259...矽烷化劑吐出口
260...中心氣體吐出口
261...氣體供給路徑
262...環狀氣體吐出口
263、463...矽烷化劑供給管
264...第1氣體供給管
266...第1氣體閥
267...第2氣體閥
268...第2氣體供給管
269...阻斷板升降機構
407c...洗淨單元
432、632...排氣口
464、488、496...氮氣供給管
466、626...氮氣閥
470、670...密封構件
471...收納空間
472、697...吐出口
473...壓力調整閥
474...氫氟酸水溶液
475...蒸氣產生容器(蝕刻劑供給單元)
476...外罩
476a...底面
477...沖孔板
478...加熱板(基板保持單元)
478a...上表面
480...旋轉驅動機構
482...風箱
484...排氣機構
486...擋板
487...蒸氣充滿空間
489...氮氣供給源
490、494...流量控制器
491、492、495...閥
493...蒸氣供給路徑
507d...冷卻單元
607e...紫外線照射單元
698...紫外線照射燈
P1...保持位置(矽烷化位置)
P2...保持位置(矽烷化位置,蝕刻位置)
W...基板
C...載具
U...載具排列方向
IR...索引機器人
CR...中央機器人
圖1係表示本發明第1實施形態之基板處理裝置之配置的俯視示意圖。
圖2係表示本發明第1實施形態之矽烷化單元之概略構成的示意圖。
圖3係表示本發明第1實施形態之蝕刻單元之概略構成的示意圖。
圖4(a)至圖4(d)係用以說明藉由本發明第1實施形態之基板處理裝置所進行之基板處理之一例的圖式。
圖5係表示對未矽烷化之基板進行蝕刻時之蝕刻時間與蝕刻量及選擇比之關係的圖表。
圖6係表示對經矽烷化之基板進行蝕刻時之蝕刻時間與蝕刻量及選擇比之關係的圖表。
圖7係表示本發明第2實施形態之基板處理裝置之配置的俯視示意圖。
圖8係表示本發明第2實施形態之矽烷化‧蝕刻單元之概略構成的示意圖。
圖9係本發明第2實施形態之阻斷板及與此相關之構成之仰視圖。
圖10(a)至圖10(d)係用以說明藉由本發明第2實施形態之基板處理裝置所進行之基板處理之一例的圖式。
圖11係本發明第3實施形態之阻斷板的剖面圖。
圖12係表示本發明第4實施形態之基板處理裝置之配置的俯視示意圖。
圖13係表示本發明第4實施形態之矽烷化單元之概略構成的示意圖。
圖14係表示本發明第4實施形態之矽烷化單元之概略構成的示意圖。
圖15係表示本發明第4實施形態之蝕刻單元之概略構成的示意圖。
圖16係用以說明藉由本發明第4實施形態之基板處理裝置所進行之基板處理之一例的圖式。
圖17係表示對未矽烷化之基板進行蝕刻時之基板之溫度與蝕刻量及選擇比之關係的圖表。
圖18係表示對經矽烷化之基板進行蝕刻時之基板之溫度與蝕刻量及選擇比之關係的圖表。
圖19係表示本發明第5實施形態之基板處理裝置之配置的俯視示意圖。
圖20係表示本發明第5實施形態之冷卻單元之概略構成的示意圖。
圖21係用以說明藉由本發明第5實施形態之基板處理裝置所進行之基板處理之一例的圖式。
圖22係表示本發明第6實施形態之基板處理裝置之配置的俯視示意圖。
圖23係表示本發明第6實施形態之紫外線照射單元之概略構成的示意圖。
圖24係表示本發明第6實施形態之紫外線照射單元之概略構成的圖。
圖25係用以說明藉由本發明第6實施形態之基板處理裝置所進行之基板處理之一例的圖式。
7a...矽烷化單元
7b...蝕刻單元
8...腔室
14...基板保持台(基板保持單元)
38...旋轉卡盤(基板保持單元)
39...蝕刻劑噴嘴(蝕刻劑供給單元)
40...淋洗液噴嘴
41...腔室
P1...保持位置(矽烷化位置)
P2...保持位置(矽烷化位置,蝕刻位置)
W...基板

Claims (17)

  1. 一種基板處理方法,係對其表面形成有氧化矽膜及氮化矽膜之未處理的基板進行處理者,其包含:矽烷化步驟,其係將矽烷化劑供給至上述未處理的基板,使上述未處理的基板矽烷化;及於進行上述矽烷化步驟之後,對上述基板實施選擇蝕刻之步驟,該選擇蝕刻係藉由將選擇蝕刻用之蝕刻劑供給至上述基板,一邊抑制上述氧化矽膜之蝕刻一邊對形成於上述基板表面之上述氮化矽膜選擇性地進行蝕刻而予以去除;其中,上述蝕刻劑係包含有氫氟酸及乙二醇之混合液。
  2. 如申請專利範圍第1項之基板處理方法,其中,該基板處理方法進一步包含有加熱步驟,該加熱步驟係與上述矽烷化步驟並行地進行,並對上述未處理的基板進行加熱。
  3. 如申請專利範圍第1項之基板處理方法,其中,該基板處理方法包含有重複步驟,該重複步驟係複數次進行包括上述矽烷化步驟及上述蝕刻步驟之一系列循環。
  4. 如申請專利範圍第3項之基板處理方法,其中,上述循環進一步包含有淋洗步驟,該淋洗步驟係於進行上述蝕刻步驟之後,將淋洗液供給至上述基板。
  5. 如申請專利範圍第3項之基板處理方法,其中,上述循環進一步包含有紫外線照射步驟,該紫外線照射步 驟係於進行上述蝕刻步驟之後,對上述基板照射紫外線。
  6. 一種基板處理方法,係對其表面形成有氧化矽膜及氮化矽膜之未處理的基板進行處理者,其包含:矽烷化步驟,其係將矽烷化劑供給至上述未處理的基板,使上述未處理的基板矽烷化;及於進行上述矽烷化步驟之後,對上述基板實施選擇蝕刻之步驟,該選擇蝕刻係藉由將選擇蝕刻用之蝕刻劑供給至上述基板,一邊抑制上述氧化矽膜之蝕刻一邊對形成於上述基板表面之上述氮化矽膜選擇性地進行蝕刻而予以去除;其中,上述蝕刻劑係具有蝕刻成分之蒸氣。
  7. 如申請專利範圍第6項之基板處理方法,其中,該基板處理方法進一步包含有加熱步驟,該加熱步驟係與上述矽烷化步驟並行地進行,並對上述未處理的基板進行加熱。
  8. 如申請專利範圍第6項之基板處理方法,其中,該基板處理方法包含有重複步驟,該重複步驟係複數次進行包括上述矽烷化步驟及上述蝕刻步驟之一系列循環。
  9. 如申請專利範圍第8項之基板處理方法,其中,上述循環進一步包含有淋洗步驟,該淋洗步驟係於進行上述蝕刻步驟之後,將淋洗液供給至上述基板。
  10. 如申請專利範圍第8項之基板處理方法,其中,上述循環進一步包含有紫外線照射步驟,該紫外線照射步 驟係於進行上述蝕刻步驟之後,對上述基板照射紫外線。
  11. 如申請專利範圍第1至10項中任一項之基板處理方法,其中,該基板處理方法進一步包含有矽烷化前紫外線照射步驟,該矽烷化前紫外線照射步驟係於進行上述矽烷化步驟之前,對上述基板照射紫外線。
  12. 如申請專利範圍第1至10項中任一項之基板處理方法,其中,該基板處理方法進一步包含有矽烷化後紫外線照射步驟,該矽烷化後紫外線照射步驟係於進行上述矽烷化步驟之後,對上述基板照射紫外線。
  13. 如申請專利範圍第1至10項中任一項之基板處理方法,其中,該基板處理方法進一步包含:矽烷化前紫外線照射步驟,其係於進行上述矽烷化步驟之前,對上述未處理的基板照射紫外線;及矽烷化後紫外線照射步驟,其係於進行上述矽烷化步驟之後,對上述基板照射紫外線。
  14. 如申請專利範圍第1至10項中任一項之基板處理方法,其中,上述矽烷化劑為非水溶性,且上述蝕刻劑含有水。
  15. 一種基板處理裝置,係對其表面形成有氧化矽膜及氮化矽膜之未處理的基板進行處理者,其包含:基板保持單元,其係將其表面形成有氧化矽膜及氮化矽膜之上述未處理的基板,保持於矽烷化位置及蝕刻位置;矽烷化劑供給單元,其係對由上述基板保持單元保持於上述矽烷化位置之上述未處理的基板供給矽烷化劑,使上述未處理的基板矽烷化;蝕刻劑供給單元,其係對由上述基板保持單元保持於上述蝕刻位置之基板供給選擇蝕刻用之蝕刻劑,藉此一邊抑制上述氧化矽膜之蝕刻一邊對形成於上述基板表面之上述氮化矽膜選擇性地進行蝕刻而予以去除;及控制單元,其執行矽烷化步驟及對上述基板實施選擇蝕刻之步驟;該矽烷化步驟係藉由控制上述矽烷化劑供給單元,對由上述基板保持單元保持於上述矽烷化位置之上述未處理的基板供給矽烷化劑,而使上述未處理的基板矽烷化;該選擇蝕刻係藉由控制上述蝕刻劑供給單元,於進行上述矽烷化步驟之後,對由上述基板保持單元保持於上述蝕刻位置之上述基板供給選擇蝕刻用之蝕刻劑,而一邊抑制上述氧化矽膜之蝕刻一邊對形成於上述基板表面之上述氮化矽膜選擇性地進行蝕刻而予以去除。
  16. 如申請專利範圍第15項之基板處理裝置,其中,上述矽烷化位置及蝕刻位置為相同位置。
  17. 如申請專利範圍第15或16項之基板處理裝置,其中,該基板處理裝置係進一步包含有對上述基板照射紫外線之紫外線照射單元。
TW100134717A 2011-01-20 2011-09-26 基板處理方法及基板處理裝置 TWI529795B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2011010154 2011-01-20
JP2011063722A JP5782279B2 (ja) 2011-01-20 2011-03-23 基板処理方法および基板処理装置

Publications (2)

Publication Number Publication Date
TW201250815A TW201250815A (en) 2012-12-16
TWI529795B true TWI529795B (zh) 2016-04-11

Family

ID=46527804

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100134717A TWI529795B (zh) 2011-01-20 2011-09-26 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (2) US8883653B2 (zh)
JP (1) JP5782279B2 (zh)
KR (1) KR101288212B1 (zh)
CN (1) CN102610514B (zh)
TW (1) TWI529795B (zh)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8282984B2 (en) * 2007-12-03 2012-10-09 Tokyo Electron Limited Processing condition inspection and optimization method of damage recovery process, damage recovering system and storage medium
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5538128B2 (ja) * 2010-08-09 2014-07-02 東京エレクトロン株式会社 排気方法およびガス処理装置
JP5611884B2 (ja) * 2011-04-14 2014-10-22 東京エレクトロン株式会社 エッチング方法、エッチング装置および記憶媒体
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP5490071B2 (ja) * 2011-09-12 2014-05-14 株式会社東芝 エッチング方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101704159B1 (ko) * 2012-01-26 2017-02-07 어플라이드 머티어리얼스, 인코포레이티드 상부 기판 지지 어셈블리를 갖는 열 처리 챔버
JP5898549B2 (ja) * 2012-03-29 2016-04-06 株式会社Screenホールディングス 基板処理方法および基板処理装置
JP5821784B2 (ja) * 2012-05-31 2015-11-24 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
JP6317547B2 (ja) * 2012-08-28 2018-04-25 株式会社Screenホールディングス 基板処理方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6242057B2 (ja) * 2013-02-15 2017-12-06 株式会社Screenホールディングス 基板処理装置
US9738976B2 (en) * 2013-02-27 2017-08-22 Ioxus, Inc. Energy storage device assembly
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10269591B2 (en) * 2013-10-23 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of selectively removing silicon nitride and single wafer etching apparatus thereof
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6211458B2 (ja) * 2014-04-30 2017-10-11 東京エレクトロン株式会社 基板液処理装置及び基板液処理方法
US9868902B2 (en) 2014-07-17 2018-01-16 Soulbrain Co., Ltd. Composition for etching
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
AR103172A1 (es) 2014-12-22 2017-04-19 Novartis Ag Reducción selectiva de residuos de cisteina en anticuerpos il-17
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10249487B2 (en) 2015-01-23 2019-04-02 SCREEN Holdings Co., Ltd. Substrate processing method
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR101874822B1 (ko) * 2016-04-01 2018-07-06 주식회사 테스 실리콘산화막의 선택적 식각 방법
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN107369619B (zh) * 2016-05-12 2021-04-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及制备方法、电子装置
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9653307B1 (en) * 2016-07-14 2017-05-16 Micron Technology, Inc. Surface modification compositions, methods of modifying silicon-based materials, and methods of forming high aspect ratio structures
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6754257B2 (ja) * 2016-09-26 2020-09-09 株式会社Screenホールディングス 基板処理方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102710507B1 (ko) * 2016-12-14 2024-09-25 삼성전자주식회사 식각용 조성물 및 이를 이용한 반도체 장치 제조 방법
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
JP6770428B2 (ja) * 2016-12-28 2020-10-14 株式会社Screenホールディングス 除電装置および除電方法
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018163980A (ja) * 2017-03-24 2018-10-18 株式会社Screenホールディングス 基板処理方法および基板処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR101828437B1 (ko) * 2017-04-06 2018-03-29 주식회사 디엔에스 실리콘 질화막 식각용 조성물.
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102030068B1 (ko) * 2017-10-12 2019-10-08 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR102258307B1 (ko) * 2018-09-03 2021-06-01 주식회사 이엔에프테크놀로지 실리콘 질화막 식각 조성물 및 이를 이용한 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102707956B1 (ko) 2018-09-11 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7178223B2 (ja) * 2018-09-21 2022-11-25 株式会社Screenホールディングス 基板処理装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102571430B1 (ko) * 2018-10-31 2023-08-28 오씨아이 주식회사 실리콘 기판 식각 용액 및 이를 사용한 반도체 소자의 제조 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP7163199B2 (ja) * 2019-01-08 2022-10-31 東京エレクトロン株式会社 基板処理装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP7232710B2 (ja) * 2019-05-29 2023-03-03 株式会社Screenホールディングス 基板処理方法および基板処理装置
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
CN110211871B (zh) * 2019-06-19 2020-10-27 英特尔半导体(大连)有限公司 半导体晶圆表面清洗方法与设备
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210010817A (ko) 2019-07-19 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TWI846953B (zh) 2019-10-08 2024-07-01 荷蘭商Asm Ip私人控股有限公司 基板處理裝置
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR102357066B1 (ko) * 2019-10-31 2022-02-03 세메스 주식회사 기판 처리 장치
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
TW202147543A (zh) 2020-05-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 半導體處理系統
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202212620A (zh) 2020-06-02 2022-04-01 荷蘭商Asm Ip私人控股有限公司 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102707957B1 (ko) 2020-07-08 2024-09-19 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
KR20220045900A (ko) 2020-10-06 2022-04-13 에이에스엠 아이피 홀딩 비.브이. 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112705423B (zh) * 2021-01-18 2021-11-12 济南法诺商贸有限公司 一种智能芯片制造设备及制造方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023234368A1 (ja) * 2022-06-02 2023-12-07 セントラル硝子株式会社 基材の処理方法、および基材の製造方法
WO2023234370A1 (ja) * 2022-06-02 2023-12-07 セントラル硝子株式会社 基材の処理方法、および基材の製造方法
JP2024124744A (ja) * 2023-03-03 2024-09-13 株式会社Screenホールディングス 基板処理方法および基板処理装置

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4349609A (en) * 1979-06-21 1982-09-14 Fujitsu Limited Electronic device having multilayer wiring structure
EP0238690B1 (en) * 1986-03-27 1991-11-06 International Business Machines Corporation Process for forming sidewalls
DE3786549D1 (de) * 1987-03-27 1993-08-19 Ibm Deutschland Verfahren zum herstellen beliebig geformter mikromechanischer bauteile aus planparallelen platten aus polymermaterial oder beliebig geformter duchfuehrungsoeffnungen in denselben.
JPH05182904A (ja) * 1992-01-07 1993-07-23 Fujitsu Ltd パターン形成方法
WO1999052018A1 (en) * 1998-04-07 1999-10-14 Euv Limited Liability Corporation Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths
JP3884610B2 (ja) 2000-09-01 2007-02-21 大日本スクリーン製造株式会社 基板表面処理方法および基板表面処理装置
JP4018958B2 (ja) * 2001-10-30 2007-12-05 大日本スクリーン製造株式会社 基板処理装置
US7270941B2 (en) * 2002-03-04 2007-09-18 Tokyo Electron Limited Method of passivating of low dielectric materials in wafer processing
US7687917B2 (en) 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
TW559999B (en) 2002-05-08 2003-11-01 Nec Corp Semiconductor device having silicon-including metal wiring layer and its manufacturing method
JP4540961B2 (ja) * 2003-10-10 2010-09-08 Azエレクトロニックマテリアルズ株式会社 エッチングストッパー層形成用組成物
JP2005268605A (ja) * 2004-03-19 2005-09-29 Daikin Ind Ltd SiN膜の選択エッチング液及びエッチング方法
JP5057647B2 (ja) 2004-07-02 2012-10-24 東京エレクトロン株式会社 半導体装置の製造方法および半導体装置の製造装置
JP2006086411A (ja) 2004-09-17 2006-03-30 Dainippon Screen Mfg Co Ltd 基板処理装置
TWI241221B (en) 2004-12-24 2005-10-11 Ind Tech Res Inst Apparatus and method for dry cleaning a substrate by UV light
JP4791034B2 (ja) 2004-12-28 2011-10-12 東京エレクトロン株式会社 半導体装置の製造方法
US7482281B2 (en) 2005-09-29 2009-01-27 Tokyo Electron Limited Substrate processing method
JP5247999B2 (ja) * 2005-09-29 2013-07-24 東京エレクトロン株式会社 基板処理方法およびコンピュータ読取可能な記憶媒体
JP2007134690A (ja) * 2005-10-11 2007-05-31 Toshiba Corp 半導体装置の製造方法および半導体装置の製造に用いられる薬液
TW200721311A (en) 2005-10-11 2007-06-01 Toshiba Kk Semiconductor device manufacturing method and chemical fluid used for manufacturing semiconductor device
JP5019741B2 (ja) * 2005-11-30 2012-09-05 東京エレクトロン株式会社 半導体装置の製造方法および基板処理システム
JP2007258405A (ja) * 2006-03-23 2007-10-04 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
TWI378502B (en) * 2006-06-12 2012-12-01 Semes Co Ltd Method and apparatus for cleaning substrates
JP2009010043A (ja) * 2007-06-26 2009-01-15 Tokyo Electron Ltd 基板処理方法,基板処理装置,記録媒体
JP2009008774A (ja) * 2007-06-27 2009-01-15 Dainippon Screen Mfg Co Ltd レジスト剥離方法
JP5312923B2 (ja) 2008-01-31 2013-10-09 大日本スクリーン製造株式会社 基板処理装置
JP2009188205A (ja) * 2008-02-06 2009-08-20 Dainippon Screen Mfg Co Ltd 基板処理装置および基板処理方法
JP5270251B2 (ja) * 2008-08-06 2013-08-21 大日本スクリーン製造株式会社 基板処理装置
US9244358B2 (en) 2008-10-21 2016-01-26 Tokyo Ohka Kogyo Co., Ltd. Surface treatment liquid, surface treatment method, hydrophobilization method, and hydrophobilized substrate
JP4708465B2 (ja) * 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
JP2009188411A (ja) * 2009-03-06 2009-08-20 Tokyo Electron Ltd シリル化処理方法、シリル化処理装置およびエッチング処理システム
JP5377052B2 (ja) * 2009-04-17 2013-12-25 株式会社東芝 半導体装置の製造方法
JP2010287655A (ja) * 2009-06-10 2010-12-24 Toshiba Corp 半導体装置の製造方法
US20110151590A1 (en) * 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair
JP5611884B2 (ja) * 2011-04-14 2014-10-22 東京エレクトロン株式会社 エッチング方法、エッチング装置および記憶媒体

Also Published As

Publication number Publication date
KR20120084651A (ko) 2012-07-30
JP5782279B2 (ja) 2015-09-24
US20120187083A1 (en) 2012-07-26
CN102610514B (zh) 2015-06-24
CN102610514A (zh) 2012-07-25
US8883653B2 (en) 2014-11-11
TW201250815A (en) 2012-12-16
JP2012164949A (ja) 2012-08-30
KR101288212B1 (ko) 2013-07-19
US20150034245A1 (en) 2015-02-05

Similar Documents

Publication Publication Date Title
TWI529795B (zh) 基板處理方法及基板處理裝置
TWI484547B (zh) 基板處理方法及基板處理裝置
TWI578396B (zh) 基板處理方法及基板處理裝置
JP5371854B2 (ja) 基板処理装置および基板処理方法
US11862491B2 (en) Apparatus and method for treating substrate
US9543162B2 (en) Substrate processing method
KR102062956B1 (ko) 기판 처리 방법
US20180204743A1 (en) Substrate treatment method and substrate treatment device
TW201916219A (zh) 基板處理方法及基板處理裝置
CN116825672A (zh) 基板处理方法及基板处理装置
JP2009267167A (ja) 基板処理装置
JP2012044144A (ja) 基板処理方法および基板処理装置
TW202020967A (zh) 基板處理方法及基板處理裝置
JP2009188411A (ja) シリル化処理方法、シリル化処理装置およびエッチング処理システム
TWI668762B (zh) 基板處理方法以及基板處理裝置
TWI818297B (zh) 基板處理方法及基板處理裝置
JP6236105B2 (ja) 基板処理方法および基板処理装置
JP2006286830A (ja) レジスト除去方法およびレジスト除去装置
JP2005353978A (ja) シリル化処理装置およびシリル化処理方法
JP2024060140A (ja) 基板処理方法および基板処理装置