TWI431690B - 回復k的紫外光及還原處理及半導體處理中的表面清潔 - Google Patents

回復k的紫外光及還原處理及半導體處理中的表面清潔 Download PDF

Info

Publication number
TWI431690B
TWI431690B TW099123184A TW99123184A TWI431690B TW I431690 B TWI431690 B TW I431690B TW 099123184 A TW099123184 A TW 099123184A TW 99123184 A TW99123184 A TW 99123184A TW I431690 B TWI431690 B TW I431690B
Authority
TW
Taiwan
Prior art keywords
reducing agent
radiation
dielectric
feature
substrate
Prior art date
Application number
TW099123184A
Other languages
English (en)
Other versions
TW201130045A (en
Inventor
Bhadri Varadarajan
George A Antonelli
Schravendijk Bart Van
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201130045A publication Critical patent/TW201130045A/zh
Application granted granted Critical
Publication of TWI431690B publication Critical patent/TWI431690B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)

Description

回復K的紫外光及還原處理及半導體處理中的表面清潔
本發明係關於半導體處理,尤其係關於低介電常數介電材料在例如鑲嵌處理中的表面清理及製程引起損傷之修復。
此申請案主張2009年11月12日申請、題為「UV AND REDUCING TREATMENT FOR K RECOVERY AND SURFACE CLEAN IN SEMICONDUCTOR PROCESSING」的美國臨時專利申請案第61/260,789號以及2009年12月23日申請、題為「UV AND REDUCING TREATMENT FOR K RECOVERY AND SURFACE CLEAN IN SEMICONDUCTOR PROCESSING」的美國實用專利申請案第12/646,830號之權益。此臨時專利申請案之全文為所有目的以引用之方式併入本文中。
低介電常數(低k)絕緣材料已被整合於半導體裝置中以便解決縮減的特徵尺寸及較高的性能要求。這些低k介電質在機械上弱於前代的介電材料。該低k介電材料固有的脆弱性質為下游電子封裝製程及材料相容性帶來重大的挑戰。
定義低k材料為介電常數(k)低於SiO2 之介電常數,即3.9的半導體級絕緣材料。各種類型的低k材料之介電常數在大約3.8-3.6之間(例如氟矽酸鹽玻璃(FSG))、小於大約3.2(例如摻碳氧化物(CDO))、低至2.2(例如旋塗玻璃(SOG))或更低,並包含稱為「超低k」(ULK)及「極低k「(ELK)的低k介電質。在許多例如描述於此作為本發明之一態樣的CDO低k實施中,適當的含碳低k材料具有一為大約2.7或更低的介電常數。為進一步縮減在積體電路上之裝置的尺寸,必要的係使用具有低電阻率的導電材料及具有低介電常數的導體以降低相鄰金屬線路之間的電容耦合。低k材料被整合於該等裝置中以改善裝置性能並允許裝置縮放。
低k材料之密度比諸如SiO2 的標準絕緣材料小。此低密度引起許多製程整合及材料相容困難。具挑戰性的係在維持一低k膜之完整性、將其正確整合及執行必要之剝離、清潔及調節之間維持平衡。型樣化製程(蝕刻、剝離、沉積及清潔)亦對含碳低k材料,尤其係基於SiOC之低k材料具有嚴重影響。
賦予含碳低k介電材料其理想低介電常數的屬性與導致極大整合挑戰的屬性相同。含碳低k材料經由合併非極性共價鍵(例如來自碳的添加)及導入多孔性以降低膜密度而實現較低的介電常數。導入多孔性或合併諸如Si-CH3 的端鍵打斷傳統氧化物之剛性Si-O-Si晶格之連續性,產生一在機械及化學上皆更脆弱的較低介電常數膜。由於機械脆弱性,含碳低k膜易受可非預期增大該膜之密度的動態電漿損傷之影響並因此增大該膜之有效k值。
此外,在半導體處理操作中使用化學電漿,介電質被暴露於該化學電漿下可修改諸如Si-CH3 之鍵易被打斷的含碳低k膜。含碳低k材料對於電漿修改的敏感性帶來一嚴重的整合挑戰,因為常規的係使用電漿製程以便在一半導體裝置之製造中蝕刻、清潔及沉積膜。在一典型的鑲嵌製程中,在金屬阻障沉積之前,製程引起的含碳低k介電質損傷可被一型樣化低k介電質因(電漿)蝕刻、乾式光阻剝離、濕式清潔及乾式清潔的而引起。含碳低k材料亦易受既可吸附至該膜中、除去該膜中之氣體、或化學修改該膜的電漿種類、殘留物、溶劑、水分及前驅體分子之介入的影響。之後,一導電材料,通常為一金屬,例如銅,被沉積至該型樣化介電層上以填充形成於該介電層中的通孔及溝渠。然後,藉由化學機械拋光(CMP)移除過量金屬,藉此形成一包括經暴露之銅及低k介電質區域的平坦表面,諸如一介電質阻障的其它層被沉積於其上。該CMP製程一般會損傷該低k介電質,導致碳損失及水吸收。這係因為該低k介電質的k增大,因此降低該低k材料可能提供之RC改良。
此外,暴露金屬(尤其係銅)之區域在一介電質阻障或之後之層形成於該晶圓表面上之前經受氧化。CMP漿料之抗腐蝕組分之有機殘留物,例如苯并三唑(BTA),可在一CMP製程之後停留於一晶圓表面上。氧化銅及有機殘留物的存在引起將介電質阻障黏接於該晶圓表面上的問題。因此,各種清潔製程可被使用以便將此氧化物及殘留物(製程引起之損傷的另一個形式)移除。在一個具體實施例中,此一晶圓可在向一電漿增強化學氣相沉積(PECVD)處理室導入化學蒸汽之前在該處理室中暴露於一直流式電漿一段時間。一諸如氨或氫電漿之還原電漿的使用可減少該表面上的氧化銅及碳氫化合物,藉此清潔該表面。然而,取決於處理條件,此等直流式電漿亦可影響一圍繞該銅的低k介電質,因為該低k介電質係藉由離子轟擊或因為經由化學活動之鍵結碳移除而在該表面被局部增大密度。一些藉由諸如CMP而引起的對該低k材料的k損傷可藉由在上述預處理及蝕刻終止沉積之前進行一較短的退火而被回復,但該回復極為微小。
本發明提供一種利用一還原劑及紫外光(UV)輻射處理含碳低k介電質,例如但不限於摻碳氧化物(CDO)以修復製程引起之損傷的方法,該介電質可能包含一金屬特徵。在另一個態樣中,利用一還原劑及紫外光(UV)輻射可藉由移除(還原)金屬氧化物(例如氧化銅)及/或來自一經處理晶圓之平坦化表面的CMP漿料的有機殘留物而有效清理一經處理晶圓表面。本發明之該等方法尤其可應用於鑲嵌處理背景下以回復一在處理過程中被損傷的介電質所損失的低k屬性,不管係在金屬化之前、金屬化之後或兩者皆可,及/或提供有效的平滑化後表面清潔以改善之後應用之介電質阻障及/或其它層的黏接。
在一個實施例中,本發明包含將一型樣化金屬(例如銅)互連晶圓(CMP之後及蝕刻終止沉積之前)暴露至一具有足夠光通量的紫外光(UV)輻射及一還原劑,例如NH3 ,引起金屬氧化物(例如氧化銅)還原及在CMP之後剩餘的吸附有機殘留物之移除而對該暴露介電質(其可為諸如ULK的低k,例如一含碳介電質,如CDO;或者其它低k或非低k介電質)之介電常數具有最小的影響。其中之預處理及其本身亦可回復一些傳遞至該基板表面之一低k介電質的損傷(例如歸因於吸收水的k降低)。還有一些根據本發明的其它可行方式,例如使用一短暫退火(例如1分鐘或更少),其包含在一大約400℃之溫度下將該介電質表面暴露至一還原劑,然後在一諸如氦氣的惰性氣體中短暫暴露至一UV光。在另一個實施例中,該晶圓在一還原氣體例如NH3 中暴露至UV以清潔該金屬(例如銅)表面,然後在一惰性氣體中暴露至UV以回復k損傷。在任一情況下,經測量的介電常數之回復大於一退火本身可實現的效果。
雖然氧化銅之還原係一尤為有用及例示實施例,但在其它實施例中此相同方法亦可在介電質及/或金屬阻障沉積、接觸形成或相似的沉積製程之前應用於氧化鎳、氧化鎢、氧化鈷或其它金屬氧化物之還原。
此製程可包含於一適當設計的負載鎖之上或作為一PECVD半導體晶圓處理系統之一模組,舉例來說該處理系統可為一可從CA,San Jose之Novellus System,Inc.購得的Vector Extreme組態之PECVD系統。
在另一個態樣中,本發明係關於一種形成一半導體裝置的方法。該方法包括在一處理室中接收一包括一含碳低k介電層之半導體裝置基板,該介電層具有一形成於其中的特徵,該特徵具有側壁及一底部;及將該特徵暴露至一還原劑及UV輻射。該特徵中該製程引起的低k介電質損傷被修復。
在另一個態樣中,本發明係關於一種形成一半導體裝置的方法,其包含在一處理室中接收一包括一平坦化表面的半導體裝置基板,該平坦化表面具有在一介電層中的導電特徵;及將該平坦化表面暴露至UV輻射及一還原劑。在該表面上的平坦化引起之損傷被修復。
本發明亦可更廣泛地應用於其它半導體處理背景下。舉例來說,在一處理室中接收一半導體裝置基板及將該半導體裝置基板暴露至UV輻射及一還原劑使得處理引起的對該半導體裝置基板的損傷被修復。
本發明的這些及其它特徵以及優點將參考該等相關圖式而被更詳細地描述。
本發明之實施例主要在此描述處理鑲嵌處理中之含碳低k介電質修復的背景。一般技術者將理解本發明之如下詳細描述僅具說明性而非限制性。本發明之其它實施例對於獲得此說明之利益的技術熟練者來說係顯而易見的。現在將詳細參考顯示於所附之圖式中的本發明之各種實施方式。
使用於此之術語「半導體裝置」涉及任何形成於一半導體基板上的裝置或任何處理一半導體材料的裝置。在許多情況下,一半導體裝置參與電子邏輯或記憶體或者能量轉換。術語「半導體裝置」包括部份製造之裝置(例如部份製造的積體電路)以及可用於銷售或安裝於特定設備中的完整裝置。簡言之,一半導體裝置可存在於任何使用本發明之一方法或擁有本發明之一結構的製造狀態中。
如上述,本發明提供一種製造半導體裝置的方法,其包含暴露於一還原劑及UV輻射。根據本發明的處理可有效修復製程引起之對該介電質之損傷,例如源自電漿溝渠蝕刻或氧化金屬化或源自CMP之有機殘留物的含碳低k介電質(例如CDO)之斷裂Si-CH3 鍵。可應用的含碳介電質一般具有摻有碳的SiO基主鏈,尤其係CDO(例如由八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、二甲基二甲氧基矽烷(DMDMOS)及甲基二乙氧基矽烷(DEMS)形成的CDO以及其它熟知的CDO前驅體),但亦可包含合併有C、Si及該主鏈中之O兩者的複合聚合物。本發明至少在其後平坦化損傷修復(例如表面清潔)態樣中可應用於具有非含碳低k介電質甚至非低k介電質的半導體基板,因為該等金屬氧化物還原劑及有機CMP殘留物移除態樣獨立於本發明之低k介電質修復及k回復態樣。本發明之方法尤其可應用於鑲嵌處理之背景下。
在一個態樣中,本發明係關於一種形成一半導體裝置的方法,該方法係藉由同時或連續地暴露一形成於一含碳低k介電層中之特徵至一還原劑及UV輻射以修復舉例來說在該特徵形成製程中包含的電漿蝕刻、乾式光阻剝離、濕式清潔及乾式清潔引起的對該特徵側壁及底部之製程引起低k介電質損傷(例如懸浮鍵或高度應變鍵,例如由有機(一般而言-CH3 )基團之移除引起的Si-O-Si或Si-CH2 -Si。然後經修復之特徵可填充有一導電材料,尤其係一金屬擴散阻障,之後填充銅(或其它適當的互連金屬)。然後該半導體裝置之頂面可通常藉由化學機械拋光(CMP)而被平坦化。如上述,除預金屬化介電質修復之外或獨立於其之平坦化引起的介電質損傷的後平坦化修復為本發明之態樣。
圖1之處理流程圖描繪可以各種根據本發明之實施例之方法執行的操作。本發明可被有利地應用於一鑲嵌處理背景下,但其應用不受限於此。應理解在至少一些態樣中,本發明僅需一諸如在圖1所示之實施例之操作104及/或110中所述之可應用之半導體裝置基板在UV輻射及還原劑中的暴露。本發明的其它態樣可包含附加處理操作,例如描述於此的鑲嵌處理操作。但本發明不限於這些附加處理操作在其所有態樣中的執行。一雙鑲嵌技術之一概括版本參考圖2A到2D而被描述於下,該等圖式顯示一在此製程之各個階段中的部份形成之半導體裝置。本發明亦可併同其它半導體處理技術而使用。
現參考圖1,在並不必為本發明之部份但將本發明之一實施例置於一有利應用之背景下的操作中,一含碳低k介電層在100處被沉積於一基板上。導電特徵之型樣在102被形成於該介電層中,通常係藉由電漿蝕刻。電漿蝕刻通常引起對該等型樣邊緣,通常係溝渠側壁及底部的損傷,如上述。諸如乾式光阻剝離、濕式清潔及乾式清潔的其它製程操作亦可引起或造成低k介電質的損傷。該等導電特徵一般,雖然並不一定,係金屬線路及通孔。在一個實例中,該等金屬特徵為一由銅形成之金屬化層之互連件。技術熟練者所熟知的係,可使用各種技術以形成此等層。
然後該等被形成的特徵(例如蝕刻溝渠)在104暴露於一還原劑及紫外光(UV)輻射。雖然本發明不限於任何特定的操作理論,但據信根據本發明之此態樣的受損介電質表面對UV的暴露將交聯該等表面Si基團以填充來自離去之甲基(-CH3 )基團的間隙。此外,該UV能量可光激發該還原劑以產生氫或類氫(例如氘)基,該等基轉而揮發並移除或反應掉某些懸浮鍵或非結構形成碳組分,否則其將提高該基質之極化率,因此增大該介電常數。在一些實施例中,該低k介電質修復之此還原劑調節態樣可包含在UV暴露之前或在UV暴露期間該介電質對一甲矽烷基化劑例如六甲基二矽氮烷(HMDS)的暴露,如下所進一步描述。
該還原劑一般為一還原氣體,且可單獨或與存在於該處理室中之其它化學物種組合時具有光活性。還原劑之適當實例包含NH3 或類NH3 複合物,其中H被以下替代:氘(例如NH2 D、NHD2 、ND3 )、H2 ;肼(N2 H4 )或其它可產生一諸如四甲基矽烷(4MS)或三甲基矽烷(3MS)之H基團、胺(例如甲基、二甲基或三甲基-胺或乙胺)、諸如乙烯或丙烯之烯烴或諸如乙炔之炔、或者這些與彼此及/或諸如He、N2 或Ar之惰性氣體之組合的光活性氣體。在具體實例中,該還原氣體可為NH3 或H2 。一具體實例為NH3
根據本發明之各種實施例,該特徵可在存在該還原劑的前提下向UV輻射暴露。在其它實施例中,該特徵可在向該還原劑暴露後向UV暴露,例如該特徵利用一還原氣體(例如NH3 )之一初始退火或浸泡,例如在一低於目標熱預算的溫度下,例如大約400℃,暴露大約30秒,然後在一惰性氣體(例如He)中向UV暴露例如大約30秒。在其它實施例中,首先在存在該還原劑的情況下向UV輻射暴露,然後可在沒有該還原劑的情況下在一惰性氣體(例如He)中進一步向UV輻射暴露;或者逆向操作(即在沒有還原劑的情況下在一惰性氣體(例如He)中向UV輻射暴露),然後在有該還原劑的情況下進一步向UV輻射暴露。
在另一個實施例中,損傷的介電質可在例如一大約400℃(退火)的溫度下向一諸如HMDS的矽烷化劑暴露,之後或同時在存在一諸如NH3 或一例如He、Ar或N2 之惰性氣體的情況下向UV暴露,其中,舉例來說,該基板先前已向一還原劑暴露。
在該處理後,一阻障層可在該半導體裝置之後的處理之前被沉積於該低k介電層之上。
適當的還原劑分壓可在大約1到700托耳之間,例如50-600托耳。一具代表性的還原劑流速為大約15 slm。通常而言適當的係小於1分鐘,例如30秒或25秒的暴露時間。在較低的分壓下,通常需要一更長的暴露時間。
該UV輻射可獲取自任何適當的UV源。UV波長、總暴露時間及強度等之選擇取決於一些因素,包含該介電膜之厚度及該介電膜之成份。適當的UV處理參數在大約1 mw-20 W/cm2 的功率強度範圍內,較佳的係大約500 mW-5 W/cm2 ;波長為大約150-500 nm,較佳的係大約200-400 nm;時間上至1分鐘,例如大約15、30或45秒;晶圓溫度為室溫到大約450℃,較佳的係大約200-400℃。一根據本發明之此態樣的典型UV暴露之功率密度為大約1-3 W/cm2 ,晶圓溫度為大約400℃,時間為大約30秒。該UV源可為一單波長準分子燈或具有電弧或微波激發的寬譜源。該製程壓力可在大約1毫托耳到760托耳之間,較佳的係大約5托耳到700托耳。
在一可從Novellus System,Inc.購得之SOLA UV熱處理系統之一特定實施方式中,UV強度可在10%-100%中任一者。更低的強度一般需要更長的暴露時間,尤其係對於清潔。溫度被設定為低於目標熱預算(例如350-400℃)。壓力可如上述。被使用之UV波長可為一利用具有低於230 nm之波長之H+燈泡的寬頻源,因為NH3 在此區域中吸收。亦可使用其它產生此等諸如172 nm準分子之短波長源。
該UV處理之製程參數,包含強度、波長、溫度、壓力、時間及氣流,可被獨立控制並改變,且該等製程條件之任一者或全部可隨站臺改變,其中本發明被實施於一多站臺處理設備中。特定而言,可獨立控制溫度、還原劑及UV輻射。舉例來說,該晶圓可處於一溫度T下一時間t、暴露至一還原劑一時間t1、暴露至UV輻射及一還原劑一時間t2、暴露至UV輻射僅一時間t3,然後被移動至一多站臺處理設備之另一個站臺中,其中包含溫度、UV輻射強度、壓力、波長、氣流及時間的條件可在所描述之參數內獨立改變。
用以實施本發明的設備可具有一個或多個UV源。在一些描述於此的實施例中,該設備將具有一單一UV源。適當的裝置被更詳細描述於下。
在此UV處理之後,在並不必為本發明之部份但為上述一實施例之背景的操作中,該等溝渠可在106用一導電材料填充,該材料一般為銅(Cu),但其它金屬亦可被使用。在填充該等特徵時,過量材料將須從該介電層之頂部(暴露表面)移除。該過量材料可藉由一平坦化製程移除以便在108處形成該介電質中之導電特徵之一暴露型樣。如上述,一廣泛使用的平坦化製程為化學機械拋光(CMP)。
CMP漿料在平坦化期間氧化該導電材料之表面。有利的係在平坦化製程之後從該導電材料移除氧化物。如上述,來自CMP的漿料亦可從該介電質化學移除碳基團。此外,CMP漿料之抗腐蝕組分的有機殘留物,例如苯并三唑(BTA),可在一CMP製程後停留於一晶圓表面上。氧化銅及有機殘留物的存在可干擾之後應用的層例如一介電質阻障在該晶圓表面的黏接。
根據本發明之另一態樣,為修復該介電質並移除該金屬氧化物及/或CMP漿料有機殘留物,該介電質表面可在110暴露至另一個還原劑及UV輻射。該處理可在與上述後溝渠蝕刻處理相同的條件下進行。本發明之UV及還原劑暴露亦可有效移除金屬氧化物及CMP有機殘留物。雖然本發明不限於任何特定操作理論,但據信根據本發明之此態樣的平坦化表面之UV暴露交聯該等表面Si基團以填充該低k介電質中源自離去之甲基(-CH3)基團的間隙。此外,該UV能量可光激勵該還原劑以產生氫(或類氫)基,該等基轉而揮發並移除或反應掉某些懸浮鍵或非結構性碳組分,否則該等懸浮鍵或碳組分將增大該基質之極化率,因此增大該介電常數,同時亦揮發並移除或反應掉CMP有機殘留物。此外,所產生的氫(或類氫)基亦可轉而驅動氧化銅至純銅的還原。
在根據本發明的鑲嵌處理之後,一擴散阻障膜,例如一銅擴散阻障膜,可被沉積於該部份形成之半導體裝置之平坦化表面上。此層可用於除了一擴散阻障之外的其它目的。舉例來說,該擴散阻障膜亦可充當一蝕刻終止層。
根據本發明之各種實施方式,一如上述的還原劑及UV暴露處理可進行於一半導體處理操作之前金屬化(例如溝渠填充)或後金屬化階段或二者皆可。該操作可包含任一或兩個處理操作。在該還原劑及UV暴露處理未執行於一包含前金屬化處理及後金屬化處理兩者的半導體處理操作之兩個階段的實施例中,其它處理技術亦可在一個階段或另一個階段與該還原劑及UV暴露處理組合。舉例來說,一不具有還原劑暴露的UV暴露可在一個階段或另一個階段使用。
此外,其它處理操作可在一給定的處理階段與該還原劑及UV暴露處理組合。舉例來說,本發明之一實施例包含基板209上之一金屬層211之上的蝕刻終止層210。
應注意前述僅為本發明可被實施的一個雙鑲嵌製程之一實例。一種通孔最先雙鑲嵌製程已被描述。在其它實施例中,一通孔最先製程可包含在該等線路溝渠之蝕刻之前完整的通孔蝕刻。或者可使用一溝渠最先製程,其中該等線路溝渠之蝕刻先於該通孔蝕刻。這些各種鑲嵌處理技術及其其它變體熟知於技術中並代表本發明之實施例的替代實施背景。本發明亦可應用於一單鑲嵌處理、更習知的金屬沉積及蝕刻、觸件清潔及基本上任何使用含碳低k介電質(用於本發明之低k修復態樣)的半導體處理背景以及/或任何需要金屬氧化物之還原或有機CMP殘留物之移除(表面清潔)的半導體背景(用於至少本發明之後平坦化態樣)。在觸件清潔的情況下,應理解本發明之金屬氧化物還原態樣在一觸件清潔製程中具有兩個變體,其任一者或兩者皆可根據本發明而被執行:在金屬沉積之前的蝕刻接觸通孔之清潔劑在化學機械拋光(CMP)之後的經填充之金屬觸件之清潔。在第一個情況下,該UV及還原劑處理將在一諸如NiSi之矽化物中產生的氧化物還原。在第二個情況下,該處理將生長於該金屬觸件之頂部,通常在諸如W或Cu之金屬上的金屬氧化物還原。
此外,在這點上,在鑲嵌處理背景下的術語「溝渠」通常被理解為描述一形成於介電質中且之後被填充以便在一介電層中形成一導電線路的特徵。在一更廣泛的半導體處理背景下,該術語已被理解為描述一種形成於介電質中且一兩步驟後CMP階段製程,其包含用於k回復、利用UV在一惰性(例如He)氣體中固化的介電質修復,然後為用於表面清潔、利用一還原劑(例如NH3 )的UV固化;或者該等步驟可被顛倒。根據這些實施例,在NH3 中的UV固化之前或之後一短退火(例如30秒,400℃)可致能一單一處理中的表面清潔及k回復兩者。
現參考圖2A-2D,其顯示一種包含本發明之處理引起之損傷修復製程的典型雙鑲嵌製程。如上述,應理解本發明之一在一有利應用之背景下的實施例被顯示。在至少一些態樣中,本發明僅需該半導體裝置基板的UV輻射及還原劑暴露,如上述。本發明的其它態樣可包含附加處理操作,例如描述於此之鑲嵌處理操作。但本發明不限於這些附加處理操作在所有態樣中的執行。
參考圖2A,第一及第二介電層203及205被連續沉積,可能藉由一蝕刻終止層例如一氮化矽層之沉積分開。技術中熟知的係,根據替代鑲嵌處理技術,一更厚的單一介電層可被使用代替分開的第一及第二介電層。
在第二介電層205之沉積後,一具有若干開口的通孔遮罩211被形成,之後通孔將被形成於該等開口中。然後,該等通孔被部份向下蝕刻穿過該第二介電層205之高度。然後通孔遮罩211被剝離並用一線路遮罩213替代,如圖2B所示。一第二蝕刻操作被執行以移除足夠數量的介電質以便在第二介電層205中界定線路徑215。該蝕刻操作亦可延伸通孔洞217通過該第一介電層203、向下接觸一在該下伏之後經填充以形成一半導體裝置之一元件(例如通孔、線路、STI等)的特徵,並可包含一鑲嵌溝渠或一組合式鑲嵌結構。除非於文中另有描述,否則當被使用於此時,該術語應被理解為具有其廣泛的意義。
在溝渠蝕刻後,光阻在另一個電漿製程中移除,之後進行一濕式或乾式清潔然後該低k介電質表面上的損傷被修復,如上述。
其後,導電阻障層材料219之一薄層被形成於介電層203及205之暴露表面(包含側壁)上。導電阻障層材料219可由例如鉭或氮化鉭形成。一般可利用一CVD或PVD操作以沉積導電阻障層材料219。在該阻障材料沉積前,一般使用一電漿製程以清潔該等溝渠之底部以便從該下伏層上的暴露銅表面移除氧化物及污染物。技術熟練者所熟知的係,此阻障「預清潔」電漿製程可僅為一惰性電漿或一諸如氫氣之氣體的反應性電漿。該預清潔電漿製程亦可損傷一低k介電膜。一如上述用以修復經損傷之低k膜的還原劑及UV處理可在Ta或TaN之PVD操作之前使用。
在該阻障層之頂部,一導電金屬(一般為銅)被沉積於該溝渠及線路徑217及215中。習知此沉積以兩個步驟執行:一導電種層之一初始沉積,然後銅藉由電鍍整體沉積(bulk deposition)。該種層可藉由實體氣相沉積、化學氣相沉積、無電電鍍等沉積。注意銅之整體沉積不僅填充線路徑215,並且,為確保完整沉積,亦覆蓋在第二介電層205之頂部上的所有暴露區域。
因此,必要的係平坦化該結構並從該裝置移除過量的銅。平坦化移除下至介電層205之頂部高度的材料。這引起導電線路221在介電層205中之一暴露型樣及介電層203中的通孔。(見圖2C之截面圖及圖2D之簡化俯視圖。)
平坦化可藉由各種技術完成。一般來說,該製程包含一些數量的CMP。其亦可包含電拋光之一組合,用以移除多數的過量整體銅,之後為CMP以便將剩餘的銅向下移除至該介電層205之頂面的高度。如上述,來自CMP的漿料可化學移除碳基團,且基於一般用於在該平坦化製程後從該等導電線路移除氧化物之製程的處於原位之電漿亦可移除在低k介電膜表面上留有矽懸浮鍵的碳基團。這些類型的損傷之各者亦可引起極大的水吸附,導致介電質k之增大。
如前述,本發明之另一態樣係關於在鑲嵌處理中產生的後平坦化(例如CMP)損傷修復。根據此態樣,在藉由CMP平坦化後,Si-OH(矽烷醇)鍵(藉由CMP漿料移除有機(一般而言-CH3 )基團以及該漿料中水之處理及與產生之懸浮Si-鍵的反應而產生)或其它含碳低k介電膜損傷(例如高度應變鍵)或者金屬氧化物或CMP漿料有機殘留物污染藉由將該表面向一還原劑及UV輻射暴露而被修復,如上述。
本發明可被實施於許多不同類型的設備中。在一些實施例中,該設備包含一個或多個封覆一個或多個晶圓並適於晶圓處理的室(有時被稱為處理模組)。至少一個室將包含一UV源。一單一室可具有一個或多個站臺並可被用於本發明的一個、一些或所有操作。各個室可封覆一個或多個晶圓(基板)以便處理。該一個或多個室在本發明的過程中將該晶圓維持於一界定位置或若干位置(在該位置內具有或不具有動作,例如旋轉、振動或其它搖動)。對於某些該晶圓溫度將被控制的操作來說,該設備可包含一溫控晶圓支撐件,其可被加熱、冷卻或兩者皆可。該晶圓支撐件亦可受控制以便在一處理模組內提供經界定的晶圓位置。該晶圓支撐件可相對於該UV源旋轉、振動或搖動該晶圓。
圖3顯示一適於實施本發明的UV光源之配置。在此實施例中,一冷光鏡反射器嘗試減少IR輻射在該晶圓上的照射,同時允許UV輻射可用於處理。為了簡潔,此圖式僅顯示可用於本發明之一設備中的多個可行處理站臺之一者。此外,為了簡潔,此圖式省略該晶圓之顯示,並顯示一泛光式(flood-type)反射器。對技術熟練者來說顯而易見的係顯示於圖3中的該等原理亦可應用於一聚焦反射器。
參考圖3,底座303被嵌入於一處理室301之一站臺中。視窗305被適當定位於底座303之上以允許該晶圓(未顯示)利用來自UV燈309及319之具有理想波長的UV輸出進行輻射。用於UV光源的適用燈可包含但不限於汞氣燈或氙氣燈。其它適當的光源包含氙燈、準分子燈或雷射(例如準分子雷射及各種雷射之可調節變體)。該等兩個燈309及319皆裝備有將其輸出呈現為泛光照明的反射器307及317。反射器307及317自身可由「冷光鏡」材料製成,即其亦可被設計以透射IR並反射UV輻射。
直接源自燈309及319以及反射自反射器307及317的輻射進一步入射於一組反射器311上。這些反射器亦為經設計以便僅反射目的為固化該晶圓上之薄膜的該等UV波長的冷光鏡。包含可見光及尤其係IR的所有其它輻射被此組冷光鏡透射。因此該晶圓僅被在該薄膜上引起理想效果的該等波長輻射。對於技術熟練者來說顯而易見的係該等冷光鏡反射器311相對於該等燈309及319的特定角度、距離及定向可被優化以最大化入射於該晶圓上的UV強度並優化其照射之均勻性。
該室301能在高於大氣壓力的壓力保持一真空狀態及/或包含氣體。為了簡潔,僅顯示一個室301之一站臺。應注意在一些實施例中,室301為一多室設備中之一室,但室301亦可為一獨立單室設備之一部份。在任一情況下,該(等)室可具有一個或不止一個站臺。在本發明的一些實施例中,該UV處理模組具有一個站臺。用於實施本發明的適當設備可包含描述於此的來自加利福尼亞州San Jose市之Novellus System,Inc.的INOVA、Sequel、Vector及SOLA系統及來自加利福尼亞州Santa Clara市之Applied Materials的Endura、Centura、Producer及Nanocure系統組態。在一特定實例中,本發明可被實施於一來自加利福尼亞州San Jose市之Novellus System,Inc.的Vector Extreme工具上。
注意圖3之UV光源組態僅為一適當組態之一實例。總體而言,較佳的係該等燈經配置以便向該晶圓提供統一的UV輻射。舉例來說,其它適當的燈組態可包含同心配置或以其它方式配置之圓形燈陣列,或者可使用彼此相對成90度或180度配置的更小長度之燈。該(等)光源可為固定或可移動以便在該晶圓之適當位置提供光。或者,一光學系統,包含例如一系列可移動透鏡、濾光器及/或鏡,可被控制以便在不同時間從不同光源將光導向該基板。
該UV光強度可藉由光源之類型或藉由施加至該光源或光源陣列的功率而被直接控制。影響所施加之功率之強度的因素包含例如光源(例如一光源陣列中)之數量及該等光源類型(例如燈類型或雷射類型)。其它控制該UV光在該晶圓樣本上之強度的方法包含使用可阻止部份光達到該晶圓樣本的濾光器。對於光的方向,該晶圓處的光強度可利用諸如鏡、透鏡、散射器及濾光器的各種光學組件調變。個別光源的頻譜分佈可藉由光源之選擇(例如汞氣燈對氙氣燈對氙燈對準分子燈等)以及調整頻譜分佈的濾光器的使用而被控制。此外,一些燈的頻譜分佈可藉由將諸如鐵、鎵等的特定摻雜劑與該燈中的氣體混合物摻雜而被調節。
該裝置亦包含一還原氣體320之來源,例如NH3 或H2 ,如上述的其它氣體。
在一些實施例中,一系統控制器325被使用以便在根據本發明利用還原劑進行UV處理的製程中控制製程條件。該控制器一般包含一個或多個記憶體裝置及一個或多個處理器。該處理器可包含一CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板等。
在某些實施例中,該控制器控制該設備之所有活動。該系統控制器執行系統控制軟體,包含控制時間、氣體(例如還原劑氣體)供應、室壓力、室溫度、晶圓溫度、UV波長、強度及暴露時間的指令集以及一特定製程的其它參數。其它儲存於與該控制器相關之記憶體裝置上的電腦程式可在一些實施例中使用。
一般存在一與控制器625關聯的使用者介面。該使用者介面可包含一顯示器螢幕、該設備及/或製程條件之圖形軟體顯示器以及使用者輸入裝置,例如指針裝置、鍵盤、觸控螢幕、麥克風等。
用於控制該等製程的電腦程式碼可以任何習知的電腦可讀之程式語言寫入:例如,組合語言、C、C++、帕斯卡、福傳語言或其它。編譯目標碼或腳本可被該處理器執行以便執行在該程式中識別的任務。
用於監測該製程的信號可藉由該系統控制器之類比及/或數位輸入連接提供。用於控制該製程的該等信號在該沉積設備之類比及數位輸出連結上輸出。
該系統軟體可以許多不同方式設計或組態。舉例來說,各種室組件副程式或控制目標可被寫入以控制執行該等發明之製程所需的室組件操作。用於此目的的程式或程式段之實例包含基板定位碼、還原氣體控制碼、壓力控制碼、加熱器控制碼及UV輻射控制碼。在一個實施例中,該控制器包含用於執行根據上述方法執行本發明之製程的指令。
應理解圖3所示之設備僅為一適當UV處理模組之一實例且其它設計亦可被使用。該半導體裝置應在無空氣斷開的情況下從該UV模組轉移至該阻障層沉積模組。這可在多個單一工具上完成。
實例
如下描述提供本發明之具體實施方式及執行數據之實例以便更好理解本發明。然而,本發明不限於此等具體實施方式。
後CMP處理
在本發明之一實施例中,NH3 被用作該還原劑。NH3 在該UV範圍中吸附,並可能產生可還原金屬氧化物的H基。該等H基及向UV輻射的暴露兩者皆可能移除殘留的CMP有機殘留物(C移除)。在此實例中,極高的固化壓力(大約600T)被使用以便增加H基的產生,但較低的壓力亦可能被使用,取決於需被還原之金屬氧化物之量。
為評估金屬氧化物(在此情況下為CuOx )之移除,大約130的CuO利用一氧化電漿生長於PVD Cu上。不同固化時間的影響以及具有及不具有UV暴露的影響被評估。數據被標出於圖4中。130的CuO之完整還原在NH3 氣體環境中在小於30秒的UV固化下實現。(稍低的10秒及20秒固化係歸因於在該晶圓之邊緣的不完整移除,在該處CuO明顯更厚。)藉由對比,一僅(無UV暴露)30秒的NH3 浸泡僅移除三分之一的CuO(大約40)。
為評估CMP碳氫殘留物移除,已通過該CMP製程的Cu晶圓被測試。晶圓被覆蓋有SiN然後藉由SIMS分析。所有結果將與直接電漿製程(在此情況下為基於NH3 的原位預處理)對比。數據在圖5中標出。對於表面清潔製程,一在NH3 (作為一簡單還原劑)中的UV固化可能以多種方式實施:NH3 中的單步驟UV固化;NH3 中的UV固化,然後在一如He之惰性氣體中的UV固化;及NH3 浸泡(無UV),然後在一惰性氣體環境中的UV固化。如該數據所指示,在包含一還原劑及UV固化的所有測試中的C移除優於直接電漿製程(原位NH3 預處理)。
呈現於上的該等結果皆係在400℃下固化30秒。實際的所需時間及固化溫度取決於要求。舉例來說,在C移除為主要要求的情況下,可使用更短的時間。在無C且僅需極少O還原的情況下,可使用更短時間及溫度。
該數據被呈現於圖6及7中。為評估由該清潔製程引起的k損傷,在一還原氣體中基於UV的製程與一原位電漿製程對比。如圖6所示,k損傷對於利用一還原劑及UV固化處理的膜來說係最小的。與5%的直接電漿製程相比,利用基於NH3 之30秒UV固化的k損傷係最小的(大約1.5%)。此外,如呈現於下表中的FTIR數據所示,利用基於UV之預處理,僅可觀察極少的碳損失,這說明了較低的k損傷:
為評估介電質損傷修復(用於k回復),一2.55 ULK膜被測試。在此實例中該處理包含在大約400℃下利用NH3 對經損傷之低k膜退火一更短時間(小於1分鐘),然後進行一更短的UV固化(小於1分鐘,在大約400℃)。如圖7所示,這導致最大的k回復(經由退火的回復僅需些微退火時間)。
後溝渠蝕刻製程
上述相同技術亦可用於從後蝕刻(預金屬化)損傷中回復至少一些k。根據本發明的後溝渠蝕刻處理之數據被標出於圖8中。如該數據所示,k回復對於利用一還原劑及UV固化處理的膜來說係最好的。
結論
雖然前述發明為了清晰理解而被詳細描述,但顯而易見的係一些改變及變形可在所附之申請專利範圍的範圍內做出。應注意存在許多實施該製程及本發明之合成物兩者的替代方式。因此,本發明將被視為具有說明性而非限制性,且本發明不限於描述於此之該等細節。
203...第一介電層
205...第二介電層
209...基板
210...蝕刻終止層
211...通孔遮罩
213...線路遮罩
215...線路徑
217...通孔洞
219...阻障層材料
221...導電線路
301...處理室
303...底座
305...窗口
307...反射器
309...UV燈
311...反射器
320...還原氣體
325...系統控制器
圖1之製程流程圖描繪一種根據本發明之一實施例的方法;
圖2A-2D之截面圖顯示一半導體裝置藉由根據本發明之一實施例之雙鑲嵌製程的形成;
圖3為一適於實施本發明的示例室之一示意圖;及
圖4-8提供顯示本發明之優點的數據圖。
(無元件符號說明)

Claims (40)

  1. 一種形成一半導體裝置的方法,其包括:在一處理室中接收一半導體裝置基板,該基板包括一含碳低k介電層,該介電層中形成有一特徵,該特徵具有若干側壁及一底部;將該特徵暴露於一還原劑及UV輻射而不暴露該特徵至一電漿;藉此修復該特徵中由製程引起的低k介電質損傷。
  2. 如請求項1的方法,其中該還原劑為一還原氣體。
  3. 如請求項2的方法,其中該還原氣體包括一選自由以下所組成群組的複合物:NH3 、NH2 D、NHD2 、ND3 、H2 、N2 H4 、四甲基矽烷、三甲基矽烷、甲基-、二甲基-或三甲基-胺、乙胺、乙烯、丙烯、乙炔及其與彼此及/或惰性氣體之組合。
  4. 如請求項3的方法,其中該還原氣體基本上由一選自由NH3 、H2 及其組合所組成之群組的複合物組成。
  5. 如請求項1的方法,其中該還原氣體為NH3
  6. 如請求項1的方法,其中該特徵在存在該還原劑的情況下暴露於UV輻射。
  7. 如請求項6的方法,其中該還原氣體為NH3
  8. 如請求項1的方法,其中該特徵在暴露於該還原劑後暴露於UV輻射。
  9. 如請求項8的方法,其中該還原劑為NH3 氣體,該暴露於該還原劑係在一大約400℃之溫度下進行小於1分鐘,且 該UV輻射暴露係在一惰性氣氛中進行。
  10. 如請求項1的方法,其中該特徵為一在一鑲嵌處理操作中蝕刻的溝渠。
  11. 如請求項1的方法,其進一步包括在該低k介電層上沉積一阻障層。
  12. 如請求項10的方法,其中該裝置基板進一步包括一填充該溝渠的金屬,且該裝置基板之一頂面已被平坦化使得該低k介電質及該溝渠中之該金屬兩者皆在該表面處暴露。
  13. 如請求項12的方法,其中該金屬為銅。
  14. 如請求項13的方法,其進一步包括將該平坦化表面暴露於一還原劑及UV輻射使得氧化銅及有機平坦化漿體殘留物之至少一者從該表面移除。
  15. 如請求項14的方法,其進一步包括其中在該低k介電質中實現至少一些k回復。
  16. 如請求項15的方法,其中該特徵在存在該還原劑的情況下暴露於UV輻射。
  17. 如請求項15的方法,其中該特徵在暴露於該還原劑後暴露於UV輻射。
  18. 如請求項17的方法,其中該特徵在存在該還原劑的情況下暴露於UV輻射之前,在一惰性氣氛中暴露於UV輻射。
  19. 如請求項17的方法,其中該特徵在存在該還原劑的情況下暴露於UV輻射後,在一惰性氣氛中暴露於UV輻射。
  20. 如請求項1的方法,其中該含碳低k介電質包括CDO。
  21. 如請求項15的方法,其進一步包括在該平坦化表面上沉積一阻障層。
  22. 如請求項12的方法,其中一金屬氧化物及一有機殘留物之至少一者從該基板表面移除。
  23. 如請求項1的方法,其中該特徵形成包括:在一基板上沉積一含碳低k介電層;及在該介電層中蝕刻該特徵。
  24. 如請求項1的方法,其進一步包括:在UV暴露之前或之時將該介電質暴露於一矽烷化劑(silylating agent)。
  25. 一種形成一半導體裝置的方法,其包括:在一處理室中接收一半導體裝置基板,該基板包括一平坦化表面,該表面具有在一介電層中的導電特徵;將該平坦化表面暴露於一UV輻射及一還原劑而不暴露該平坦化表面至一電漿;藉此修復該表面上平坦化引起的損傷。
  26. 如請求項25的方法,其中該介電質包括一含碳低k介電層。
  27. 如請求項25的方法,其中該等導電特徵包括一金屬。
  28. 如請求項27的方法,其中氧化物從該金屬移除。
  29. 如請求項28的方法,其中該金屬為銅。
  30. 如請求項26的方法,其中該含碳低k介電質包括CDO。
  31. 如請求項25的方法,其中一殘留物從該基板表面移除。
  32. 如請求項25的方法,其進一步包括:在一基板上之一含碳低k介電層中形成導電特徵;及平坦化該低k介電層之一頂面及導電材料。
  33. 一種形成一半導體裝置的方法,其包括:在一處理室中接收一半導體裝置基板;將該半導體裝置基板暴露於一還原劑及UV輻射而不暴露該半導體裝置基板至一電漿,使得由處理引起之對該半導體裝置基板的損傷被修復。
  34. 如請求項33的方法,其中該基板在存在該還原劑的情況下暴露於UV輻射。
  35. 如請求項34的方法,其進一步包括在之後在無該還原劑的情況下將該基板暴露於UV輻射。
  36. 如請求項33的方法,其中該基板在暴露於該還原劑後暴露於UV輻射。
  37. 如請求項33的方法,其中該半導體裝置基板進一步包括一金屬。
  38. 如請求項33的方法,其中該UV及還原劑暴露減少在一諸如NiSi之通孔矽化物中產生的氧化物。
  39. 如請求項33的方法,其中該UV及還原劑暴露減少氧化物並從一平坦化金屬觸件移除有機CMP殘留物。
  40. 一種用於修復一半導體裝置基板上由製程引起之損傷的設備,其包括:(a)一具有一UV輻射源及一還原劑之一來源的處理室;及 (b)一控制器,其包括用於進行一根據請求項33之製程的程式指令。
TW099123184A 2009-11-12 2010-07-14 回復k的紫外光及還原處理及半導體處理中的表面清潔 TWI431690B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US26078909P 2009-11-12 2009-11-12
US12/646,830 US10037905B2 (en) 2009-11-12 2009-12-23 UV and reducing treatment for K recovery and surface clean in semiconductor processing

Publications (2)

Publication Number Publication Date
TW201130045A TW201130045A (en) 2011-09-01
TWI431690B true TWI431690B (zh) 2014-03-21

Family

ID=43974456

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099123184A TWI431690B (zh) 2009-11-12 2010-07-14 回復k的紫外光及還原處理及半導體處理中的表面清潔

Country Status (5)

Country Link
US (1) US10037905B2 (zh)
KR (1) KR101201039B1 (zh)
CN (1) CN102074500B (zh)
SG (1) SG171529A1 (zh)
TW (1) TWI431690B (zh)

Families Citing this family (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
WO2010104979A2 (en) 2009-03-10 2010-09-16 L'air Liquide - Société Anonyme Pour L'Étude Et L'exploitation Des Procédes Georges Claude Cyclic amino compounds for low-k silylation
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9112090B2 (en) 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
CN110004429B (zh) 2012-03-27 2021-08-31 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US8877083B2 (en) * 2012-11-16 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment in the formation of interconnect structure
US20150375275A1 (en) * 2013-03-14 2015-12-31 Applied Materials, Inc. Uv-assisted removal of metal oxides in an ammonia-containing atmosphere
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150087144A1 (en) * 2013-09-26 2015-03-26 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method of manufacturing metal gate semiconductor device
CN103531535B (zh) 2013-10-30 2018-10-16 上海集成电路研发中心有限公司 一种修复超低介质常数薄膜侧壁损伤的方法
US9153482B2 (en) * 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9190489B1 (en) 2014-09-08 2015-11-17 Lam Research Corporation Sacrificial pre-metal dielectric for self-aligned contact scheme
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9502255B2 (en) 2014-10-17 2016-11-22 Lam Research Corporation Low-k damage repair and pore sealing agents with photosensitive end groups
US10049886B2 (en) * 2014-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for damage reduction in light-assisted processes
US10240236B2 (en) 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9793108B2 (en) * 2015-06-25 2017-10-17 Applied Material, Inc. Interconnect integration for sidewall pore seal and via cleanliness
US10090396B2 (en) * 2015-07-20 2018-10-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating metal gate devices and resulting structures
KR102491577B1 (ko) * 2015-09-23 2023-01-25 삼성전자주식회사 유전 층을 갖는 반도체 소자 형성 방법 및 관련된 시스템
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US20180144973A1 (en) * 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
WO2019124321A1 (ja) * 2017-12-18 2019-06-27 積水化学工業株式会社 表面処理方法及び装置
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法
WO2020081367A1 (en) 2018-10-19 2020-04-23 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
JP2022510428A (ja) 2018-12-05 2022-01-26 ラム リサーチ コーポレーション ボイドフリーの低応力充填
CN111341697B (zh) * 2020-03-05 2023-10-17 Tcl华星光电技术有限公司 一种清洗装置及清洗方法
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
TWI832303B (zh) * 2021-11-05 2024-02-11 邱俊榮 半導體製程設備的清潔方法及清潔系統

Family Cites Families (320)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3704219A (en) 1971-04-07 1972-11-28 Mcdowell Electronics Inc Impedance matching network for use with sputtering apparatus
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4313969A (en) * 1979-09-10 1982-02-02 Fusion Systems Corporation Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
JPS62229833A (ja) 1986-03-29 1987-10-08 Hitachi Ltd 光化学反応方法
US4837289A (en) * 1987-04-30 1989-06-06 Ciba-Geigy Corporation UV- and heat curable terminal polyvinyl functional macromers and polymers thereof
JPS63307740A (ja) 1987-06-09 1988-12-15 Semiconductor Energy Lab Co Ltd 光化学反応処理装置
US4751191A (en) * 1987-07-08 1988-06-14 Mobil Solar Energy Corporation Method of fabricating solar cells with silicon nitride coating
JPH01107519A (ja) 1987-10-20 1989-04-25 Nec Corp 気相成長装置
US4956582A (en) 1988-04-19 1990-09-11 The Boeing Company Low temperature plasma generator with minimal RF emissions
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
JPH01296611A (ja) 1988-05-25 1989-11-30 Canon Inc 半導体薄膜堆積法
JPH01319944A (ja) 1988-06-21 1989-12-26 Mitsubishi Electric Corp 半導体基板表面に薄膜を形成する方法およびその装置
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
JPH03277774A (ja) * 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
EP0706088A1 (en) * 1990-05-09 1996-04-10 Canon Kabushiki Kaisha Photomask for use in etching patterns
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
JPH0531735A (ja) 1991-08-02 1993-02-09 Canon Inc 光学素子の成形装置
JP2925799B2 (ja) * 1991-08-30 1999-07-28 ホーヤ株式会社 耐候性試験機用光学フィルター構造体および耐候性試験機
US5300331A (en) 1991-09-27 1994-04-05 Fusion Systems Corporation Method and apparatus for UV curing thick pigmented coatings
US5298939A (en) * 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
JP2934084B2 (ja) 1991-11-25 1999-08-16 キヤノン株式会社 成形装置
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5339198A (en) * 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) * 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
US5407524A (en) 1993-08-13 1995-04-18 Lsi Logic Corporation End-point detection in plasma etching by monitoring radio frequency matching network
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
DE4419234A1 (de) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Verfahren zur Silylierung von anorganischen Oxiden
US6015503A (en) * 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US7025831B1 (en) * 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
WO1997036330A1 (en) * 1996-03-28 1997-10-02 Intel Corporation Memory cell design with vertically stacked crossovers
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
JPH09306892A (ja) 1996-05-14 1997-11-28 Hitachi Ltd クリーニング方法および半導体製造装置
US6280171B1 (en) * 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
JPH11214364A (ja) 1998-01-28 1999-08-06 Matsushita Electron Corp 半導体ウェハ処理装置
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6273022B1 (en) 1998-03-14 2001-08-14 Applied Materials, Inc. Distributed inductively-coupled plasma source
AU3055599A (en) 1998-04-01 1999-10-25 Asahi Kasei Kogyo Kabushiki Kaisha Method of manufacturing interconnection structural body
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6407007B1 (en) * 1998-11-17 2002-06-18 Taiwan Semiconductor Manufacturing Company Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
US6290589B1 (en) * 1998-12-09 2001-09-18 Applied Materials, Inc. Polishing pad with a partial adhesive coating
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
KR20000043888A (ko) 1998-12-29 2000-07-15 김영환 플래쉬 메모리 소자의 제조 방법
US6239018B1 (en) 1999-02-01 2001-05-29 United Microelectronics Corp. Method for forming dielectric layers
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
JP3410385B2 (ja) 1999-04-19 2003-05-26 株式会社ディスコ 洗浄装置及び切削装置
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
JP2001104776A (ja) 1999-10-06 2001-04-17 Tokyo Electron Ltd 処理装置及び処理方法
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6136963A (en) 1999-07-27 2000-10-24 Heska Corporation Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
ATE414326T1 (de) 1999-08-17 2008-11-15 Applied Materials Inc Methode und apparat zur verbesserung der eigenschaften eines niedrig-k si-o-c filmes
KR100338057B1 (ko) 1999-08-26 2002-05-24 황 철 주 유도 결합형 플라즈마 발생용 안테나 장치
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
JP4774568B2 (ja) * 1999-10-01 2011-09-14 ソニー株式会社 半導体装置の製造方法
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP2001329088A (ja) 1999-10-18 2001-11-27 Nippon Sheet Glass Co Ltd 二酸化珪素被覆ポリオレフィン樹脂及びその製造方法
US20050022839A1 (en) * 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6580094B1 (en) * 1999-10-29 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Electro luminescence display device
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
DE60038672T2 (de) * 1999-12-24 2009-05-28 Anritsu Corp. Wandergenerator und diesen enthaltender digitaler leitungsprüfer
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6367412B1 (en) * 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6387453B1 (en) 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
KR100803770B1 (ko) * 2000-03-07 2008-02-15 에이에스엠 인터내셔널 엔.브이. 구배(graded)박막
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6572252B1 (en) * 2000-04-25 2003-06-03 Advanced Micro Devices, Inc. System and method for illuminating a semiconductor processing system
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
JP3910344B2 (ja) * 2000-06-28 2007-04-25 リンテック株式会社 セラミックグリーンシート製造用工程フィルム
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6675669B2 (en) * 2000-08-23 2004-01-13 Nsk Ltd. Ball screw apparatus
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
KR100841597B1 (ko) * 2000-09-13 2008-06-26 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨 전자 디바이스 제조
US6856712B2 (en) 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
WO2002045145A2 (en) 2000-11-30 2002-06-06 Shipley Company, L.L.C. Uv-free curing of organic dielectrica
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
JP4694768B2 (ja) 2001-01-04 2011-06-08 レーザー・イメージング・システムズ・ゲーエムベーハー・ウント・カンパニー・カーゲー 直接パターンライター
US6451685B1 (en) 2001-02-05 2002-09-17 Micron Technology, Inc. Method for multilevel copper interconnects for ultra large scale integration
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
KR100897771B1 (ko) * 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6348407B1 (en) * 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7183201B2 (en) * 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003115576A (ja) * 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6899857B2 (en) * 2001-11-13 2005-05-31 Chartered Semiconductors Manufactured Limited Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7017514B1 (en) * 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US20040002617A1 (en) 2002-01-17 2004-01-01 Rantala Juha T. Integrated circuits having organic-inorganic dielectric materials and methods for forming such integrated circuits
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
GB0204884D0 (en) 2002-03-01 2002-04-17 Honeywell Normalair Garrett Gas supply apparatus
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
JP2003273111A (ja) 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
CN100360710C (zh) 2002-03-28 2008-01-09 哈佛学院院长等 二氧化硅纳米层压材料的气相沉积
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
KR100480500B1 (ko) * 2002-04-25 2005-04-06 학교법인 포항공과대학교 절연막의 저온 증착법
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US6764810B2 (en) 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
TWI288443B (en) * 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6873051B1 (en) 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6812167B2 (en) 2002-06-05 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving adhesion between dielectric material layers
JP2004014841A (ja) 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US7847344B2 (en) * 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US6869862B2 (en) 2002-08-09 2005-03-22 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6759342B2 (en) * 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20050025892A1 (en) 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
KR100483290B1 (ko) * 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
US20060118817A1 (en) 2002-12-19 2006-06-08 Koninklijke Philips Electronics N.V. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) * 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US7767056B2 (en) 2003-01-14 2010-08-03 Canon Anelva Corporation High-frequency plasma processing apparatus
US20040152239A1 (en) * 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
CN100350574C (zh) 2003-01-24 2007-11-21 东京毅力科创株式会社 在被处理基板上形成硅氮化膜的cvd方法
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP4209253B2 (ja) * 2003-05-22 2009-01-14 忠弘 大見 フッ素添加カーボン膜の形成方法
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US6972252B1 (en) * 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US7264676B2 (en) 2003-09-11 2007-09-04 United Microelectronics Corp. Plasma apparatus and method capable of adaptive impedance matching
US6936540B2 (en) * 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
US20050064701A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
CN1839468B (zh) 2003-10-08 2010-11-24 霍尼韦尔国际公司 使用甲硅烷基化剂修复低k介电材料的损伤
US6902440B2 (en) * 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JPWO2005071723A1 (ja) 2004-01-21 2007-09-06 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7256111B2 (en) * 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
KR100593737B1 (ko) * 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US6962871B2 (en) 2004-03-31 2005-11-08 Dielectric Systems, Inc. Composite polymer dielectric film
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
US7504663B2 (en) 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
US7169256B2 (en) 2004-05-28 2007-01-30 Lam Research Corporation Plasma processor with electrode responsive to multiple RF frequencies
JP3972126B2 (ja) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7182673B2 (en) * 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US7223704B2 (en) * 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US8158488B2 (en) * 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060142143A1 (en) * 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8361814B2 (en) * 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US8454750B1 (en) * 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7410895B2 (en) * 2005-07-12 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming interconnect structures
US7394067B1 (en) * 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
WO2007026778A1 (ja) 2005-08-31 2007-03-08 Sumitomo Chemical Company, Limited トランジスタ、有機半導体素子及びこれらの製造方法
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
KR101060825B1 (ko) 2005-10-14 2011-08-30 요시미 시오야 반도체 제조 장치 및 제조 방법
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
JP2007194582A (ja) 2005-12-20 2007-08-02 Tokyo Electron Ltd 高誘電体薄膜の改質方法及び半導体装置
US7482265B2 (en) * 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7632771B2 (en) * 2006-02-07 2009-12-15 Imec UV light exposure for functionalization and hydrophobization of pure-silica zeolites
JP5076119B2 (ja) 2006-02-22 2012-11-21 富士通セミコンダクター株式会社 半導体装置及びその製造方法
JP4666308B2 (ja) * 2006-02-24 2011-04-06 富士通セミコンダクター株式会社 半導体装置の製造方法
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4887848B2 (ja) 2006-03-15 2012-02-29 セイコーエプソン株式会社 回路基板、電気光学装置および電子機器
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7838428B2 (en) 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
KR101181836B1 (ko) 2006-04-28 2012-09-11 삼성에스디아이 주식회사 연료 전지용 세퍼레이터, 이의 제조 방법 및 이를 포함하는연료 전지 시스템
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US20080026579A1 (en) * 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) * 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7936500B2 (en) * 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
US20080242118A1 (en) 2007-03-29 2008-10-02 International Business Machines Corporation Methods for forming dense dielectric layer over porous dielectrics
US20140094038A1 (en) * 2007-03-30 2014-04-03 Novellus Systems, Inc. Enhancing adhesion of cap layer films
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8237099B2 (en) 2007-06-15 2012-08-07 Cognex Corporation Method and system for optoelectronic detection and location of objects
CN101687219A (zh) * 2007-06-15 2010-03-31 Sba材料有限公司 低k介电材料
KR100881396B1 (ko) 2007-06-20 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4600438B2 (ja) 2007-06-21 2010-12-15 株式会社デンソー 炭化珪素半導体装置の製造方法
KR20100042644A (ko) 2007-07-13 2010-04-26 어플라이드 머티어리얼스, 인코포레이티드 보론 유도 물질 증착 방법
US8211510B1 (en) * 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
JP4529095B2 (ja) 2008-01-18 2010-08-25 ソニー株式会社 撮像装置
US20090207624A1 (en) * 2008-02-15 2009-08-20 Acumen, Inc. Headlight assembly permitting compensation for visibility changes
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US8088683B2 (en) * 2008-03-31 2012-01-03 Cypress Semiconductor Corporation Sequential deposition and anneal of a dielectic layer in a charge trapping memory device
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
JP5920802B2 (ja) 2008-10-03 2016-05-18 沖野 晃俊 プラズマを用いた付着物質形成方法
JP4708465B2 (ja) 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US8535767B1 (en) 2012-04-18 2013-09-17 Asm Ip Holding B.V. Method for repairing damage of dielectric film by hydrocarbon restoration and hydrocarbon depletion using UV irradiation
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復

Also Published As

Publication number Publication date
SG171529A1 (en) 2011-06-29
US20110111533A1 (en) 2011-05-12
TW201130045A (en) 2011-09-01
CN102074500A (zh) 2011-05-25
CN102074500B (zh) 2016-12-28
US10037905B2 (en) 2018-07-31
KR20110052436A (ko) 2011-05-18
KR101201039B1 (ko) 2012-11-20

Similar Documents

Publication Publication Date Title
TWI431690B (zh) 回復k的紫外光及還原處理及半導體處理中的表面清潔
TWI420593B (zh) 利用紫外線處理之含碳低k介電常數回復
US20100267231A1 (en) Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US9017933B2 (en) Method for integrating low-k dielectrics
US10068765B2 (en) Multi-step system and method for curing a dielectric film
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US20050266681A1 (en) Formation of low resistance via contacts in interconnect structures
JP5522979B2 (ja) 成膜方法及び処理システム
WO2010033469A2 (en) Dielectric material treatment saystem and method of operating
US9004914B2 (en) Method of and apparatus for active energy assist baking
CN107743651B (zh) 针对侧壁孔密封及通孔清洁度的互连整合
JP2004063521A (ja) 半導体装置の製造方法
US20100068897A1 (en) Dielectric treatment platform for dielectric film deposition and curing
US20150375275A1 (en) Uv-assisted removal of metal oxides in an ammonia-containing atmosphere