TWI420593B - 利用紫外線處理之含碳低k介電常數回復 - Google Patents

利用紫外線處理之含碳低k介電常數回復 Download PDF

Info

Publication number
TWI420593B
TWI420593B TW100147212A TW100147212A TWI420593B TW I420593 B TWI420593 B TW I420593B TW 100147212 A TW100147212 A TW 100147212A TW 100147212 A TW100147212 A TW 100147212A TW I420593 B TWI420593 B TW I420593B
Authority
TW
Taiwan
Prior art keywords
radiation
dielectric
carbon
exposure
containing low
Prior art date
Application number
TW100147212A
Other languages
English (en)
Other versions
TW201237959A (en
Inventor
Bhadri N Varadarajan
Kevin M Mclaughlin
Schravendijk Bart Van
Original Assignee
Novellus Systems Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Novellus Systems Inc filed Critical Novellus Systems Inc
Publication of TW201237959A publication Critical patent/TW201237959A/zh
Application granted granted Critical
Publication of TWI420593B publication Critical patent/TWI420593B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Plasma & Fusion (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Description

利用紫外線處理之含碳低K介電常數回復
本發明係關於供各種應用中利用之半導體製程中之低介電常數層。更具體而言,本發明係關於用於在(例如)鑲嵌製程中低介電常數介電材料之製程誘發性損害修復之處理。
本發明係關於半導體製程,尤其係關於在(例如)鑲嵌製程中低介電常數介電材料之製程誘發性損害修復。
低介電常數(低k)絕緣材料已整合至半導體器件中,以便著手解決縮減特徵大小及高效能要求。此等低k介電質在機械上弱於先前產生介電材料。低k介電材料之固有弱性質可提出針對下游電子封裝製程及材料相容性之顯著挑戰。
根據定義,低k材料為介電常數(「k」)低於SiO2 之介電常數(亦即,3.9)之彼等半導體級絕緣材料。各種類型之低k材料可具有自約3.8至3.6(例如,氟矽酸鹽玻璃(FSG))至小於約3.2(例如,摻碳氧化物(CDO))至低達2.2(例如,旋塗式玻璃(SOG))或甚至更低變動之介電常數,且涵蓋被稱為「超低k」(ULK)及「極超低k」(ELK)之低k介電質。在諸如本文中作為本發明之一態樣而描述之許多CDO低k實施中,合適之含碳低k材料具有約2.7或更低之介電常數。為了進一步縮減積體電路上之器件之大小,已變得有必要利用具有低電阻率之導電材料及具有低介電常數之絕緣體以縮減鄰近金屬線之間的電容性耦合。低k材料正整合至器件中以改良器件效能且允許器件按比例調整。
低k材料相比於諸如SiO2 之標準絕緣材料較不緻密。此低密度引入大量製程整合及材料相容性困難。在維持低k膜之完整性、適當地整合低k膜與執行必要剝離、清潔及調節之間達成平衡具挑戰性。圖案化製程(蝕刻、剝離、沈積及清潔)亦可具有對含碳低k材料(尤其是以SiOC為基礎之低k材料)之劇烈影響。
向含碳低k介電材料給出其理想低介電常數之屬性為導致顯著整合挑戰之極相同屬性。含碳低k材料經由併入非極性共價鍵(例如,來自碳添加)且引入多孔性以減低膜密度來達成較低介電常數。引入多孔性或併入端鍵(諸如,Si-CH3 )會斷裂傳統氧化物之剛性Si-O-Si晶格之連續性,從而得到在機械上及在化學上皆較弱之較低介電常數膜。由於機械弱性,含碳低k膜易受動力電漿損害,該損害可不良地使該膜緻密且因此增大該膜之有效k值。
此外,介電質所曝露至的用於半導體製程操作中之化學電漿可改質含碳低k膜,其中諸如Si-CH3 之鍵易於斷裂。含碳低k材料易受電漿改質會提出嚴峻整合挑戰,此係因為電漿製程例行地用以在半導體器件之製造中蝕刻、清潔及沈積膜。在典型鑲嵌製程流程中,在金屬障壁沈積之前,可藉由經圖案化低k介電質而自(電漿)蝕刻、乾式光阻剝離、濕式清潔及乾式清潔招致製程誘發性含碳低k介電質損害。含碳低k材料亦易受可吸附至膜中、自膜除氣或在化學上改質膜之電漿物種、殘餘物、溶劑、濕氣及前驅體分子之嵌入。其後,將導電材料(通常為金屬(例如,銅))沈積至經圖案化介電層中以填充形成於介電層中之通路及渠溝。接著,經由化學機械拋光(CMP)而移除過量金屬,藉此形成包含經曝露銅及低k介電質之區域的平坦表面,其他層(諸如,介電障壁)沈積至平坦表面上。CMP製程通常損害低k介電質,從而引起碳損失及吸水性。此情形造成低k介電質之k增大,藉此降低低k材料可潛在地提供之RC改良。
又,在晶圓表面上形成介電障壁或後續層之前使經曝露金屬(特別是銅)區域經受氧化。且,CMP漿料之抗腐蝕組份之有機殘餘物(例如,苯并三唑(BTA))在CMP製程之後可留存於晶圓表面上。氧化銅及有機殘餘物之存在會造成問題,其中介電障壁黏附至晶圓表面上。因此,可利用各種清潔製程以移除此氧化物及殘餘物(另一形式之製程誘發性損害)。在一特定實例中,在將化學氣相引入至電漿增強型化學氣相沈積(PECVD)製程腔室之前,可在該製程腔室中將此晶圓曝露至直接電漿歷時一時段。利用還原電漿(諸如,氨或氫電漿)可使表面上之氧化銅及烴還原,藉此清潔表面。然而,視製程條件而定,此直接電漿亦可影響環繞銅之低k介電質,此係因為低k材料係藉由離子轟擊或由於經由化學活性之結合碳移除而在表面處局域地緻密。可藉由在上述預處理及蝕刻終止沈積之前進行短暫退火來回復對低k材料的藉由諸如CMP之操作誘發之某一k損害,但該回復僅係邊際性的。
本發明提供一種用於用紫外線(UV)輻射及/或一化學矽烷化劑來處理含碳低k介電質以修復製程誘發性介電質損害之方法。該含碳低k介電質可為(但不限於)具有2.7或更低之一介電常數之摻碳氧化物(CDO),包括具有低達2.6、2.5、2.4或更低之預製程介電常數之超低k介電質,且可能地包括一金屬特徵。本發明之方法特別適用於鑲嵌製程內容背景中,以有助於回復在製程(預金屬化、後平坦化或其兩者)期間受到損害之一介電質之損失低k(抵消增大之介電常數)。在本發明之各種實施例中,以一方式進行該處理,該方式係使得該介電質之收縮縮減或最小化,及/或k回復之程度相對於習知退火技術極大地增強。
在各種實施例中,該入射UV輻射具有一波長分布(wavelength profile),該波長分布經選擇或組態以在介電質收縮相對小之情況下達成k回復,尤其是一光譜分布之特徵為UV輻射功率之50%以上具有大於300 nm之一波長的UV輻射,例如,一光譜分布之特徵為該UV輻射功率之50%以上係在約300 nm至450 nm之一波長範圍內或該UV輻射功率之10%以下係在低於300 nm之一波長範圍內的UV輻射。
在其他實施例中,該處理組合UV輻射曝露與至一化學矽烷化劑之曝露。在各種實施例中,該入射UV輻射可能或可能不如上文所特性化。
為該處理之主題的該含碳低k介電質可處於半導體製程之任何階段,且該處理通常係在一製程操作之後應用,在該製程操作中,已使該含碳低k介電質經受已造成其介電常數增大之條件。實例為在用以於該介電質中形成渠溝之一蝕刻操作之後,諸如,在鑲嵌製程中之預金屬化。或,在一介電表面之化學機械拋光(CMP)之後,諸如,在一鑲嵌製程中之後金屬化及預障壁層沈積。本發明亦可更一般化地適用於任何半導體製程內容背景中,以減低一易受影響之含碳介電質之該介電常數。舉例而言,如本文所描述,在一製程腔室中收納包含一含碳介電質之一半導體器件基板,及將該半導體器件基板曝露至UV輻射且在一些實施例中曝露至一化學矽烷化劑,使得該含碳介電質之該介電常數增大。
此製程服從在一經適當設計之裝載鎖(load lock)上之包涵物或作為用於一PECVD半導體晶圓製程系統(諸如,可購自(例如)Novellus Systems公司(San Jose,CA)之一經Vector Extreme組態之PECVD系統)之一模組。
因此,在一態樣中,本發明係關於一種形成一半導體器件之方法。該方法涉及在一製程腔室中收納一半導體器件基板,該半導體器件基板包含具有一第一介電常數之一含碳低k介電質。將該含碳低k介電質曝露至一UV處理,該處理包括以下各者中至少一者:
(a) 至UV輻射之曝露,該UV輻射之一光譜分布之特徵為UV輻射功率之50%以上具有大於300 nm之一波長;及
(b) 至UV輻射及一化學矽烷化劑之曝露。
將該含碳低k介電質之該介電常數減低至一第二介電常數。
在其他態樣中,本發明可結合微影圖案化工具或製程予以實施,例如,用於製作或製造半導體器件、顯示器、LED、光伏打面板及其類似者。本發明亦可實施為一種經組態以實現本文所描述之方法之裝置。一合適裝置包括用於實現製程操作之硬體,及具有用於控制根據本發明之製程操作之指令的一系統控制器。含有用於控制根據本發明之製程操作之指令的機器可讀媒體可耦接至該系統控制器。
本文主要地在針對鑲嵌製程中之含碳低k介電質修復之處理的內容背景中描述本發明之實施例。然而,本發明之以下詳細描述僅係說明性的,且不意欲以任何方式係限制性的。獲益於本發明之一般熟習此項技術者將易於想到本發明之其他實施例。現將詳細地參考如隨附圖式所說明的本發明之實施。
導言
如本文所利用之術語「半導體器件」指代形成於半導體基板上之任何器件或擁有半導體材料之任何器件。在許多狀況下,半導體器件參與電子邏輯或記憶體,或參與能量轉換。術語「半導體器件」包含已部分製作器件(諸如,已部分製作積體電路),以及可用於銷售或安裝於特定裝置中之已完成器件。簡言之,半導體器件可以使用本發明之方法或擁有本發明之結構的任何製造狀態而存在。
本發明提供一種半導體器件製作方法,該方法涉及將含碳低k介電質曝露至具有某些波長分布之UV輻射及/或協同化學矽烷化劑,或其兩者,以縮減主題含碳低k介電質之介電常數。根據本發明之處理有效於修復對介電質之製程誘發性損害,例如,由電漿渠溝蝕刻或CMP引起的含碳低k介電質(例如,ULK CDO)中之斷裂Si-CH3 鍵。適用之含碳低k介電質通常具有經摻雜有碳的以SiO為基礎之主鏈,尤其是CDO(例如,由八甲基環四矽氧烷(OMCTS)、四甲基環四矽氧烷(TMCTS)、二甲基二甲氧基矽烷(DMDMOS)及二乙氧基甲基矽烷(DEMS)以及其他已知CDO前驅體形成之CDO);但亦可包括在主鏈中併入C、Si及O之混成聚合物。發明性方法特別(但不獨佔式地)適用於鑲嵌製程內容背景中。本發明亦係關於經組態以實施所描述方法之裝置。
因此,在一態樣中,本發明係關於一種形成半導體器件之方法。該方法涉及在製程腔室中收納半導體器件基板,半導體器件基板包含具有第一介電常數之含碳低k介電層。根據本文所描述之技術將基板曝露至UV輻射,使得含碳低k介電層之介電常數減低至第二介電常數。雖然本發明不限於任何特定操作理論,但咸信,處理修復由(例如)在特徵(例如,渠溝)形成製程或其他製程操作(例如,後金屬化平坦化)中所涉及之電漿蝕刻、乾式光阻剝離、濕式清潔及乾式清潔造成的製程誘發性低k介電質損害(例如,由有機(通常為-CH3 )基之移除造成的懸鍵或高度應變鍵,例如,Si-O-Si或Si-CH2 -Si)。可接著將經修復特徵塗佈有諸如障壁層材料之材料,以預防介電常數降級。舉例而言,在特徵為用於形成線或通路之渠溝的情況下,可在金屬化操作中施加導電材料(特別是金屬擴散障壁),繼之以銅(或其他合適互連金屬)。在一些實施例之後續製程操作中,可將根據本發明之另一處理應用於經金屬化半導體器件之經平坦化頂部表面,以修復由平坦化(通常由化學機械拋光(CMP))造成之介電質損害。
應理解,在此等多步驟製程中,根據本發明之處理可應用於一或多個階段;例如,用於電漿蝕刻誘發性損害之預金屬化修復,及/或平坦化誘發性介電質損害之後平坦化修復。又,根據本發明之UV處理可與其他UV或非UV介電質處理進行組合。
製程變化
如上文所提到,本發明提供一種半導體器件製作方法,該方法涉及將含碳低k介電質曝露至具有某些波長分布之UV輻射及/或協同化學矽烷化劑,或其兩者,以縮減主題含碳低k介電質之介電常數。主題含碳低k介電質通常為已受到先前製程或加工操作損害之含碳低k介電質,使得其介電常數已自其原生值增大。根據本發明之UV處理可具有多種態樣,其中特性及/或成份經組態以減低經處理含碳低k介電質之介電常數。
根據第一態樣,在各種實施例中,基板曝露至UV輻射,UV輻射之光譜分布之特徵為UV輻射功率之50%以上具有大於300 nm之波長;或其中UV輻射功率之50%以上係在約300 nm至450 nm之波長範圍內;或其中UV輻射功率之50%以上係在約300 nm至400 nm之波長範圍內。根據本發明之此態樣之合適光譜分布亦可被特性化為使UV輻射功率之10%以下在低於300 nm之波長範圍內;或使UV輻射功率之5%以下在低於300 nm之波長範圍內;或使UV輻射功率之1%以下在低於300 nm之波長範圍內。根據本發明之此態樣之合適光譜分布亦可被特性化為使含碳低k介電質所曝露至之UV輻射中在200 nm至240 nm之波長範圍內之UV輻射功率對在300 nm至400 nm之波長範圍內之UV輻射功率的比例不大於10%。
根據本發明之此態樣之一些實施例的合適UV輻射源為充Fe(「D」)燈泡。在一些實施例中,高通濾波器係與D燈泡一起用以在含碳低k介電質之曝露之前濾出具有低於300 nm之波長之UV輻射。濾波器可為295 nm高通濾波器。
根據本發明之此態樣之一些實施例的另一合適UV輻射源為充Ga(「V」)燈泡。在一些實施例中,高通濾波器係與V燈泡一起用以在含碳低k介電質之曝露之前濾出具有低於300 nm之波長之UV輻射。濾波器可為295 nm高通濾波器。
如下文進一步所描述,已由於根據本發明之UV處理而觀測到與效能優點相關之材料屬性改變。用具有上文所描述之光譜分布之輻射進行處理的重要益處在於:獲得k回復,同時使與其他介電質修復處理相關聯之介電質收縮縮減或最小化。收縮會產生應力(特別是後金屬化),從而潛在地導致應力遷移失效。又,圖案密度之可變性及收縮之後繼可變性可造成介電質損失其平坦度。雖然本發明不限於任何特定操作理論,但咸信此情形係藉由縮減UV輻射光譜分布之次300 nm分量(其中ULK CDO膜具有顯著吸收性)而達成。
後CMP含碳低k介電質之處理已引起:比預處理介電常數小至少0.1之介電常數,且該介電質由於UV輻射曝露而收縮達小於2%;或比預處理介電常數小至少0.1之介電常數,且該介電質由於UV輻射曝露而收縮達小於1%;或比預處理介電常數小至少0.06之介電常數,且該介電質由於UV輻射曝露而收縮達小於0.5%。
根據本發明之第二態樣,UV處理可包含UV輻射曝露協同化學矽烷化劑曝露。矽烷化涉及用烷矽基來置換化合物上之酸性氫。根據此態樣,UV輻射可如上文關於本發明之第一態樣所描述,使得入射於含碳低k介電質上之UV輻射具有受到約束以達成縮減收縮與k回復之光譜分布。或者,結合本發明之此態樣之入射UV輻射可能不受到如此約束,且可能(例如)源於常規H或H+(汞)燈泡、D燈泡、V燈泡或任何其他合適源。UV源可為單波長準分子燈或具有弧或微波激發之廣譜源。
一般而言,UV波長、總曝露時間及強度等等之選擇視數個因素而定,該等因素包括介電膜之厚度及介電膜之組合物。上文所描述的本發明之第一態樣的受約束光譜UV輻射曝露之合適UV處理參數可在約1 mw/cm2 至20 W/cm2 (例如,約500 mW/cm2 至5 W/cm2 )之功率強度範圍內;在約300 nm至450 nm(例如,約300 nm至400 nm)之波長下。上文所描述的根據本發明之第二態樣的適於結合化學矽烷化劑曝露而利用之不受約束光譜UV輻射曝露之合適UV處理參數可在約1 mw/cm2 至20 W/cm2 (例如,約500 mW/cm2 至5 W/cm2 )之功率強度範圍內;在約150 nm至500 nm(例如,約200 nm至400 nm)之波長下。在任一情況下,如下曝露係合適的:歷時高達約1分鐘(例如,約15秒、30秒或45秒);在介於室溫直至約450℃之間(較佳地為約200℃至400℃(例如,400℃))的晶圓溫度下。根據本發明之此態樣之典型UV曝露在歷時約45秒的約400℃之晶圓溫度下具有約1 W/cm2 至3 W/cm2 之功率密度。製程壓力可自約1毫托至760托(較佳地自約5托至700托)變動。
用以實施本發明之裝置可具有一或多個UV源。在本文所描述之一些實施例中,裝置將具有單一UV源。在可購自Novellus Systems公司之SOLA UV熱製程系統上之一特定實施中,UV強度可為介於10%至100%之間的任何值。較低強度通常需要較長曝露時間。溫度經設定為低於目標熱預算(例如,350℃至400℃)。壓力可如上文所提到。UV波長源可如上文所描述。下文參看圖3更詳細地描述合適裝置。
進一步根據本發明之第二態樣,化學矽烷化劑通常為經取代或未經取代之烷基矽烷。根據本發明之此態樣的烷基矽烷矽烷化劑之合適類別及實例包括:
甲基矽烷
此等矽烷化劑可含有包括以下各者之3個、2個或1個可水解(官能)基:氯基、甲氧基、乙氧基、丙氧基、甲氧基烷氧基、二甲基胺、二乙基胺、其他胺、矽氮烷(NH),或其組合。合適之雙官能實例為二甲基二氯矽烷、二甲基二甲氧基矽烷、二甲基二乙氧矽烷、二甲氨基三甲基矽烷、雙(二甲基胺基)二甲基矽烷,及雙(二乙基胺基)二甲基矽烷。
直鏈烷基矽烷
如上文,但其中甲基中之一或多者係藉由其他直鏈烷基(例如,乙基、丙基、丁基,等等)置換。合適實例為乙基甲基二氯矽烷。
分支鏈及環狀烷基矽烷
如甲基矽烷,但其中甲基中之一或多者係藉由分支鏈或環狀烷基(例如,異丙基、異丁基,等等)置換。
二烷基矽烷
如甲基矽烷,但其中所有甲基係藉由成對之較大烷基置換(例如,二乙基二氯矽烷、二乙基二乙氧矽烷)。
合適之矽烷化劑分壓介於約1托與700托(例如,10托至300托)之間。典型之矽烷化劑流動速率為約3 ml/min至15 ml/min。約1分鐘至10分鐘(例如,約5分鐘)之曝露時間通常係合適的。在低得多之分壓下,通常將需要較長曝露時間。
在又其他實施例中,代替化學矽烷化劑,可利用非含矽化學試劑,該非含矽化學試劑可供予甲基或其他碳,或可為UV活性的,使得其可藉由UV活化以使能夠進行介電質修復反應。合適實例包括丙酮、碳酸二甲酯。
可在具有一或多個站之單一製程模組中實施本發明,或橫越各自具有一或多個站之多個模組而實施本發明。常常,但不總是,在一或多個多站模組中實施本發明,在該一或多個多站模組處,基板(工件)可在未必斷開真空的情況自一站移動至另一站。可獨立地控制及變化UV處理之製程參數(包括強度、波長、溫度、壓力、時間及試劑流量(包括化學矽烷化劑)),且製程條件中任一者或全部可自一站至另一站而變化。詳言之,可存在溫度、矽烷化劑及UV輻照之獨立控制。舉例而言,可使晶圓達到溫度T歷時時間t、曝露至矽烷化劑歷時時間t1、曝露至UV輻射及矽烷化劑歷時時間t2、曝露至僅UV輻射歷時時間t3,且接著移動至多站製程裝置中之另一站,在該另一站處,包括溫度、UV輻射強度、壓力、波長、流量及時間之條件中任一者可在所描述參數內獨立地改變。
根據本發明之各種實施例,含碳低k介電質可以多種不同方式經受根據本發明之UV處理。UV處理可為:單獨地用如本文所描述的受約束光譜分布之UV輻射曝露;及/或用可以各種方式而與化學矽烷化劑曝露進行組合的受約束光譜分布之UV輻射;及/或在矽烷化劑存在之情況下用如本文所描述的不受約束光譜分布之UV輻射。
在一些特定實施例中,UV處理可包括以下操作:在惰性(例如,He、Ar,等等)氛圍中之UV輻射曝露(受約束或不受約束);繼之以至化學矽烷化劑之曝露;繼之以再次在惰性(例如,He、Ar,等等)氛圍中之UV輻射曝露(受約束或不受約束)。在矽烷化劑曝露之前或之後,處理在各操作之間可能或可能不具有空斷(air break),或可能具有僅僅一次空斷。可在相同溫度或不同溫度下執行處理之操作。舉例而言,在UV輻射操作先於及跟隨矽烷化劑曝露之處理中,UV輻射曝露係在約400℃下;且矽烷化劑曝露係在相同溫度或在約50℃至400℃之範圍內的較低溫度(例如,約90℃)下。
或者,可用熱退火操作(例如,在惰性氛圍中400℃歷時約1分鐘至30分鐘(例如,5分鐘))來替換初始UV輻射曝露操作,或根本不執行初始UV輻射曝露操作。再次,處理在各操作之間可能或可能不具有空斷。且再次,可在相同溫度或不同溫度下執行處理之操作。舉例而言,在退火操作先於矽烷化劑曝露(在其之後為UV輻射曝露操作)之處理中,退火及/或UV輻射曝露係在約400℃下;且矽烷化劑曝露係在相同溫度或在約50℃至400℃之範圍內的較低溫度(例如,約150℃)下。
在其他實施例中,主題含碳低k介電質可在曝露至化學矽烷化劑期間曝露至UV輻射。舉例而言,處理可為包括在矽烷化劑存在之情況下至UV輻射之曝露的單一操作。或,在矽烷化劑存在之情況下至UV輻射之曝露之後可為在無矽烷化劑之情況下(例如,在惰性氣體(例如,He)中)至UV輻射之另外曝露;或反向操作(亦即,在無矽烷化劑之情況下(例如,在惰性氣體(例如,He)中)至UV輻射之曝露,繼之以在矽烷化劑存在之情況下至UV輻射之另外曝露)。
用根據本發明的包括化學矽烷化劑曝露之UV處理來處理受到預金屬化電漿損害之含碳低k介電質可達成多達0.5或更多之k縮減及低達2.4或更低之k值。
在處理之後,在半導體器件之後續製程之前,可將障壁層沈積於低k介電質上。
半導體製程內容背景
圖1A為根據本發明之實施例的描繪可在各種方法中執行之操作的製程流程圖。本發明有利地應用於鑲嵌製程內容背景中,但其應用不受到如此限制。應理解,在一些態樣中,本發明僅需要具有含碳低k介電質(例如,ULK CDO)之適用半導體器件基板的如本文所描述之UV處理,諸如圖1A所說明之實施例之操作104及/或110中所描述。本發明之其他態樣可包括額外製程操作,諸如,本文所描述之鑲嵌製程操作。但,本發明在其所有態樣中不限於此等額外製程操作之執行。下文參看圖2A至圖2D來描述雙鑲嵌技術之廣義版本,圖2A至圖2D描繪在此製程之各種階段期間的已部分形成半導體器件。本發明亦可結合其他半導體製程技術而利用。
現參看圖1A,在未必為本發明之部分但將本發明之一實施例置於有利應用中之內容背景中的操作中,在100處,將含碳低k介電質沈積於基板上。在102處,通常藉由渠溝之電漿蝕刻而將導電特徵之圖案形成於介電層中。電漿蝕刻通常引起對圖案邊緣(通常為渠溝側壁及底部)之損害。諸如乾式光阻剝離、濕式清潔及乾式清潔之其他製程操作亦可造成或促成低k介電質損害。導電特徵通常(但未必)為金屬線及通路。在一實例中,導電特徵為隨後由銅形成之金屬化層的互連。如熟習此項技術者所知,各種技術可用以形成此等特徵。
在104處,接著將已形成特徵(例如,經蝕刻渠溝)曝露至根據本發明之紫外線(UV)處理。根據本發明之UV處理之特定實施例的製程變化在上文予以描述,且可包括受約束UV光譜分布及/或化學矽烷化劑。雖然本發明不限於任何特定操作理論,但咸信,受損害介電表面之UV曝露使SiOH基交聯,以致使矽烷醇還原,從而引起Si-O-Si鍵之形成。
在此UV處理之後,在半導體器件之後續製程之前,可將障壁層沈積於低k介電層上。舉例而言,在未必為本發明之部分但針對如上文所提到之一實施例之內容背景所提供的操作中,在106處,可接著將渠溝填充有導電材料(通常為導電障壁層且接著為銅(Cu)),但亦可利用其他金屬。當填充特徵時,將必須自介電質之頂部(經曝露表面)移除過量材料。在108處,可藉由平坦化製程移除過量材料以在介電質中形成導電特徵之經曝露圖案。如上文所論述,一廣泛利用之平坦化製程為化學機械拋光(CMP),然而,來自CMP之漿料可自含碳低k介電質在化學上移除碳基。
根據本發明之另外態樣,為了修復CMP誘發性介電質損害,在110處,可將經平坦化表面曝露至根據本發明之另外UV處理。可在與上文參考後渠溝蝕刻處理所描述之條件相同的條件或如本文所描述之其他條件下進行UV處理。
在根據本發明之此實施例之鑲嵌製程修復之後,可將諸如銅擴散障壁膜之擴散障壁膜沈積於已部分形成半導體器件之經平坦化表面上。此層可伺服除了擴散障壁之目的以外的其他目的。舉例而言,擴展障壁膜亦可充當蝕刻終止層。
根據本發明之各種實施,可在半導體製程操作之任何階段進行如本文所描述之UV處理,在該階段,含碳低k介電質已受到先前製程操作損害,及/或可以其他方式受益於用以回復或增強其低k屬性之處理。舉例而言,在涉及鑲嵌製程之半導體製程操作中,可在鑲嵌製程之預金屬化(例如,渠溝填充)階段及後平坦化階段中任一者或其兩者應用處理。在應用根據本發明之複數個UV輻射處理的情況下,該等處理在本文所描述之參數內可相同或不同。或者,根據本發明之UV輻射處理可在多步驟製程之一階段或另一階段與其他製程技術進行組合。舉例而言,如以引用之方式併入本文中的2009年12月23日申請之同在申請中之申請案第12/646,830號中所描述,UV曝露與還原劑曝露可用於一階段或另一階段(特別是在鑲嵌製程中之後平坦化階段),而根據本發明之UV輻射曝露可用於另一階段中(例如,用於渠溝修復預金屬化)。
現參看圖2A至圖2D,說明併入本發明之製程誘發性損害修復製程的典型雙鑲嵌製程。如上文所提到,應理解,描繪在有利應用中之內容背景中的本發明之一實施例。在至少一些態樣中,如上文所描述,本發明僅需要半導體器件基板之UV處理。本發明之其他態樣可包括諸如本文所描述之鑲嵌製程操作的額外製程操作,包括微影操作。但,本發明在其所有態樣中不限於此等額外製程操作之執行。
參看圖2A,接連地沈積第一介電層203及第二介電層205,其可能地藉由蝕刻終止層(諸如,氮化矽層)之沈積而分離。如此項技術中所熟知,根據替代鑲嵌製程技術,代替離散之第一層及第二層,可利用單一較厚介電層。
在沈積第二介電層205之後,形成具有開口之通路遮罩211,在該等開口處將隨後蝕刻通路。緊接著,向下通過第二介電質205之位階而部分地蝕刻通路。接著,如圖2B所描繪,剝離掉通路遮罩211且用線遮罩213來替換通路遮罩211。執行第二蝕刻操作以移除足夠量之介電質以在第二介電層205中界定線路徑215。蝕刻操作亦使通路孔217延伸通過第一介電層203,向下以接觸底層基板209上之金屬層211上方的蝕刻終止層210。
應注意,前述描述僅僅為可供實施本發明之一通路優先雙鑲嵌製程的實例。在其他實施例中,通路優先製程可涉及在線渠溝之蝕刻之前的通路之完全蝕刻。或,可利用渠溝優先製程,其中線渠溝之蝕刻先於通路蝕刻。此等各種鑲嵌製程技術及對該等鑲嵌製程技術之其他變化在此項技術中為吾人所熟知,且表示本發明之實施例的替代實施內容背景。本發明亦適用於單鑲嵌製程、更習知之金屬沈積及蝕刻,及利用含碳低k介電質之基本上任何半導體製程內容背景。
另外,在此方面,在鑲嵌製程內容背景中之術語「渠溝」通常被理解為描述形成於介電質中且隨後經填充以在介電層中形成導電線之特徵。在更一般之半導體製程內容背景中,該術語亦被理解為描述形成於介電質中且隨後經填充以形成半導體器件之元件的特徵(例如,通路、線、STI,等等),且可包括鑲嵌渠溝或組合式鑲嵌結構。除非以其他方式自內容背景清楚看出,否則在本文中利用時,該術語應被理解為具有其較廣泛之含義。
如上文所描述,在渠溝蝕刻之後,在另一電漿製程中移除光阻,繼之以濕式或乾式清潔,且接著藉由UV處理修復對低k介電表面之損害。
其後,將薄導電障壁層材料層219形成於介電層203及205之經曝露表面(包括側壁)上。導電障壁層材料219可由(例如)鉭或氮化鉭形成。CVD或PVD操作通常用以沈積導電障壁層材料219。在沈積障壁材料之前,電漿製程通常用以清潔渠溝之底部以自底層上之經曝露銅表面移除氧化物及污染物。如熟習此項技術者所知,此障壁「預清潔」電漿製程可僅僅為惰性電漿或諸如氫氣之氣體之反應性電漿。預清潔電漿製程亦可損害低k介電膜。在針對Ta或TaN之PVD操作之前,可使用用以修復受損害低k膜的如上文所描述之UV處理。
在障壁層之頂部上,將導電金屬(通常為銅)沈積於渠溝以及線路徑217及215中。通常,以兩個步驟來執行此沈積:導電種子層之初始沈積,繼之以藉由電鍍對銅之塊體沈積。可藉由物理氣相沈積、化學氣相沈積、無電極電鍍等等來沈積種子層。應注意,銅之塊體沈積不僅會填充線路徑215,而且會為了確保完全填充而覆蓋第二介電層205之頂部上的所有經曝露區域。
因此,變得有必要使結構平坦化且自器件移除過量銅。平坦化會移除材料向下達介電層205之頂部之位階。此情形引起在介電層205中之導電線221及在介電層203中之通路的經曝露圖案。(見圖2C之橫截面圖及圖2D之簡化俯視圖。)
可藉由各種技術來實現平坦化。通常,製程涉及某一量之CMP。製程亦可涉及以下各者之組合:電拋光,用以移除大部分過量塊體銅;繼之以CMP,用以移除剩餘銅向下達介電層205之頂部表面之位階。如上文所論述,來自CMP之漿料可在化學上移除碳基,且通常用以在平坦化製程之後自導電線移除氧化物的原位以電漿為基礎之製程亦可移除碳基,從而在低k介電膜之表面上留下矽懸鍵。此等類型之損害中每一者亦引起顯著吸水性,從而引起介電質k之增大。
如先前所描述,本發明之另一態樣係關於鑲嵌製程中出現之後平坦化(例如,CMP)損害修復。根據此態樣,如上文所描述,在藉由CMP之平坦化之後,藉由將表面曝露至UV處理來修復Si-OH(矽烷醇)鍵(藉由CMP漿料及製程以及漿料中之水與所得懸Si-鍵之反應來移除有機(通常為-CH3 )基而形成)或其他含碳低k介電膜損害(例如,高度應變鍵)。
裝置
可在許多不同類型之裝置中實施本發明。在一些實施例中,裝置將包括收容一或多個晶圓且適於晶圓製程之一或多個腔室(有時被稱為製程模組)。至少一腔室將包括UV源。單一腔室可具有一或多個站,且可用於本發明之一個、一些或所有操作。每一腔室可收容一或多個晶圓(基板)以供製程。在本發明之程序期間,一或多個腔室使晶圓維持於經界定位置(有或無在該位置內之運動,例如,旋轉、振動或其他搖動)。對於將控制晶圓溫度之某些操作,裝置可包括控溫晶圓支撐件,該支撐件可被加熱、冷卻或其兩者。晶圓支撐件亦可為可控制的,以在製程模組內提供經界定晶圓位置。晶圓支撐件可使晶圓相對於UV源旋轉、振動或以其他方式搖動。
圖3描繪適於實施本發明之UV光源之配置。在此實施例中,冷光鏡反射器設法減小IR輻射於晶圓上之入射,同時准許UV輻射可用於製程。為了清楚起見,此圖描繪可用於本發明之裝置中之可能多個製程站中僅一者。又,此圖為了清楚之目的而省略晶圓之描繪,且展示泛射型反射器。對於熟習此項技術者將顯而易見,圖3所描繪之原理亦可應用於聚焦式反射器。
參看圖3,托架303埋置至製程腔室301之一個站中。窗口305適當地定位於托架303上方以准許用來自UV燈309及319之所要波長之UV輸出來輻射晶圓(此處未圖示)。如上文所描述,用於UV光源之合適燈可包括(但不限於)有或無濾波器之汞汽燈泡、D燈泡或V燈泡之燈。在此實施例中,燈309及319兩者經配備有反射器307及317,反射器307及317將其輸出顯現成泛射照明。反射器307及317自身可由「冷光鏡」材料製成,亦即,反射器307及317亦可經設計成傳輸IR且反射UV輻射。
直接地自燈309及319發出以及自反射器307及317反射之輻射進一步入射於反射器311之集合上。此等反射器亦為冷光鏡,其經設計成僅反射為了使晶圓上之膜固化所需要的彼等UV波長。包括可見光且最特別是包括IR之所有其他輻射係藉由冷光鏡之此集合透射。因此,UV波長優先地透射至膜。對於熟習此項技術者將顯而易見,冷光鏡反射器311相對於燈309及319之特定角度、距離及定向可經最佳化以使入射於晶圓上之UV強度最大化且使其照明之均一性最佳化。
腔室301能夠保持真空及/或含有在高於大氣壓力之壓力下的氣體。為了簡單起見,展示一個腔室301之僅一個站。應注意,在一些實施例中,腔室301為在多腔室式裝置中之一個腔室,但腔室301可或者為獨立單腔室式裝置之部分。在任一狀況下,該(該等)腔室可具有一個或一個以上站。在本發明之一些實施例中,UV製程模組具有一個站。用於實施本發明之合適裝置可包括以下各者的如本文所描述之組態:購自Novellus Systems公司(San Jose,CA)之INOVA、Sequel、Vector及SOLA系統;及購自Applied Materials(Santa Clara,CA)之Endura、Centura、Producer及Nanocure系統。在一特定實例中,本發明可實施於購自Novellus Systems公司(San Jose,CA)之Vector Extreme工具上。
應注意,圖3之UV光源組態僅為合適組態之實例。一般而言,較佳的是,燈經配置以將均一UV輻射提供至晶圓。舉例而言,其他合適燈配置可包括同心地或以其他方式配置之圓焰燈陣列,或可利用經配置成相對於彼此成90度及180度之角度的具有較小長度之燈。該(該等)光源可為固定的或可移動的,以便在晶圓上之適當部位中提供光。或者,包括(例如)一系列可移動透鏡、濾波器及/或鏡面之光學系統可經控制以在不同時間將光自不同源引導至基板。
UV光強度可藉由光源之類型且藉由施加至光源或光源陣列之功率直接地控制。影響所施加功率之強度的因素包括(例如)光源之數目(例如,在光源陣列中)及光源類型(例如,燈類型或雷射類型)。控制晶圓樣本上之UV光強度的其他方法包括利用可阻擋光之部分以免到達晶圓樣本的濾波器。如同光之引導,晶圓處之光的強度可利用諸如鏡面、透鏡、擴散器及濾波器之各種光學組件予以調變。個別源之光譜分佈可藉由源(例如,汞汽燈(H或H+燈泡)、氙燈、氘燈、充鐵(「D」)燈泡、充鎵(「V」)燈泡、準分子雷射,等等)之選擇以及修整光譜分佈之濾波器之利用予以控制。
裝置亦包括諸如上文所描述之烷基矽烷的化學矽烷化劑320之源。
在某些實施例中,系統控制器325用以在根據本發明之UV處理製程期間控制製程條件。控制器通常將包括一或多個記憶體器件及一或多個製程器。製程器可包括CPU或電腦、類比及/或數位輸入/輸出連接、步進馬達控制器板,等等。
在某些實施例中,控制器控制裝置之所有活動。系統控制器實行包括用於控制以下各者之指令集合的系統控制軟體:時序、試劑(例如,矽烷化劑)之供應、腔室壓力、腔室溫度、晶圓溫度、UV波長、強度及曝露時間,以及特定製程之其他參數。在一些實施例中,可使用儲存於與控制器相關聯之記憶體器件上之其他電腦程式。
通常,將存在與控制器325相關聯之使用者介面。使用者介面可包括顯示螢幕、裝置及/或製程條件之圖形軟體顯示器,及使用者輸入器件(諸如,指標器件、鍵盤、觸控式螢幕、麥克風,等等)。
可以任何習知電腦可讀程式設計語言來撰寫用於控制製程之電腦程式碼:例如,組合語言、C、C++、Pascal、Fortran或其他語言。藉由製程器來實行經編譯之目標碼或指令碼以執行程式中所識別之任務。
可藉由系統控制器之類比及/或數位輸入連接來提供用於監視製程之信號。在沈積裝置之類比及數位輸出連接上輸出用於控制製程之信號。
可以許多不同方式來設計或組態系統軟體。舉例而言,可撰寫各種腔室組件次常式或控制物件以控制進行發明性製程所必要的腔室組件之操作。出於此目的之程式或程式區段之實例包括基板定位碼、矽烷化劑控制碼、壓力控制碼、加熱器控制碼,及UV輻射控制碼。在一實施例中,控制器包括用於根據上文所描述之方法來執行本發明之製程的指令。
應理解,圖3所描繪之裝置僅為合適UV製程模組之實例,且可利用其他設計。半導體器件應在無空斷之情況下自UV模組轉移至障壁層沈積模組。此情形可在多個單工具上實現。
實例
以下內容提供本發明之特定實施之實例及效能資料,以便給出本發明之操作及益處的較好理解。然而,本發明決不限於此等特定實施。
利用UV處理之後CMP k回復
作為用以降低RC延遲之解決方案之部分,將具有顯著較低之k(k<2.60)的材料用作ILD材料。用以縮減k之典型方式係經由在此等材料中併入致孔劑,該致孔劑最終被逐出且膜經交聯以增大其硬度(通常利用UV)。
在電鍍銅之後,利用CMP使銅平坦化。此等多孔ULK材料易受CMP損害。自此等膜之表面發生某一量之C移除,其中後繼濕氣吸入引起膜之介電常數增大。
製程中之下一步驟係沈積介電障壁/蝕刻終止層(通常為SiC或SiN,利用PECVD)。通常,在400℃下之惰性氛圍中之長浸泡步驟(10 s至30 s)用以在DB/ESL沈積之前自膜移除濕氣。此時,膜被脫封(capped off),因此,另外濕氣吸入係不可能的。
在經執行(對pULK k2.3x膜)以評估根據本發明之製程的實驗中,由CMP製程誘發性損害致使之k損失為約0.2。在僅僅利用在400℃下之熱退火的情況下,k回復為約0.05至0.06。時間係不重要的;在1分鐘與30分鐘之間未觀測到差異。
當在惰性氛圍中曝露至寬頻帶UV源(諸如,來自具有一直向下達200 nm之發射之H+燈泡的UV源)時,k回復為約0.11至0.12,其為標準退火之k回復的約兩倍。作為此等測試之部分,評估45 s及60 s之曝露時間。歷時較長時間未觀測到差異。
即使在D或V燈泡的情況下亦看到與H+燈泡相同之k回復程度。此等燈泡在其中具有不同摻雜劑,使得其發射光譜變更。僅供參考,圖4(a)、圖4(b)及圖4(c)中分別展示出展示針對H+燈泡、D燈泡及V燈泡之輻射功率分布的發射光譜。此等摻雜劑之主要影響在於:在較短波長(200 nm至240 nm)中存在極小發射至無發射。此區域為ULK CDO膜具有顯著吸收性之區域。因此,無此等波長會防止ULK膜進一步固化/收縮,同時仍發生濕氣移除。300 nm至400 nm區域支配D燈泡發射光譜分布,而V燈泡具有其高於380 nm之峰值發射。
將H+燈泡用於針對k回復之UV處理的主要缺點為經處理含碳低k介電質之所得收縮。自圖5可看出,利用H+燈泡UV源之UV曝露造成膜進一步收縮達2.5%。比較而言,熱退火引起僅約0.2%之收縮。因為金屬線已經埋置至ULK中,所以任何收縮會產生應力,從而潛在地導致應力遷移失效。又,圖案密度之可變性及收縮之後繼可變性將造成ULK損失其平坦度。如圖5所指示,D燈泡及V燈泡兩者引起約相同之k回復,同時收縮縮減大於一半(至約1%)。在D燈泡的情況下,添加295 nm高通濾波器會引起約0.12之相同k回復,但收縮現僅為0.5%。此情形暗示:大於300 nm之波長足夠用於濕氣移除。但,在V燈泡的情況下,相同之295 nm濾波器雖致使甚至更低之收縮,但不會致使相同之k回復位準。此情形暗示:介於300 nm與400 nm之間的顯著強度有助於k回復。
此等發現暗示:至具有高於300 nm之受約束光譜分布之UV輻射之曝露相比於退火可致使較多k回復,同時亦避免顯著收縮。此情形可用合適之寬頻帶源(例如,D或V燈泡)在有或無濾波器之情況下而達成。其亦可用介於300 nm與400 nm之間的單波長準分子源或甚至UV LED(395 nm或365 nm)而潛在地進行。
利用UV處理之預金屬化k回復
已發現,用根據本發明的包括化學矽烷化劑曝露之UV處理來處理受到預金屬化電漿損害之含碳低k介電質會達成多達0.5或更多之k縮減及低達2.4或更低之k值。圖6描繪來自實驗之資料,在該等實驗中,ULK CDO介電質係用氧化電漿損害,且接著經受根據本發明之UV處理。UV處理涉及至第一甲基矽烷矽烷化劑(SA1)之曝露,繼之以UV輻射曝露,及分離地至第二甲基矽烷矽烷化劑(SA2)之曝露,繼之以UV輻射曝露。在每一狀況下之UV處理引起經處理介電質之介電常數(k回復)自大於3至約2.6至2.7的顯著縮減。用涉及在至矽烷化劑之曝露之前及之後的UV輻射曝露的UV處理而獲得相似有益之結果。
替代實施例
圖案化方法/裝置
上文所描述之裝置/製程可結合微影圖案化工具或製程予以利用,例如,用於製作或製造半導體器件、顯示器、LED、光伏打面板及其類似者。通常(但未必),將在共同製作設施中一起利用或進行此等工具/製程。膜之微影圖案化通常包含以下步驟中之一些或全部,每一步驟係用數個可能工具予以致能:(1)利用旋塗式或噴塗式工具將光阻施加於工件(亦即,基板)上;(2)利用熱板或爐或UV固化工具使光阻固化;(3)用諸如晶圓步進器之工具將光阻曝露至可見光或UV光或x射線光;(4)使光阻顯影,以便選擇性地移除光阻且藉此利用諸如濕式清洗台之工具使光阻圖案化;(5)藉由利用乾式或電漿輔助蝕刻工具將光阻圖案轉印至底層膜或工件中;及(6)利用諸如RF或微波電漿光阻剝離器之工具來移除光阻。
系統控制器
本發明之另一態樣為一種經組態以實現本文所描述之方法之裝置。合適裝置包括用於實現製程操作之硬體,及具有用於控制根據本發明之製程操作之指令的系統控制器,諸如上文參看圖3所描述。系統控制器通常將包括一或多個記憶體器件及一或多個製程器,該一或多個製程器經組態以實行指令,使得裝置將執行根據本發明之方法。含有用於控制根據本發明之製程操作之指令的機器可讀媒體可耦接至系統控制器。
結論
儘管已為了理解清楚之目的而略為詳細地描述前述本發明,但將顯而易見,可在附加申請專利範圍之範疇內實踐某些改變及修改。應注意,存在實施本發明之製程及組合物兩者的許多替代方式。因而,本發明之實施例應被認為是說明性的而非限定性的,且本發明不限於本文所給出之細節。
203...第一介電層
205...第二介電層/第二介電質
209...底層基板
210...蝕刻終止層
211...金屬層/通路遮罩
213...線遮罩
215...線路徑
217...通路孔/線路徑
219...導電障壁層材料層/導電障壁層材料
221...導電線
301...製程腔室
303...托架
305...窗口
307...反射器
309...UV燈
311...冷光鏡反射器
317...反射器
319...UV燈
320...化學矽烷化劑
325...系統控制器
圖1為根據本發明之一實施例的描繪UV處理方法及製程內容背景的製程流程圖。
圖2A至圖2D為根據本發明之一實施例的說明藉由雙鑲嵌製程形成半導體器件的橫截面圖。
圖3為適於實施本發明之實例製程腔室的示意圖。
圖4描繪分別在圖4(a)、圖4(b)及圖4(c)中展示用於H+燈泡、D燈泡及V燈泡之輻射功率分布的發射光譜。
圖5及圖6描繪說明根據本發明之UV處理之益處的資料標繪圖。
(無元件符號說明)

Claims (20)

  1. 一種形成一半導體器件之方法,其包含:在一製程腔室中收納一半導體器件基板,該半導體器件基板包含具有一第一介電常數之一含碳低k介電質;將該含碳低k介電質曝露至一UV處理,該處理包含以下各者中至少一者:(a)曝露至具有一光譜分布(spectral profile)之UV輻射該光譜分布之特徵為該UV輻射功率之50%以上具有大於300nm之一波長;及(b)曝露至UV輻射及一化學矽烷化劑;使得該含碳低k介電質之該介電常數減低至一第二介電常數;其中該處理包含在曝露至該UV輻射之前曝露至該化學矽烷化劑;及其中一第二UV輻射曝露先於該將該含碳低k介電質曝露至該化學矽烷化劑。
  2. 如請求項1之方法,其中在各曝露之間不存在空斷(air-break)。
  3. 如請求項1之方法,其中在一或多次曝露之間存在一空斷。
  4. 如請求項1之方法,其中一熱退火曝露先於該將該含碳低k介電質曝露至該化學矽烷化劑。
  5. 如請求項1之方法,其中該介電質係由選自由下列所組成的群組之碳摻雜氧化物所形成:八甲基環四矽氧烷 (OMCTS)、四甲基環四矽氧烷(TMCTS)、二甲基二甲氧基矽烷(DMDMOS)及二乙氧基甲基矽烷(DEMS)。
  6. 如請求項5之方法,其中烷基矽烷係選自由下列所組成的群組:二甲基二氯矽烷、二甲基二甲氧基矽烷、二甲基二乙氧矽烷、雙(二甲基胺基)二甲基矽烷、二甲氨基三甲基矽烷、雙(二乙基胺基)二甲基矽烷、乙基甲基二氯矽烷及二乙基二氯矽烷、二乙基二乙氧矽烷。
  7. 如請求項6之方法,其中該矽烷化劑係雙(二甲基胺基)二甲基矽烷。
  8. 如請求項6之方法,其中該矽烷化劑係二甲基二氯矽烷。
  9. 如請求項1之方法,其中該(a)UV輻射功率及/或該(b)UV輻射功率之50%以上係在約300nm至450nm之一波長範圍內。
  10. 如請求項1之方法,其中該(a)UV輻射功率及/或該(b)UV輻射功率之50%以上係在約300nm至400nm之一波長範圍內。
  11. 如請求項1之方法,其中該(a)UV輻射功率及/或該(b)UV輻射功率之10%以下係在低於300nm之一波長範圍內。
  12. 如請求項1之方法,其中該(a)UV輻射功率及/或該(b)UV輻射功率之5%以下係在低於300nm之一波長範圍內。
  13. 如請求項1之方法,其中該(a)UV輻射功率及/或該(b)UV輻射功率之1%以下係在低於300nm之一波長範圍內。
  14. 如請求項1之方法,其中該含碳低k介電質所曝露至之該UV輻射中在200nm至240nm之一波長範圍內之(a)UV輻射功率及/或(b)UV輻射功率對在300nm至400nm之一波長範圍內之UV輻射功率的比例不大於10%。
  15. 如請求項1之方法,其中一充Fe(「D」)燈泡係用作該(a)UV輻射及/或該(b)UV輻射之一源。
  16. 如請求項15之方法,其中一高通濾波器係與該D燈泡一起用以在該含碳低k介電質之曝露之前濾出具有低於300nm之一波長之該UV輻射。
  17. 如請求項16之方法,其中該濾波器為一295nm高通濾波器。
  18. 如請求項1之方法,其中一充Ga(「V」)燈泡係用作該(a)UV輻射及/或該(b)UV輻射之一源。
  19. 如請求項18之方法,其中一高通濾波器係與該V燈泡一起用以在該含碳低k介電質之曝露之前濾出具有低於300nm之一波長之該UV輻射。
  20. 如請求項19之方法,其中該濾波器為一295nm高通濾波器。
TW100147212A 2010-12-20 2011-12-19 利用紫外線處理之含碳低k介電常數回復 TWI420593B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/973,549 US8465991B2 (en) 2006-10-30 2010-12-20 Carbon containing low-k dielectric constant recovery using UV treatment

Publications (2)

Publication Number Publication Date
TW201237959A TW201237959A (en) 2012-09-16
TWI420593B true TWI420593B (zh) 2013-12-21

Family

ID=46314741

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100147212A TWI420593B (zh) 2010-12-20 2011-12-19 利用紫外線處理之含碳低k介電常數回復

Country Status (4)

Country Link
US (1) US8465991B2 (zh)
KR (1) KR101425332B1 (zh)
TW (1) TWI420593B (zh)
WO (1) WO2012087620A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR20110125651A (ko) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
JP5941623B2 (ja) * 2011-03-25 2016-06-29 東京エレクトロン株式会社 処理方法および記憶媒体
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8575041B2 (en) * 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
DE102013011066A1 (de) * 2013-07-03 2015-01-08 Oerlikon Trading Ag, Trübbach Wärme-Lichttrennung für eine UV-Strahlungsquelle
CN103531535B (zh) * 2013-10-30 2018-10-16 上海集成电路研发中心有限公司 一种修复超低介质常数薄膜侧壁损伤的方法
US9058980B1 (en) 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10049886B2 (en) * 2014-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for damage reduction in light-assisted processes
US10240236B2 (en) 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102491577B1 (ko) 2015-09-23 2023-01-25 삼성전자주식회사 유전 층을 갖는 반도체 소자 형성 방법 및 관련된 시스템
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10199261B1 (en) * 2017-07-19 2019-02-05 Globalfoundries Inc. Via and skip via structures
US10998259B2 (en) * 2017-08-31 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
JP7487189B2 (ja) 2018-10-19 2024-05-20 ラム リサーチ コーポレーション 間隙充填のためのドープまたは非ドープシリコン炭化物および遠隔水素プラズマ曝露
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060110936A1 (en) * 2004-08-31 2006-05-25 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070228570A1 (en) * 2005-02-22 2007-10-04 International Business Machines Corporation RELIABLE BEOL INTEGRATION PROCESS WITH DIRECT CMP OF POROUS SiCOH DIELECTRIC

Family Cites Families (262)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4313969A (en) 1979-09-10 1982-02-02 Fusion Systems Corporation Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US4837289A (en) 1987-04-30 1989-06-06 Ciba-Geigy Corporation UV- and heat curable terminal polyvinyl functional macromers and polymers thereof
US4751191A (en) 1987-07-08 1988-06-14 Mobil Solar Energy Corporation Method of fabricating solar cells with silicon nitride coating
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
EP0456479B1 (en) 1990-05-09 2001-01-31 Canon Kabushiki Kaisha Pattern forming process and process for preparing semiconductor device utilizing said pattern forming process
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
JP2925799B2 (ja) * 1991-08-30 1999-07-28 ホーヤ株式会社 耐候性試験機用光学フィルター構造体および耐候性試験機
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
DE4419234A1 (de) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Verfahren zur Silylierung von anorganischen Oxiden
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
JP4180659B2 (ja) * 1996-03-28 2008-11-12 インテル・コーポレーション 垂直スタック交差を有するメモリ・セル設計
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6280171B1 (en) 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
TWI222426B (en) 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6407007B1 (en) 1998-11-17 2002-06-18 Taiwan Semiconductor Manufacturing Company Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
US6290589B1 (en) 1998-12-09 2001-09-18 Applied Materials, Inc. Polishing pad with a partial adhesive coating
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
KR20000043888A (ko) 1998-12-29 2000-07-15 김영환 플래쉬 메모리 소자의 제조 방법
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
JP3410385B2 (ja) 1999-04-19 2003-05-26 株式会社ディスコ 洗浄装置及び切削装置
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6136963A (en) 1999-07-27 2000-10-24 Heska Corporation Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
DE69939899D1 (de) 1999-08-17 2008-12-24 Applied Materials Inc Methode und Apparat zur Verbesserung der Eigenschaften eines niedrig-k Si-O-C Filmes
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP4774568B2 (ja) 1999-10-01 2011-09-14 ソニー株式会社 半導体装置の製造方法
JP2001329088A (ja) 1999-10-18 2001-11-27 Nippon Sheet Glass Co Ltd 二酸化珪素被覆ポリオレフィン樹脂及びその製造方法
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6580094B1 (en) 1999-10-29 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Electro luminescence display device
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6367412B1 (en) 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
US6387453B1 (en) 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
JP3910344B2 (ja) * 2000-06-28 2007-04-25 リンテック株式会社 セラミックグリーンシート製造用工程フィルム
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6856712B2 (en) 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
AU2002236528A1 (en) 2000-11-30 2002-06-11 Shipley Company, L.L.C. Uv-free curing of organic dielectrica
TWI313059B (zh) 2000-12-08 2009-08-01 Sony Corporatio
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
WO2002054837A2 (en) 2001-01-04 2002-07-11 Laser Imaging Systems Gmbh & Co. Kg Direct pattern writer
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003115576A (ja) 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6899857B2 (en) 2001-11-13 2005-05-31 Chartered Semiconductors Manufactured Limited Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique
CA2467703A1 (en) 2001-11-21 2003-06-05 University Of Massachusetts Mesoporous materials and methods
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
JP2003273111A (ja) 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
KR100480500B1 (ko) 2002-04-25 2005-04-06 학교법인 포항공과대학교 절연막의 저온 증착법
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6873051B1 (en) 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6812167B2 (en) 2002-06-05 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving adhesion between dielectric material layers
JP2004014841A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US6869862B2 (en) 2002-08-09 2005-03-22 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6759342B2 (en) * 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20050025892A1 (en) 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
KR100483290B1 (ko) 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
AU2003280168A1 (en) 2002-12-19 2004-07-14 Koninklijke Philips Electronics N.V. Stress-free composite substrate and method of manufacturing such a composite substrate
US20060121208A1 (en) 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US20040152239A1 (en) 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US6972252B1 (en) 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US6936540B2 (en) 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
WO2005034194A2 (en) 2003-10-08 2005-04-14 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JPWO2005071723A1 (ja) 2004-01-21 2007-09-06 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
KR100593737B1 (ko) 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
US7112541B2 (en) 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
JP3972126B2 (ja) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
US7504663B2 (en) 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7182673B2 (en) 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7223704B2 (en) 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060142143A1 (en) 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8361814B2 (en) 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7410895B2 (en) 2005-07-12 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming interconnect structures
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
GB2444439B (en) 2005-08-31 2012-04-25 Sumitomo Chemical Co Method for manufacture of a transistor and organic semiconductor device
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
KR101060825B1 (ko) 2005-10-14 2011-08-30 요시미 시오야 반도체 제조 장치 및 제조 방법
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7632771B2 (en) 2006-02-07 2009-12-15 Imec UV light exposure for functionalization and hydrophobization of pure-silica zeolites
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4887848B2 (ja) 2006-03-15 2012-02-29 セイコーエプソン株式会社 回路基板、電気光学装置および電子機器
US7838428B2 (en) 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US20080026579A1 (en) 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7936500B2 (en) 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
KR100881396B1 (ko) 2007-06-20 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4600438B2 (ja) * 2007-06-21 2010-12-15 株式会社デンソー 炭化珪素半導体装置の製造方法
CN101743631B (zh) 2007-07-13 2012-12-26 应用材料公司 硼衍生的材料的沉积方法
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
JP4708465B2 (ja) 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060110936A1 (en) * 2004-08-31 2006-05-25 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US20070228570A1 (en) * 2005-02-22 2007-10-04 International Business Machines Corporation RELIABLE BEOL INTEGRATION PROCESS WITH DIRECT CMP OF POROUS SiCOH DIELECTRIC
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor

Also Published As

Publication number Publication date
KR20130087630A (ko) 2013-08-06
WO2012087620A3 (en) 2013-02-28
WO2012087620A2 (en) 2012-06-28
TW201237959A (en) 2012-09-16
KR101425332B1 (ko) 2014-08-01
US20110117678A1 (en) 2011-05-19
US8465991B2 (en) 2013-06-18

Similar Documents

Publication Publication Date Title
TWI420593B (zh) 利用紫外線處理之含碳低k介電常數回復
KR101201039B1 (ko) 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
US20100267231A1 (en) Apparatus for uv damage repair of low k films prior to copper barrier deposition
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
TWI464805B (zh) 低介電常數介電質之整合方法
US10068765B2 (en) Multi-step system and method for curing a dielectric film
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
TWI421939B (zh) 多孔性低介電常數介電膜之硬化方法
US20140094038A1 (en) Enhancing adhesion of cap layer films
KR102539941B1 (ko) 저 유전 상수 재료들의 반응성 uv 열 프로세싱
WO2010033469A2 (en) Dielectric material treatment saystem and method of operating
US9004914B2 (en) Method of and apparatus for active energy assist baking
KR102565172B1 (ko) 측벽 공극 밀봉 및 비아 청결을 위한 상호접속부 집적