KR101425332B1 - Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구 - Google Patents

Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구 Download PDF

Info

Publication number
KR101425332B1
KR101425332B1 KR1020137019282A KR20137019282A KR101425332B1 KR 101425332 B1 KR101425332 B1 KR 101425332B1 KR 1020137019282 A KR1020137019282 A KR 1020137019282A KR 20137019282 A KR20137019282 A KR 20137019282A KR 101425332 B1 KR101425332 B1 KR 101425332B1
Authority
KR
South Korea
Prior art keywords
delete delete
dielectric
radiation
carbon
containing low
Prior art date
Application number
KR1020137019282A
Other languages
English (en)
Other versions
KR20130087630A (ko
Inventor
바드리 엔 바라다라잔
케빈 엠 맥러플린
슈라벤디크 바르트 판
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20130087630A publication Critical patent/KR20130087630A/ko
Application granted granted Critical
Publication of KR101425332B1 publication Critical patent/KR101425332B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.

Abstract

탄소 함유 로우-k 유전체의 자외선 (UV) 처리를 위한 방법 및 연관된 장치는 프로세스 유도 손상 리페어를 가능하게 한다. 본 발명의 방법들은 프로세싱, 프리-금속화, 포스트-평탄화, 또는 양자 모두 동안 손상된 유전체의 손실된 로우-k 특성을 복구하기 위해 다마신 프로세싱의 콘텍스트에서 특히 적용가능하다. UV 처리들은 제약된 UV 스펙트럼 프로파일 및/또는 케미컬 실릴화제, 또는 양자 모두에의 대상 로우-k 유전체의 노출을 포함할 수 있다.

Description

UV 처리를 사용하는 탄소 함유 로우-K 유전율 복구{CARBON CONTAINING LOW-K DIELECTRIC CONSTANT RECOVERY USING UV TREATMENT}
본 발명은 여러 애플리케이션들에서 사용하기 위한 반도체 프로세싱에서의 저 유전율 층들에 관한 것이다. 특히, 본 발명은 예를 들어 다마신 프로세싱에서 저 유전율 유전체 재료들의 프로세스-유도 손상의 리페어를 위한 처리에 관한 것이다.
본 발명은 반도체 프로세싱, 특히 예를 들어 다마신 프로세싱에서 저 유전율 유전체 재료들의 프로세스-유도 손상의 리페어에 관한 것이다.
저 유전율 (로우-k) 절연 재료들은 감소된 피쳐 사이즈들 및 고성능 요건들을 다루기 위해 반도체 디바이스들 내로 집적되어 왔다. 이들 로우-k 유전체들은 이전 세대 유전체 재료들보다 기계적으로 더 약하다. 로우-k 유전체 재료의 본래적으로 약한 특성은 하류의 전자 패키징 프로세스들 및 재료 양립가능성에 대한 상당한 도전들을 부과할 수 있다.
로우-k 재료들은 정의에 의해 3.9 인 SiO2 의 유전율보다 더 낮은 유전율 ("k") 을 갖는 반도체급 절연 재료들이다. 로우-k 재료들의 여러 타입들은 약 3.8-3.6 (예를 들어, 플루오로실리케이트 글래스 (FSG)) 으로부터 약 3.2 (예를 들어, 탄소 도핑된 옥사이드 (CDO)) 미만까지, 2.2 (예를 들어, 스핀온 글래스 (SOG)) 만큼 낮거나 심지어 그 이하까지의 범의 유전율들을 가질 수 있고, "울트라 로우-k" (ULK) 및 "익스트림 울트라 로우-k"(EKL) 로 지칭되는 로우-k 유전체들을 포함한다. 본 발명의 일 양태로서 여기에 기술된 많은 CDO 로우-k 구현들에서, 적합한 탄소 함유 로우-k 재료들은 약 2.7 이하의 유전율을 갖는다. 집적 회로들 상의 디바이스들의 사이즈를 더욱 감소시키기 위해, 인접한 금속 라인들 간의 용량성 커플링을 감소시키기 위해 낮은 저항율을 갖는 도전 재료들 및 낮은 유전율들을 갖는 절연체들을 사용하는 것이 필요하게 되었다. 로우-k 재료들은 디바이스 성능을 향상시키고 디바이스 스케일링을 허용하기 위해 디바이스들 내로 집적되고 있다.
로우-k 재료들은 SiO2 와 같은 표준 절연 재료들보다 덜 조밀하다. 이러한 낮은 밀도는 프로세스 집적화 및 재료 호환성 문제들을 도입한다. 로우-k 막의 무결성을 유지하고, 그것을 적절히 집적하며, 필요한 박리, 세정, 및 컨디셔닝을 수행하는 것 사이의 균형을 달성하는 것이 문제가 되고 있다. 패터닝 프로세스들 (에칭, 박리, 성막, 및 세정) 은 또한 탄소 함유 로우-k 재료들, 특히 SiOC 기반 로우-k 재료들의 무결성에 상당한 영향을 미칠 수 있다.
탄소 함유 로우-k 재료들에 그들의 바람직한 낮은 유전율들을 제공하는 특성들은 상당한 집적도 도전들을 초래하고 있는 것과 동일한 특성들이다. 탄소 함유 로우-k 재료들은 (예를 들어, 탄소의 첨가로부터) 비극성 공유 결합들의 통합 및 막 밀도를 감소시키기 위한 다공성의 도입을 통해 더 낮은 유전율들을 달성한다. 다공성의 도입 또는 Si-CH3 와 같은 단자 결합들의 통합은 전통적인 산화물들의 Si-O-Si 격자의 연속성을 파괴하여, 기계적으로 및 화학적으로 더 약한 더 낮은 유전율 막을 산출한다. 기계적 취약성으로 인해, 탄소 함유 로우-k 막들은 막을 바람직하지 않게 치밀하게 할 수 있고, 따라서 막의 유효 k 값을 증가시킬 수 있는 운동 플라즈마 손상에 민감하다.
또한, 유전체들이 노출되는 반도체 프로세싱 동작들에서 사용되는 화학적 플라즈마들은 Si-CH3 와 같은 결합들이 용이하게 깨질 수 있는 탄소 함유 로우-k 막들을 변경할 수 있다. 플라즈마 변경에 대한 탄소 함유 로우-k 재료들의 민감성은 플라즈마 프로세스들이 반도체 디바이스의 제조에서 막들을 에칭, 세정 및 성막하는데 루틴하게 사용되기 때문에 심각한 집적화 도전을 부과한다. 통상의 다마신 프로세스 플로우에서, 금속 배리어 성막 이전에, 프로세스 유도 탄소 함유 로우-k 유전체 손상은 (플라즈마) 에칭, 건식 레지스트 스트립, 습식 세정 및 건식 세정으로부터 패터닝된 로우-k 유전체에 의해 발생될 수 있다. 탄소 함유 로우-k 재료들은 막에 흡착되거나, 막으로부터 배출되거나 막을 화학적으로 변경할 수 있는 플라즈마 종들, 잔류물들, 용매들, 습기들, 및 전구체 분자들의 인터칼레이션 (intercalation) 에 또한 민감하다. 이하에서, 도전 재료, 통상적으로 금속, 예를 들어 구리가 유전체 층에 형성된 비아들 및 트렌치들을 채우기 위해 패터닝된 유전체층 상에 퇴적된다. 그 후, 초과의 재료는 화학적 기계적 폴리싱 (CMP) 에 의해 제거되어, 유전체 배리어와 같은 다른 층들이 성막되는 노출된 구리 및 로우-k 유전체의 영역들을 포함하는 평면형 표면을 형성한다. CMP 프로세스는 통상적으로 로우-k 유전체에 손상을 주어서 탄소 손실 및 수분 흡수를 초래한다. 이것은 로우-k 유전체의 k 가 증가하게 하여, 로우-k 재료가 잠재적으로 제공할 수 있는 RC 개선을 낮춘다.
또한, 노출된 금속, 특히 구리 영역들은 웨이퍼 표현 상의 유전체 배리어 또는 후속하는 층들의 형성 이전에 산화를 겪는다. 그리고, CMP 슬러리의 부식방지 성분들의 유기성 잔류물들, 예를 들어 벤조트리아졸 (BTA) 은 CMP 프로세스 후 웨이퍼 표면 상에 잔류할 수도 있다. 구리 산화물 및 유기성 잔류물의 존재는 웨이퍼 표면 상의 유전체 배리어의 접착에 문제들을 야기한다. 따라서, 여러 세정 프로세스들이 그러한 산화물 및 잔류물 (프로세스 유도 손상의 다른 형태) 을 제거하는데 사용될 수도 있다. 하나의 특정의 예에서, 그러한 웨이퍼는 프로세싱 챔버로 케미컬 증기들 (chemical vapors) 을 도입하기 전 소정의 시간 주기 동안 플라즈마 강화 화학 기상 증착 (PECVD) 프로세싱 챔버 내의 직접적인 플라즈마에 노출될 수도 있다. 암모니아 또는 수소 플라즈마와 같은 환원 플라즈마의 사용은 표면 상의 구리 산화물 및 하이드로카본들을 환원시킬 수도 있어, 표면을 세정한다. 그러나, 프로세싱 조건들에 따라, 그러한 직접적인 플라즈마들은 또한 이온 충격에 의해 또는 케미컬 활동을 통한 결합 탄소 제거로 인해 표면에서 국부적으로 조밀화되기 때문에 구리를 둘러싸는 로우-k 유전체에 영향을 줄 수도 있다. 로우-k 재료에 대한 CMP 와 같은 동작들에 의해 유도된 k 손상의 일부는 상술된 프리-처리 (pre-treatment) 및 에칭 스톱 성막 이전에 짧은 어닐을 행함으로써 복구될 수도 있지만, 그 복수는 단지 주변적이다.
본 발명은 프로세스-유도 유전체 손상의 리페어를 위해, 자외선 (UV) 방사 및/또는 케미컬 실릴화제를 사용한 탄소 함유 로우-k 유전체의 처리를 위한 방법을 제공한다. 탄소 함유 로우-k 유전체는 가능하게는 금속 피쳐를 포함하고, 2.6, 2.5, 2.4 또는 그 이하로 낮게 유전율들을 사전 프로세싱하는 것을 갖는 울트라 로우-k 유전체들으르 포함하는, 2.7 이하의 유전율을 갖는 탄소 도핑된 산화물 (CDO) 일 수도 있지만, 이것에 제한되지 않는다. 본 발명의 방법들은 특히 프리-금속화 (pre-metalization), 포스트-평탄화 (post-planarization), 또는 양자 모두를 프로세싱하는 동안 손상된 유전체의 손실된 로우-k (오프셋 증가된 유전율) 을 복구하는 것을 돕는 다마신 프로세싱의 콘텍스트에서 적용가능하다. 본 발명의 여러 실시형태들에서, 유전체의 수축이 감소되거나 최소화되고 및/또는 k 복구의 정도가 종래의 어닐링 기법들에 비해 매우 향상되는 방식으로 처리가 행해진다.
여러 실시형태들에서, 입사 UV 방사선은 비교적 작은 유전체 수축과 함께 k 복구를 달성하도록 선택 또는 구성된 파장 프로파일을 가지며, 특히 UV 방사선은 UV 방사 전력의 50% 초과가 300 nm 보다 큰 파장을 갖는 것을 특징으로 하는 스펙트럼 프로파일을 가지며, 예를 들어 UV 방사선은 UV 방사 전력의 50% 초과가 약 300 내지 450 nm 의 파장 범위에 있거나 UV 방사 전력의 10% 미만이 300 nm 아래의 파장 범위에 있는 것을 특징으로 하는 스펙트럼 프로파일을 갖는다.
다른 실시형태들에서, 처리는 케미컬 실릴화제에 대한 노출과 UV 방사 노출을 결합한다. 여러 실시형태들에서, 입사 UV 방사선은 위에서 특징화된 것일 수도 있고 아닐 수도 있다.
처리의 대상인 탄소 함유 로우-k 유전체는 반도체 프로세싱의 임의의 스테이지에 있을 수도 있고, 처리는 일반적으로 그것의 유전율이 증가되게 한 조건들에 종속되는 프로세싱 동작에 후속하여 적용된다. 예들은 다마신 프로세싱에서의 프리-금속화와 같이, 유전체 내에 트렌치들을 형성하는 에칭 동작에 후속한다. 또는, 다마신 프로세스에서의 포스트-금속화 및 프리-배리어 층 성막과 같이, 유전체 표면의 화학적 기계적 폴리싱 (CMP) 후이다. 본 발명은 또한 민감한 탄소 함유 유전체의 유전율을 감소시키기 위해 임의의 반도체 프로세싱 콘텍스트에서 더욱 일반적으로 적용가능할 수도 있다. 예를 들어, 탄소 함유 유전체를 포함하는 반도체 디바이스 기판을 프로세싱 챔버에서 수용하고, 반도체 디바이스 기판을 UV 방사선에, 및 일부 실시형태들에서는 여기에 기술된 케미컬 실릴화제에 노출시켜, 탄소 함유 유전체의 유전율이 증가되도록 한다.
이러한 프로세스는 예를 들어 캘리포니아 샌호세의 노벨러스 시스템즈사로부터 이용가능한 Vector Extreme configured PECVD 시스템과 같은, PECVD 반도체 웨이퍼 프로세싱 시스템을 위한 모듈로서의 또는 적절하게 설계된 로드록 상의 포함에 순응한다.
따라서, 일 양태에서, 본 발명은 반도체 디바이스를 형성하는 방법에 관한 것이다. 방법은 제 1 유전율을 갖는 탄소 함유 로우-k 유전체를 포함하는 반도체 디바이스 기판을 프로세싱 챔버에서 수용하는 단계를 포함한다. 탄소 함유 로우-k 유전체는 UV 처리에 노출되고, 그 처리는:
(a) UV 방사 전력의 50% 초과가 300 nm 보다 큰 파장을 갖는 것을 특징으로 하는 스펙트럼 프로파일을 갖는 UV 방사선에의 노출; 및
(b) UV 방사선 및 케미컬 실릴화제에의 노출
중 적어도 하나를 포함한다. 탄소 함유 로우-k 유전체의 유전율은 제 2 유전율로 감소된다.
다른 양태들에서, 본 발명은 예를 들어 반도체 디바이스들, 디스플레이들, LED 들, 태양전지 패널들 등의 제조 또는 생산을 위해 리소그래피 패터닝 툴들 또는 프로세스들과 결합하여 구현될 수도 있다. 본 발명은 또한 여기에 기술된 방법들을 달성하도록 구성된 장치로서 구현될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신 판독가능 매체는 시스템 제어기에 커플링될 수도 있다.
도 1 은 본 발명의 실시형태에 따른 UV 처리의 방법 및 프로세스 콘텍스트를 도시하는 프로세스 흐름도이다.
도 2a 내지 도 2d 는 본 발명의 실시형태에 따른 이중 다마신 프로세스에 의해 반도체 디바이스의 형성을 도시하는 단면도들이다.
도 3 은 본 발명을 구현하는데 적합한 예시의 프로세스 챔버의 개략도이다.
도 4 는 각각 도 4 (a), (b) 및 (c) 에서의 H+, D 및 V 전구들에 대한 방사 전력 프로파일들을 나타내는 방출 스펙트럼들을 도시한다.
도 5 및 도 6 은 본 발명에 따른 UV 처리들의 이익들을 도시하는 데이터 프롯들을 도시한다.
본 발명의 실시형태들은 주로 다마신 프로세싱에서 탄소 함유 로우-k 유전체 리페어를 위한 처리의 콘텍스트에서 여기에 기술된다. 그러나, 본 발명의 다음의 상세한 설명은 예시적일 뿐이고 임의의 방식으로 제한하는 것을 의도하지 않는다. 본 발명의 다른 실시형태들은 이러한 개시의 이점을 갖는 본 기술분야에 통상의 지식을 가진 자들에게 그들 자신을 용이하게 제시할 것이다. 이제 첨부하는 도면들에 도시된 본 발명의 구현들에 대한 참조가 상세히 행해질 것이다.
도입
여기에 사용된 용어 "반도체 디바이스" 는 반도체 기판 상에 형성된 임의의 디바이스 또는 반도체 재료를 프로세싱하는 임의의 디바이스를 지칭한다. 많은 경우에, 반도체 디바이스는 전자 로직 또는 메모리에, 또는 에너지 변환에 참여한다. 용어 "반도체 디바이스" 는 (부분적으로 제조된 집적 회로들과 같은) 부분적으로 제조된 디바이스들 뿐아니라 판매를 위해 이용가능하거나 특정의 장치에 설치되는 완성된 디바이스들을 포함한다. 간단히 말해서, 반도체 디바이스는 본 발명의 방법을 사용하거나 본 발명의 구조를 프로세싱하는 생산의 임의의 상태에 존재할 수도 있다.
본 발명은 대상인 탄소 함유 로우-k 유전체의 유전율을 감소시키기 위해 소정의 파장 프로파일들을 갖는 UV 방사선 및/또는 케미컬 실릴화제들, 또는 양자 모두에 탄소 함유 로우-k 유전체의 노출을 수반하는 반도체 디바이스 제조의 방법을 제공한다. 본 발명에 따른 처리들은 유전체에 대한 프로세스 유도 손상, 예를 들어 플라즈마 트렌치 에칭 또는 CMP 로부터 야기되는 탄소 함유 로우-k 유전체 (예를 들어, ULK CDO) 내의 파괴된 Si-CH3 결합들의 리페어에 효과적이다. 적용가능한 탄소 함유 로우-k 유전체들은 통상 탄소로 도핑된 SiO 기반 백본들, 특히 CDO (예를 들어, 옥타메틸 시클로테트라실록산 (OMCTS), 테트라메틸시클로테트라실록산 (TMCTS), 디메틸디메톡시실란 (DMDMOS), 및 디에톡시메틸실란 (DEMS) 및 다른 기지의 CDO 전구체들로부터 형성된 것들) 를 갖지만, 또한 백본 내에 C, Si 및 O 를 포함하는 하이브리드 폴리머들을 포함할 수도 있다. 발명적 방법들은 배타적이지은 않지만 특히 다마신 프로세싱의 콘텍스트에서 적용가능하다. 본 발명은 또한 기술된 방법들을 구현하도록 구성된 장치에 관련된다.
따라서, 일 양태에서, 본 발명은 반도체 디바이스를 형성하는 방법에 관한 것이다. 방법은 제 1 유전율을 갖는 탄소 함유 로우-k 유전체 층을 포함하는 반도체 디바이스 기판을 프로세싱 챔버에서 수용하는 것을 포함한다. 기판은 여기에 기술된 기법들에 따라 UV 방사선에 노출되어, 탄소 함유 로우-k 유전체 층의 유전율이 제 2 유전율로 감소되도록 한다. 본 발명은 임의의 특정의 동작 이론에 제한되지 않지만, 처리는 예를 들어 피쳐 (예를 들어, 트렌치) 형성 프로세스, 또는 다른 프로세싱 동작 (예를 들어, 포스트-금속화 평탄화) 에 수반되는 플라즈마 에칭, 건식 레지스트 스트립, 습식 세정 및 건식 세정에 의해 야기되는 프로세스 유도 로우-k 유전체 손상 (예를 들어, 유기성 (일반적으로 -CH3) 기들의 제거에 의해 야기되는 댕글링 결합들 또는 고변형 결합들, 예를 들어 Si-O-Si 또는 Si-CH2-Si) 을 리페어한다. 리페어된 피쳐는 그 후 유전율 열화를 방지하기 위해 배리어 층 재료와 같은 재료로 코팅될 수 있다. 예를 들어, 피쳐가 라인 또는 비아를 형성하기 위하 트렌치인 경우, 구리 (또는 다른 적합한 상호연결 금속) 가 뒤따르는 도전 재료, 특히 금속 확산 배리어가 금속화 동작에서 적용될 수도 있다. 일부 실시형태들의 후속하는 프로세싱 동작들에서, 본 발명에 따른 다른 처리가 일반적으로 화학적 기계적 폴리싱 (CMP) 에 의한 평탄화에 의해 야기되는 유전체 손상을 리페어하기 위해 금속화된 반도체 디바이스의 평탄화된 상부 표면에 적용될 수도 있다.
그러한 다단계 프로세스들에서, 본 발명에 따른 처리는 예를 들어, 플라즈마 에칭 유도 손상의 프리-금속화 리페어 및/또는 평탄화 유도 유전체 손상의 포스트-평탄화 리페어를 위해 하나 이상의 스테이지들에서 적용될 수도 있다. 또한, 본 발명에 따른 UV 처리들은 다른 UV 또는 비 UV 유전체 처리들과 결합될 수도 있다.
프로세스 변동들
상술된 바와 같이, 본 발명은 대상인 탄소 함유 로우-k 유전체의 유전율을 감소시키기 위해 소정의 파장 프로파일들을 갖는 UV 방사선 및/또는 케미컬 실릴화제와 결합하여, 또는 양자 모두에 대한 탄소 함유 로우-k 유전체의 노출을 수반하는 반도체 디바이스 제조의 방법을 제공한다. 대상인 탄소 함유 로우-k 유전체는 일반적으로 그것의 유전율이 그것의 본래의 값으로부터 증가하도록 하는 이전 프로세싱 또는 핸들링 동작에 의해 손상을 입은 것이다. 본 발명에 따른 UV 처리는 처리된 탄소 함유 로우-k 유전체의 유전율을 감소시키도록 구성된 특성들 및/또는 구성물들을 갖는 다양한 양태들을 가질 수도 있다.
제 1 양태에 따르면, 여러 실시형태들에서, 기판은 UV 방사 전력의 50% 초과가 300 nm 보다 큰 파장을 갖는 것을 특징으로 하는 스펙트럼 프로파일을 갖는 UV 방사선에의 노출되며; 또는 여기서 UV 방사 전력의 50% 초과가 약 300 내지 450 nm 의 파장 범위에 있거나; 여기서 UV 방사 전력의 50% 초과가 약 300 내지 450 nm 의 파장 범위에 있다. 본 발명의 이러한 양태에 따른 적하한 스펙트럼 프로파일은 또한 UV 방사 전력의 10% 미만이 300 nm 아래의 파장 범위에 있는 것; 또는 UV 방사 전력의 5% 미만이 300 nm 아래의 파장 범위에 있는 것; 또는 UV 방사 전력의 1% 미만이 300 nm 아래의 파장 범위에 있는 것을 특징으로 할 수도 있다. 본 발명의 이러한 양태에 따른 적합한 스펙트럼 파일은 또한 탄소 함유 로우-k 유전체가 노출되는 UV 방사선에서 300 내지 400 nm 의 파장 범위에서의 UV 방사 전력에 대한 200 내지 240 nm 의 파장 범위에서의 UV 방사 전력의 비가 10 % 이하인 것을 특징으로 할 수도 있다.
본 발명의 이러한 양태의 일부 실시형태들에 따른 UV 방사선의 적합한 소스는 Fe-충전 ("D") 전구이다. 일부 실시형태들에서, 고역 통과 필터가 D 전구와 함께 사용되어 탄소 함유 로우-k 유전체의 노출 전에 300 nm 아래의 파장을 갖는 UV 방사선을 필터링한다. 필터는 295 nm 고역 통과 필터일 수도 있다.
본 발명의 이러한 양태의 일부 실시형태들에 따른 UV 방사선의 또 다른 적합한 소스는 Ga-충전 ("V") 전구이다. 일부 실시형태들에서, 고역 통과 필터가 V 전구와 함께 사용되어 탄소 함유 로우-k 유전체의 노출 전에 300 nm 아래의 파장을 갖는 UV 방사선을 필터링한다. 필터는 295 nm 고역 통과 필터일 수도 있다.
이하에 더욱 기술되는 바와 같이, 성능 이점들과 상관된 재료 특성들에서의 변화들이 본 발명에 따른 UV 처리들의 결과로서 관찰되었다. 상술된 스펙트럼 프로파일을 갖는 방사선으로의 처리의 중요한 이점은 다른 유전체 리페어 처리들과 연관된 유전체 수축이 감소되거나 최소화되면서 k 복구가 획득된다는 점이다. 수축은 응력, 특히 포스트-금속화를 발생시켜 잠재적으로 응력 마이그레이션 실패들을 야기한다. 또한, 패턴 밀도에서의 다양성 및 결과적인 수축에서의 다양성은 유전체로 하여금 그의 평탄성을 잃게 할 수 있다. 본 발명은 임의의 특정의 동작 이론에 제한되지 않지만, 이것은 ULK CDO 막들이 상당한 흡수를 갖는 UV 방사 스펙트럼 프로파일의 서브-300 nm 컴포넌트를 감소시킴으로써 달성되는 것으로 생각된다.
포스트-CMP 탄소 함유 로우-k 유전체의 처리들은 UV 방사 노출의 결과로서 프리-처리 유전율보다 적어도 0.1 더 작은 유전율을 야기했고, 유전체는 2% 미만만큼 수축하거나; UV 방사 노출의 결과로서 프리-처리 유전율보다 적어도 0.1 더 작은 유전율을 야기했고, 유전체는 1% 미만만큼 수축하거나; UV 방사 노출의 결과로서 프리-처리 유전율보다 적어도 0.06 더 작은 유전율을 야기했고, 유전체는 0.5% 미만만큼 수축한다.
본 발명의 제 2 양태에 따르면, UV 처리는 케미컬 실릴화제 노출과 결합하여 UV 방사 노출을 포함할 수도 있다. 실릴화는 알킬실릴기로 화합물 상의 산성 수소의 대체를 수반한다. 이러한 양태에 따르면, UV 방사선은 탄소 함유 로우-k 유전체 상에 입사하는 UV 방사선이 k 복구와 함께 감소된 수축을 달성하도록 제약된 스펙트럼 프로파일을 갖도록 본 발명의 제 1 양태와 관련하여 상술된 바와 같을 수도 있다. 대안적으로, 본 발명의 이러한 양태와 관련한 입사 UV 방사선은 그렇게 제약되지 않을 수도 있고, 예를 들어 종래의 H 또는 H+(수은) 전구, D 전구, V 전구 또는 임의의 다른 적합한 소스로부터 소싱될 수 있다. UV 소스는 단일 파장 엑시머 램프, 또는 아크 또는 마이크로파 여기들을 갖는 브로드 스펙트럼 소스일 수 있다.
일반적으로, UV 파장(들), 총 노출 시간 및 강도 등의 선택은 유전체 막의 두께 및 유전체 막의 조성을 포함하여 다수의 팩터들에 의존한다. 상술된 본 발명의 제 1 양태의 제약된 스펙트럼 UV 방사 노출을 위한 적합한 UV 처리 파라미터들은 약 300-450 nm, 예를 들어 약 300-400 nm 의 파장에서 약 1mW-20W/㎠, 예를 들어 약 500mW-5W/㎠ 의 전력 강도 범위에 있을 수도 있다. 상술된 본 발명의 제 2 양태에 따른 케미컬 실릴화제 노출과 함께 사용하기에 적합한 제약되지 않은 스펙트럼 UV 방사 노출을 위한 적합한 UV 처리 파라미터들은 약 150-500 nm, 예를 들어 약 200-400 nm 의 파장에서 약 1mW-20W/㎠, 예를 들어 약 500mW-5W/㎠ 의 전력 강도 범위에 있을 수도 있다. 실온에서 약 450℃ 까지의 사이, 바람직하게는 약 200-400 ℃, 예를 들어 400℃ 의 웨이퍼 온도에서 최대 약 1 분, 예를 들어 약 15, 30 또는 45 초 동안의 노출들은 어느 경우나 적합하다. 본 발명의 이러한 양태에 따른 통상의 UV 노출은 약 45 초 동안 약 400℃ 의 웨이퍼 온도에서 약 1-3W/㎠ 의 전력 밀도를 갖는다. 프로세스 압력은 약 1mTorr 내지 760Torr, 바람직하게는 약 5Torr 내지 700Torr의 범위에 있을 수 있다.
본 발명을 구현하기 사용된 장치는 하나 이상의 UV 소스들을 가질 수 있다. 여기에 기술된 일부 실시형태들에서, 장치는 단일의 UV 소스를 가질 것이다. 노벨러스 시스템즈사로부터 이용가능한 SOLA UV 열 프로세싱 시스템 상의 하나의특정의 구현에서, UV 강도는 10-100% 사이의 어느 곳에 있을 수 있다. 더 낮은 강도는 일반적으로 더 긴 노출 시간들을 요구한다. 온도는 목표 열 버짓 (예를 들어, 350-400 ℃) 아래로 설정된다. 압력은 상술된 바와 같을 수 있다. UV 파장 소스들은 상술된 바와 같을 수 있다. 적절한 장치들이 도 3 을 참조하여 이하에 상세히 기술된다.
또 본 발명의 제 2 양태에 따르면, 케미컬 실릴화제는 일반적으로 치환되거나 치환되지 않은 알킬 실란이다. 본 발명의 이러한 양태에 따른 알킬 실란 실릴화제들의 적절한 클래스들 및 예들은:
메틸 실란들
을 포함한다.
이들은 클로로, 메톡시, 에톡시, 프로폭시, 메톡시알콕시, 디메틸아민, 디에틸아민 다른 아민들, 실라잔들 (NH), 또는 이들의 조합들을 포함하는 3, 2, 1 개의 가수분해 가능한 (관능) 기들을 포함할 수도 있다. 적합한 이원 기능 (bi-functional) 예들은 디메틸디클로로실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 디메틸아미나오 트리메틸 실란, 비스(디메틸아미노)디메틸실란 및 비스(디에틸아미노)디메틸실란이다.
선형 알킬 실란들
상기와 같지만, 메틸기의 하나 이상이 다른 선형 알킬기들 (예를 들어, 에틸, 프로필, 부틸 등) 로 치환된다. 적합한 예는 에틸메틸디클로로실란이다.
분기형 및 고리형 알킬 실란들
메틸 실란들로서, 그러나 메틸기들의 하나 이상이 분기형 또는 고리형 알킬들 (예를 들어, 이소프로필, 이소부틸 등) 에 의해 치환된다.
디알킬 실란들
메틸 실란들로서, 그러나 모든 메틸기들이 더 큰 알킬기들 (예를 들어, 디에틸디클로로실란, 디에틸디에톡시실란) 의 쌍들에 의해 치환된다.
적합한 실릴화제 부분 압력들은 약 1 과 700Torr 사이, 예를 들어 10-300Torr 이다. 통상의 실릴화제 유량은 약 3 내지 15 ml/min 이다. 약 1 내지 10 분, 예를 들어 약 5 분의 노출 시간이 일반적으로 적합한다. 매우 낮은 부분 압력들에서, 더 긴 노출 시간이 일반적으로 요구될 것이다.
여전히 다른 실시형태들에서, 메틸기들 또는 다른 탄소들을 도네이트할 수 있거나, 유전체 리페어 반응이 진행되는 것을 가능하게 하기 위해 그들이 UV에 의해 활성화될수 있도록 UV 활성일 수도 있는 넌-실리콘 함유 케미컬 시약이 케미컬 실릴화제 대신에 사용될 수도 있다. 적합한 예들은 아세톤, 디메틸 카보네이트를 포함한다.
본 발명은 하나 이상의 스테이션들을 갖는 단일 프로세스 모듈에서, 또는 각각 하나 이상의 스테이션들을 갖는 다수의 모듈들에 걸쳐 구현될 수도 있다. 종종, 항상은 아니고, 본 발명은 기판 (워크피스) 이 반드시 진공을 파괴함 없이 스테이션으로부터 스테이션으로 이동될 수 있는 하나 이상의 멀티-스테이션 모듈들에서 구현된다. 케미컬 실릴화제를 포함하여, 강도, 파장, 온도, 압력, 시간 및 시약 플로우를 포함하여, UV 처리를 위한 프로세TM 파라미터들은 독립적으로 제어 및 변화될 수도 있고, 임의의 또는 모든 프로세스 조건들은 스테이션 마다 변화될 수도 있다. 특히, 온도, 실릴화제 및 UV 조사에 대한 독립적인 제어가 존재할 수도 있다. 예를 들어, 웨이퍼는 시간 (t) 동안 온도 (T) 로 가져와 져서, 시간 (t1) 동안 실릴화제에 노출되고, 시간 (t2) 동안 UV 방사선 및 실릴화제에 노출되며, 시간 (t3) 동안 UV 방사선에만 노출되고, 그 후 온도, UV 방사 강도, 압력, 파장, 플로우 및 시간을 포함하는 임의의 조건들이 기술된 파라미터들 내에서 독립적으로 변경될 수도 있는 멀티-스테이션 프로세싱 장치 내의 다른 스테이션으로 이동될 수도 있다.
탄소 함유 로우-k 유전체는 본 발명의 여러 실시형태들에 따라 다양한 상이한 방식들로 본 발명에 따른 UV 처리를 겪게 될 수도 있다. UV 처리는 여기에 기술된 제약된 스펙트럼 프로파일의 UV 방사 노출만을 구비할 수도 있고; 및/또는 제약된 스펙트럼 프로파일의 UV 방사는 케미컬 실릴화제 노출과 여러 가지 방식들로 결합될 수도 있고; 및/또는 실릴화제의 존재하에서 여기에 기술된 바와 같은 비제약된 스펙트럼 파일의 UV 방사를 구비할 수도 있다,
일부 특정의 실시형태들에서, UV 처리는 다음의 동작들을 포함할 수도 있다: 불활성 기체 (예를 들어, He, Ar 등) 분위기에서의 (제약되거나 제약되지 않은) UV 방사 노출; 후속하여 케미컬 실릴화제에의 노출; 후속하여 다시 불활성 기체 (예를 들어, He, Ar 등) 분위기에서의 (제약되거나 제약되지 않은) UV 방사 노출. 처리는 동작들 사이에 에어 브레이크를 가지거나 가지지 않을 수도 있고, 또는 실릴화제 노출 전 또는 후에 단지 하나의 에어 브레이크를 가질 수도 있다. 처리의 동작들은 동일하거나 상이한 온도들에서 수행될 수 있을 것이다. 예를 들어, 400 ℃ 에서의 UV 방사 노출; 및 UV 방사 동작들이 실릴화제 노출에 선행하고 후속하는 처리에서 약 50 내지 400 ℃ 의 범위에서의 동일하거나 더 낮은 온도, 예를 들어 약 90 ℃ 에서의 실릴화제 노출.
대안적으로, 초기 UV 방사 노출 동작은 열 어닐 동작 (예를 들어, 불활성 기체 분위기에서 약 1 내지 30 분, 예를 들어 5 분 동안 400 ℃) 으로 대체되거나 전혀 수행되지 않을 수도 있다. 또, 처리는 동작들 사이에 에어 브레이크를 가지거나 가지지 않을 수도 있다. 그리고 또, 처리의 동작들은 동일하거나 상이한 온도들에서 수행될 수 있다. 예를 들어, 약 400 ℃ 에서의 어닐 및/또는 UV 방사 노출; 및 어닐 동작이 UV 방사 노출 동작이 뒤따르는 실릴화제 노출을 선행하는 처리에서 약 50 내지 400 ℃ 의 범위에서의 동일하거나 더 낮은 온도, 예를 들어 150 ℃ 에서의 실릴화제 노출.
다른 실시형태들에서, 대상인 탄소 함유 로우-k 유전체는 케미컬 실릴화제에의 노출 동안 UV 방사에 노출될 수도 있다. 예를 들어, 처리는 실릴화제의 존재하에 UV 방사에의 노출을 포함하는 단일의 동작일 수도 있다. 또는, 실릴화제의 존재하에서의 UV 방사에의 노출은 예를 들어 불활성 기체 (예를 들어, He) 내에서 실릴화제 없이 UV 방사에의 추가의 노출에 의해 뒤따라질 수 있으며; 또는 반대의 동작들 (즉, 실릴화제의 존재하에서의 UV 방사에의 추가의 노출이 후속되는 예를 들어 불활성 기체 (예를 들어, He) 내에서 실릴화제 없이 UV 방사에의 노출) 도 가능하다.
본 발명에 따른 케미컬 실릴화제 노출을 포함하는 UV 처리들로 프리-금속화 플라즈마 손상 탄소 함유 로우-k 유전체들의 처리들은 0.5 이상 만큼의 k 감소들을 달성할 수 있고 2.4 이하로 낮은 k 값을 달성할 수 있다.
처리에 후속하여, 배리어 층이 반도체 디바이스의 후속하는 프로세싱 이전에 로우-k 유전체 상에 성막될 수도 있다.
반도체 프로세싱 콘텍스트들
도 1a 는 본 발명의 실시형태들에 따른 여러 방법들에서 수행될 수도 있는 동작들을 도시하는 프로세스 흐름도이다. 본 발명은 그의 애플리케이션이 그렇게 제한되지 않지만, 다마신 프로세싱 콘텍스트에서 이롭게 적용된다. 일부 양태들에서, 본 발명은 도 1a 에 도시된 실시형태의 동작들 (104 및/또는 110) 에 기술된 것과 같은, 탄소 함유 로우-k 유전체 (예를 들어, ULK CDO) 를 갖는 적용가능한 반도체 디바이스 기판의 여기에 기술된 UV 처리만을 요구한다. 본 발명의 다른 양태들은 여기에 기술된 다마신 프로세싱 동작들과 같은 추가적인 프로세싱 동작들을 포함할 수도 있다. 그러나, 본 발명은 모든 그 양태들에서 이들 추가의 프로세싱 동작들의 수행에 제한되지 않는다. 이중 다마신 기법의 일반화된 버전이 이러한 프로세스의 여러 스테이지들 동안 부분적으로 형성된 반도체 디바이스를 도시하는 도 2a 내지 도 2d 를 참조하여 이하에 기술된다. 본 발명은 또한 다른 반도체 프로세싱 기법들과 함께 사용될 수도 있다.
도 1a 를 이제 참조하면, 반드시 본 발명의 일부는 아니지만 본 발명의 콘텍스트의 실시형태를 이로운 애플리케이션에 놓는 동작들에서, 탄소 함유 로우-k 유전체는 100 에서 기판상에 퇴적된다. 102 에서, 도전성 피쳐들의 패턴들이 일반적으로 트렌치들의 플라즈마 에칭에 의해 유전체 층에 형성된다. 플라즈마 에칭은 일반적으로 패턴 에지들, 일반적으로 트렌치 측벽들 및 저부들에 손상을 초래한다. 건식 레지스트 스트립, 습식 세정 및 건식 세정과 같은 다른 프로세스 동작들은 또한 로우-k 유전체 손상을 야기하거나 기여할 수 있다. 도전성 피쳐들은 반드시는 아니지만 통상 금속 라인들 및 비아들이다. 일 예에서, 그들은 실질적으로 구리로부터 형성되는 금속화 층의 상호 연결들이다. 통상의 기술자에게 알려져 있는 바와 같이, 여러 기법들이 그러한 피쳐들을 형성하기 위해 사용될 수도 있다.
형성된 피쳐들 (예를 들어, 에칭된 트렌치들) 은 그 후 104 에서 본 발명에 따른 자외선 (UV) 처리에 노출된다. 본 발명에 따른 UV 처리의 특정의 실시형태들에 대한 프로세스 변동들은 위에 기술되어 있으며 제약된 UV 스펙트럼 프로파일 및/또는 케미컬 실릴화제를 포함할 수도 있다. 본 발명은 임의의 특정의 동작 원리에 제한되지 않지만, 손상된 유전체 표면의 UV 노출은 SiOH 기들을 크로스링크하여, 실라놀 환원을 초래하여 Si-O-Si 결합들의 형성을 야기한다고 생각된다.
이러한 UV 처리에 후속하여, 배리어 층이 반도체 디바이스의 후속하는 프로세싱 이전에 로우-k 유전체 층상에 성막될 수도 있다. 예를 들어, 반드시 본 발명의 일부는 아니지만 위에서 언급된 일 실시형태에 대한 콘텍스트를 위해 제공되는 동작들에서, 트렌치들은 그 후 106 에서 도전 재료, 그리고 통상적으로 도전성 배리어 층 및 그 후 다른 금속들이 사용될 수도 있지만 구리 (Cu) 로 채워질 수도 있다. 피쳐들을 채운 경우, 초과의 재료는 유전체의 상부 (노출된 표면) 로부터 제거될 필요가 있을 것이다. 초과의 재료는 108 에서 유전체 내의 도전성 피쳐들의 노출된 패턴을 형성하는 평탄화 프로세스에 의해 제거될 수도 있다. 상술된 바와 같이, 하나의 널리 사용되는 평탄화 프로세스는 화학적 기계적 폴리싱 (CMP) 이지만, CMP 로부터의 슬러리는 탄소 함유 로우-k 유전체로부터 탄소기들을 화학적으로 제거할 수 있다.
본 발명의 다른 양태에 따르면, CMP 유도 유전체 손상을 리페어하기 위해, 평탄화된 표면은 110 에서 본 발명에 따른 추가의 UV 처리에 노출될 수 있다. UV 처리는 포스트-트렌치 에칭 처리를 참조하여 상술된 것과 동일한 조건들, 또는 여기에 기술된 다른 조건들 하에서 행해질 수도 있다.
본 발명의 이러한 실시형태에 따른 다마신 프로세싱 리페어에 후속하여, 구리 확산 배리어 막과 같은 확산 배리어 막은 부분적으로 형성된 반도체 디바이스의 평탄화된 표면 상에 성막될 수도 있다. 이러한 층은 확산 배리어의 목적과는 별도의 다른 목적들을 서빙할 수도 있다. 예를 들어, 확산 배리어 막은 또한 에칭 스톱 층으로서 작용할 수도 있다.
본 발명의 여러 구현들에 따르면, 여기에 기술된 UV 처리는, 탄소 함유 로우-k 유전체가 이전의 프로세싱 동작에 의해 손상된, 및/또는 달리 그것의 로우-k 특성을 복구하거나 향상시키기 위한 처리로부터 이익을 얻을 수 있는 반도체 프로세싱 동작의 임의의 스테이지에서 행해질 수도 있다. 예를 들어, 다마신 프로세싱을 수반하는 반도체 프로세싱 동작에서, 처리는 다마신 프로세싱의 프리-금속화 (예를 들어, 트렌치 충전) 및 포스트-평탄화 스테이지들 중 어느 하나 또는 양자 모두에서 적용될 수도 있다. 본 발명에 따른 복수의 UV 방사 처리들이 적용되는 경우, 처리들은 여기에 기술된 파라미터들 내에서 동일하거나 상이할 수도 있다. 대안적으로, 본 발명에 따른 UV 방사 처리는 다단계 프로세스의 하나의 스테이지 또는 다른 스테이지에서 다른 프로세싱 기법들과 결합될 수도 있다. 예를 들어, 참조로 여기에 포함된, 2009년 12월 23일에 출원된 공통 펜딩 출원 제 12/646,830 호에 기술된 환원제 노출을 구비한 UV 노출은 본 발명에 따른 UV 방사 노출이 예를 들어 트렌치 리페어 프리-금속화를 위해 또 다른 스테이지에서 사용되는 동안 하나의 스테이지 또는 다른 스테이지, 특히 다마신 프로세싱에서의 포스트-평탄화에서 사용될 수도 있다.
도 2a 내지 도 2d 를 이제 참조하면, 본 발명의 프로세싱 유도 손상 리페어 프로세스들을 포함하는 통상의 이중 다마신 프로세스가 도시된다. 상술된 바와 같이, 이로운 애플리케이션에서의 본 발명의 콘텍스트의 실시형태가 도시되어 있다는 것이 이해되어야 한다. 적어도 일부 양태들에서, 본 발명은 상술된 바와 같이 반도체 디바이스 기판의 UV 처리만을 요구한다. 본 발명의 다른 양태들은 리소그래피 동작들을 포함하는, 여기에 기술된 다마신 프로세싱 동작들과 같은 추가의 프로세싱 동작들을 포함할 수도 있다. 그러나, 본 발명은 모든 그의 양태들에서 이들 추가의 프로세싱 동작들의 수행에 제한되지 않는다.
도 2a 를 참조하면, 유전체의 제 1 층 (203) 및 제 2 층 (205) 은 가능하게는 실리콘 니트라이드 층과 같은 에칭 스톱 층의 성막에 의해 분리되어, 연속하여 성막된다. 본 기술분야에서 잘 알려져 있는 바와 같이, 대안적인 다마신 프로세싱 기법들에 따르면, 단일의 두꺼운 유전체 층이 이산적인 제 1 및 제 2 층들 대신에 사용될 수도 있다.
제 2 유전체 층 (205) 의 성막 후에, 비아들이 후속하여 에칭될 개구부들을 갖는 비아 마스크 (211) 가 형성된다. 다음에, 비아들은 제 2 유전체 층 (205) 의 레벨을 통해 부분적으로 아래로 에칭된다. 그 후, 비아 마스크 (211) 는 도 2b 에 도시된 바와 같이 박리되고 라인 마스크 (213) 로 대체된다. 제 2 에칭 동작이 제 2 유전체 층 (205) 내의 라인 경로들 (215) 을 정의하기 위해 유전체의 충분한 양들을 제거하기 위해 수행된다. 에칭 동작은 또한 하지 기판 (209) 상의 금속층 (211) 위의 에칭 스톱 층 (210) 과 접촉하도록 제 1 유전체 층 (203) 을 통해 비아 홀들 (217) 을 아래로 연장한다.
다음의 설명은 단지 본 발명이 구현될 수도 있는 하나의 비아 우선 (via-first) 이중 다마신 프로세스의 예이다. 다른 실시형태들에서, 비아 우선 프로세스는 라인 트렌치들의 에칭 이전에 비아들의 완전한 에칭을 수반할 수도 있다. 또는, 라인 트렌치들의 에칭이 비아 에칭에 선행하는 트렌치 우선 프로세스가 사용될 수도 있다. 이들 여러 다마신 프로세싱 기법들 및 다른 변형들은 본 기술분야에 잘 알려져 있고 본 발명의 실시형태들에 대한 대안적은 구현 콘텍스트들을 나타낸다. 본 발명은 또한 단일의 다마신 프로세싱, 더욱 종래의 금속 퇴적 및 에칭, 및 탄소 함유 로우-k 유전체가 사용되는 본질적으로 임의의 반도체 프로세싱 콘텍스트에 적용 가능하다.
이와 관련하여, 다마신 프로세싱의 콘텍스트에서의 용어 "트렌치" 는 통상 유전체 내에 형성되어 유전체 층 내에 도전성 라인을 형성하기 위해 후속하여 채워지는 피쳐를 기술하는 것으로 이해된다. 더욱 일반적인 반도체 프로세싱 콘텍스트에서, 그 용어는 또한 유전체에 형성되어 반도체 디바이스의 엘리먼트 (예를 들어, 비아, 라인, STI 등) 를 형성하기 위해 후속하여 채워지는 피쳐를 기술하는 것으로 이해되고, 다마신 트렌치 또는 결합된 다마신 구조를 포함할 수도 있다. 그것이 콘텍스트로부터 달리 명확하지 않으면, 여기에 사용되는 경우, 그 용어는 그것의 더욱 넓은 의미를 가지는 것으로 이해되어야 한다.
트렌치 에칭 후에, 포토레지스트는 또 다른 플라즈마 프로세스에서 제거되고, 습식 또는 건식 세정이 후속하며, 그 후 로우-k 유전체 표면 상의 손상이 상술된 바와 같이 UV 처리에 의해 리페어된다.
그 후, 도전성 배리어 층 재료 (219) 의 박층이 유전체 층들 (203 및 205) 의 (측벽들을 포함하는) 노출된 표면들 상에 형성된다. 도전성 배리어 층 재료 (219) 는 예를 들어 탄탈륨 또는 탄탈륨 니트라이드로 형성될 수도 있다. CVD 또는 PVD 동작은 통상 도전성 배리어 층 재료 (219) 를 성막하는데 사용된다. 배리어 재료의 성막 이전에, 플라즈마 프로세스가 통상 트렌치들의 저부들을 세정하는데 사용되어 하지층 상의 노출된 구리 표면으로부터 산화 및 오염물을 제거한다. 통상의 기술자에게 알려져 있는 바와 같이, 이러한 배리어 "사전 세정 (preclean)" 플라즈마 프로세스는 단순히 불활성 기체 플라즈마 또는 수소와 같은 기체의 반응성 플라즈마일 수 있다. 사전 세정 플라즈마 프로세서는 또한 로우-k 유전체 막에 손상을 줄 수 있다. 손상된 로우-k 막을 리페어하기 위한 상술된 UV 처리는 Ta 또는 TaN 을 위한 PVD 동작 이전에 사용될 수도 있다.
배리어 층의 상부에는, 도전성 금속 (통상적으로 구리) 이 트렌치 및 라인 경로들 (217 및 215) 에 퇴적된다. 종래에 이러한 퇴적은 2 개의 단계들로 수행된다: 전기도금에 의한 구리의 벌크 퇴적이 후속하는 도전성 시드층의 초기의 퇴적. 시드층은 물리적 기상 증착, 화학적 기상 증착, 무전해 도금 등에 의해 퇴적될 수도 있다. 구리의 벌크 퇴적은 라인 경로들 (215) 을 충전할 뿐아니라, 완전한 충전을 보장하기 위해, 또한 제 2 유전체 층 (205) 의 상부 상의 모든 노출된 영역들을 커버한다.
따라서, 구조체를 평탄화하고 디바이스로부터 초과의 구리를 제거하는 것이 필요하게 된다. 평탄화는 유전체 층 (205) 의 상부의 레벨까지 재료를 제거한다. 이것은 유전체 층 (205) 내의 도전성 라인들 (221) 의 노출된 패턴 및 유전체 층 (203) 내의 비아들을 야기한다. (도 2c 의 단면도 및 도 2d 의 단순화된 평면도를 참조)
평탄화는 여러 기법들에 의해 달성될 수도 있다. 통상, 그 프로세스는 소정 양의 CMP 를 수반한다. 그것은 또한 유전체 층 (205) 의 상부 표면의 레벨까지 나머지 구리를 제거하는 CMP 가 후속하는, 초과의 벌크 구리의 대부분을 제거하는 일렉트로폴리싱의 조합을 수반할 수도 있다. 위에서 논의된 바와 같이, CMP 로부터의 슬러리는 탄소기들을 화학적으로 제거할 수 있고, 평탄화 프로세스 후 도전성 라인들로부터 산화물들을 제거하는데 통상 사용되는 인시츄 플라즈마 기반 프로세스들은 또한 로우-k 유전체 막들의 표면상에 실리콘 댕글링 결합들을 남기면서 탄소기들을 제거할 수 있다. 손상의 이들 타입들 각각은 또한 상당한 수분 흡수를 야기하여, 유전체 k 에서의 증가를 야기한다.
이전에 기술된 바와 같이, 본 발명의 다른 양태는 다마신 프로세싱에서 일어나는 포스트-평탄화 (예를 들어, CMP) 손상 리페어에 관한 것이다. 이러한 양태에 따르면, CMP 에 의한 평탄화에 후속하여, (CMP 슬러리 및 결과적인 댕글링 Si- 결합들을 갖는 그 슬러리 내의 물의 프로세싱 및 반응에 의한 유기성 (일반적으로 -CH3) 기들의 제거에 의해 형성된) Si-OH (실라놀) 결합들, 또는 다른 탄소 함유 로우-k 유전체 막 손상 (예를 들어, 고변형 결합들) 이 상술된 바와 같이 UV 처리에 표면을 노출시킴으로써 리페어된다.
장치
본 발명은 다수의 상이한 타입들의 장치에서 구현될 수 있다. 일부 실시형태들에서, 장치는 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 하나 이상의 챔버들 (때때로 프로세스 모듈들로서 지칭됨) 을 포함할 것이다. 적어도 하나의 챔버가 UV 소스를 포함할 것이다. 단일의 챔버는 하나 이상의 스테이션들을 가질 수도 있고, 본 발명의 하나, 일부 또는 모든 동작들을 위해 사용될 수도 있다. 각 챔버는 프로세싱을 위해 하나 이상의 웨이퍼들 (기판들) 을 하우징할 수도 있다. 하나 이상의 챔버들은 본 발명의 절차들 동안 웨이퍼를 정의된 위치 또는 위치들 (그 위치 내에서 모션, 예를 들어 회전, 진동, 또는 다른 동요를 가지거나 가지지 않음) 에 유지한다. 웨이퍼 온도가 제어되어야 하는 소정의 동작들의 경우, 장치는 가열되거나, 냉각되거나 또는 가열 및 냉각될 수도 있는, 제어된 온도 웨이퍼 서포트를 포함할 수도 있다. 웨이퍼 서포트는 또한 프로세스 모듈들 내의 정의된 웨이퍼 위치들을 제공하도록 제어가능할 수도 있다. 웨이퍼 서포트는 UV 소스에 대해 웨이퍼를 회전, 진동, 또는 달리 동요시킬 수도 있다.
도 3 은 본 발명의 구현에 적합한 UV 광 소스의 배열을 도시한다. 이러한 실시형태에서, 콜드 미러 반사기는 UV 방사선이 프로세싱을 위해 이용가능하게 되는 것을 허용하면서 웨이퍼 상에의 IR 방사선의 입사를 감소시키는 것을 추구한다. 명확성을 위해, 이러한 도면은 본 발명의 장치에서 이용가능한 가능한 다수의 프로세싱 스테이션들 중 단지 하나를 도시한다. 또한, 이러한 도면은 명확성의 목적을 위해 웨이퍼의 도시를 생략하고, 플러드 타입 (flood-type) 반사기를 도시한다. 도 3 에 도시된 원리들은 또한 집광 반사기에 적용될 수도 있다는 것이 당업자에게는 명백할 것이다.
도 3 을 참조하면, 페데스탈 (303) 이 프로세싱 챔버 (301) 의 하나의 스테이션으로 임베딩된다. 윈도우 (305) 는 UV 램프들 (309 및 319) 로부터 원하는 파장들의 UV 출력으로 웨이퍼 (도시하지 않음) 의 조사를 허용하도록 페데스탈 (303) 위에 적절하게 위치된다. UV 광 소스를 위한 적합한 램프들은 상술된 바와 같은 필터들을 가지거나 가지지 않는, 수은 증기, D 또는 V 전구 램프들을 포함할 수도 있지만, 이들에 제한되지 않는다. 이러한 실시형태에서, 양 램프들 (309 및 319) 은 그들의 출력을 플러드 조명으로 만드는 반사기들 (307 및 317) 이 구비된다. 반사기들 (307 및 317) 은 그들 자신이 "콜드 미러" 재료로 만들어질 수도 있고, 즉 그들은 또한 IR 을 송신하고 UV 방사를 반사하도록 설계될 수도 있다.
램프들 (309 및 319) 로부터 직접 나오는 방사선 뿐아니라 반사기들 (307 및 317) 로부터 반사된 방사선은 반사기들 (311) 의 세트에 또한 입사한다. 이들 반사기들은 또한 웨이퍼 상의 막을 경화시킬 목적으로 원해지는 그러한 UV 파장들만을 반사하도록 설계된 콜드 미러들이다. 가시광을 포함하는 모든 다른 방사선 및 가장 특별하게는 IR 은 콜드 미러들의 이러한 세트에 의해 송신된다. 따라서, UV 파장들이 막에 우선적으로 송신된다. 램프들 (309 및 319) 에 대한 콜드 미러 반사기들 (311) 의 특정의 각도, 거리 및 배향은 웨이퍼 상에 입사하는 UV 강도를 최대화하고 그것의 조명의 균일성을 최적화하도록 최적화될 수도 있다는 것이 당업자에게는 명백할 것이다.
챔버 (301) 는 진공을 유지하고/유지하거나 대기 압력 위의 압력들에서의 기체들을 포함하는 것이 가능하다. 간단성을 위해, 하나의 챔버 (301) 의 하나의 스테이션만이 도시된다. 일부 실시형태들에서, 챔버 (301) 가 대안적으로 스탠드-얼론 단일 챔버 장치의 일부 일수도 있지만, 챔버 (301) 는 멀티 챔버 장치에서의 하나의 챔버이다. 어느 경우나, 챔버(들) 는 하나 또는 하나 보다 많은 스테이션을 가질 수도 있다. 본 발명의 일부 실시형태들에서, UV 프로세스 모듈들은 하나의 스테이션을 갖는다. 본 발명의 구현에 적합한 장치는 캘리포니아 샌호세의 노벨러스 시스템즈사로부터의 INOVA, Sequel, Vector 및 SOLA 시스템들 및 캘리포니아 산타 클라라의 어플라이드 머티리얼즈로부터의 Endura, Centura, Producer 및 Nanocure 시스템들의, 여기에 기술된 구성들을 포함할 수도 있다. 특정의 예에서, 본 발명은 캘리포니아 샌호세의 노벨러스 시스템즈사로부터의 Vector Extreme 툴 상에서 구현될 수도 있다.
도 3 의 UV 광 소스 구성은 단지 적합 구성의 예일 뿐이다. 일반적으로, 램프들이 웨이퍼에 균일한 UV 방사를 제공하도록 배열되는 것이 바람직하다. 예를 들어, 다른 적합한 램프 배열들은 동심원상으로 또는 달리 배열된 원형 램프들의 배열들을 포함할 수 있거나, 서로에 대해 90 도 및 180 도 각도들로 배열된 더 작은 파장의 램프들이 사용될 수도 있다. 광 소스(들) 는 고정되거나 웨이퍼 상의 적절한 로케이션들에 광을 제공하도록 이동가능할 수 있다. 대안적으로, 예를 들어 가동 렌즈들, 필터들 및/또는 미러들의 시리즈를 포함하는 광학 시스템은 상이한 시간에 상이한 소스들로부터 기판으로 광을 지향시키도록 제어될 수 있다.
UV 광 강도는 광 소스의 타입에 의해 및 광 소스 또는 광 소스들의 어레이에 인가된 전력에 의해 직접 제어될 수 있다. 인가된 전력의 강도에 영향을 주는 팩터들은 예를 들어 (예를 들어 광 소스들의 어레이 내의) 광 소스들의 수 및 광 소스 타입들 (예를 들어, 램프 타입 또는 레이저 타입) 을 포함한다. 웨이퍼 샘플 상의 UV 광 강도를 제어하는 다른 방법들은 광의 부분들이 웨이퍼 샘플에 도달하는 것을 차단할 수 있는 필터들을 사용하는 것을 포함한다. 광의 방향과 함께, 웨이퍼에서의 광의 강도가 미러들, 렌즈들, 확산기들 및 필터들과 같은 여러 광학 컴포넌트들을 사용하여 변조될 수 있다. 개개의 소스들의 스펙트럼 분포는 재단하는 필터들의 사용 뿐아니라 소스들 (예를 들어, 수은 증기 램프 (H 또는 H+ 전구), 제논 램프, 중수소 램프, 철 충전 ("D") 전구, 갈륨 충전 ("V") 전구, 엑시머 레이저 등) 의 선택에 의해 제어될 수 있다.
장치는 또한 상술된 바와 같은 알킬 실란과 같은 케미컬 실릴화제의 소스 (320) 를 포함한다.
소정의 실시형태들에서, 시스템 제어기 (325) 는 본 발명에 따른 UV 처리 프로세스들 동안 프로세스 조건들을 제어하는데 사용된다. 제어기는 통상 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 연결들, 스텝퍼 모터 제어 보드들 등을 포함할 수도 있다.
소정의 실시형태들에서, 제어기는 장치의 모든 활동들을 제어한다. 시스템 제어기는 타이밍, 시약들 (예를 들어, 실릴화제) 의 공급, 챔버 압력, 챔버 온도, 웨이퍼 온도, UV 파장, 강도 및 노출 시간, 및 특정의 프로세스의 다른 파라미터들을 제어하는 명령들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들은 일부 실시형태들에서 사용될 수도 있다.
통상, 제어기 (325) 와 연관된 사용자 인터페이스가 존재할 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
프로세스들을 제어하는 컴퓨터 프로그램 코드는 임의의 종래의 컴퓨터 판독가능 프로그래밍 언어, 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 기록될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트는 프로그램에서 식별된 탯크들을 수행하기 위해 프로세서에 의해 실행된다.
프로세스를 모니터하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 연결들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 디포지션 장치의 아날로그 및 디지털 출력 연결들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 여러 챔버 컴포넌트 서브루틴들 또는 제어 오브젝트들은 발명적 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 기록될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 포지셔닝 코드, 및 실릴화제 제어 코드, 압력 제어 코드, 히터 제어 코드, 및 UV 방사 제어 코드를 포함한다. 일 실시형태에서, 제어기는 상술된 방법들에 따른 본 발명의 프로세스들을 수행하기 위한 명령들을 포함한다.
도 3 에 도시된 장치는 단지 적합한 UV 프로세스 모듈의 예일 뿐이고 다른 설계들이 사용될 수도 있다는 것이 이해되어야 한다. 반도체 디바이스는 에어 브레이크 없이 UV 모듈로부터 배리어 층 성막 모듈까지 전달되어야 한다. 이것은 다수의 단일 툴들 상에서 달성될 수도 있다.
예들
다음은 본 발명의 동작 및 이익들의 더 양호한 이해를 제공하기 위해 본 발명의 특정의 구현들의 예들 및 성능 데이터를 제공한다. 그러나, 본 발명은 이들 특정의 구현들에 어떠한 방식으로든 제한되지 않는다.
UV 처리를 사용한 포스트-CMP k 복구
RC 지연을 낮추기 위한 해결책의 일부로서, 상당히 낮은 k (k < 2.60) 를 갖는 재료가 ILD 재료로서 사용되고 있다. k 를 감소시키는 통상의 방법은 결국 제거되는, 이들 재료 내의 포로젠 (porogen) 의 병합을 통하는 것이며, 필름은 (통상 UV 를 사용하여) 그것의 경도를 증가시키기 위해 크로스링크된다.
구리의 전기도금 후에, 그것은 CMP 를 사용하여 평탄화된다. 이들 다공성 ULK 재료는 CMP 손상에 민감하다. 소정 양의 C 제거가 이들 막들의 표면으로부터 발생하며, 결과적인 수분 흡수가 그것의 유전율에서의 증가를 초래한다.
프로세스의 다음의 단계는 유전체 배리어/에칭 스톱 층 (통상 PECVD 를 사용하는 SiC 또는 SiN) 의 성막이다. 통상, 400℃ 에서 불활성 기체 분위에서 긴 소우크 (soak) 단계 (10-30s) 가 DB/ESL 퇴적 이전에 막으로부터 습기를 제거하는데 사용된다. 이러한 포인트에서, 막은 마무리되고, 따라서, 더 이상의 수분 흡수는 가능하지 않다.
본 발명에 따른 프로세스들을 평가하기 위해 (pULK k2.3x 막 상에서) 수행되는 실험들에서, CMP 프로세스 유도 손상에 의해 초래되는 k 손실은 약 0.2 였다. 400℃ 에서 열 어닐만을 사용하면, k 복구는 약 0.05-0.06 이었다. 시간은 관계가 없었고; 1 과 30 분 사이에 어떠한 차이도 관찰되지 않았다.
불활성 기체 분위기에서 200 nm 까지 내내 방출들을 갖는 H+ 전구로부터와 같은 광대역 UV 소스에 노출되는 경우, k 복구는 표준 어닐의 약 2 배인 약 0.11-0.12 였다. 45s 및 60s 의 노출 시간들은 이들 테스트들의 일부로서 평가되었다. 더 긴 시간 동안 어떠한 차이도 관찰되지 않았다.
H+ 전구들과 동일한 정도의 k 복구가 심지어 D 또는 V 전구들에서도 보여진다. 이들 전구들은 그들의 방출 스펙트럼들이 변경되도록 그들 내에 상이한 도펀트들을 갖는다. H+, D 및 V 전구들에 대한 방사 전력 프로파일을 나타내는 방출 스펙트럼이 참고로 각각 도 4(a), (b) 및 (c) 에 도시된다. 이들 도펀트들의 주요한 영향은 더 짧은 파장들 (200-240 nm) 에서 매우 적은 방출 내지 방출이 없다. 이것은 ULK CDO 막이 상당한 흡수를 갖는 영역이다. 따라서, 이들 파장들을 갖지 않는 것은 ULK 막들이 더욱 경화/수축하는 것으로부터 방지하는 반면, 습기 제거는 여전히 발생한다. 300-400 nm 영역은 D 전구 방출 스펙트럼 프로파일을 지배하는 반면, V 전구들은 380 nm 위에서 그들의 피크 방출을 갖는다.
k 복구를 위한 UV 처리를 위한 H+ 전구들을 사용하는 주요 단점은 처리된 탄소 함유 로우-k 유전체의 결과적인 수축이다. 도 5 로부터 알 수 있듯이, H+ 전구 UV 소스를 사용하는 UV 노출은 필름으로 하여금 2.5% 만큼 더 수축하게 한다. 이에 비해, 열 어닐은 단지 약 0.2% 수축만을 야기한다. 금속 라인들이 이미 ULK 로 임베딩되어 있기 때문에, 임의의 수축은 응력을 발생시키며, 잠재적으로 응력 마이그레이션 실패들을 야기한다. 또한, 패턴 밀도에서의 다양성 및 결과적인 수축에서의 다양성은 ULK 로 하여금 그것의 평탄성을 잃게 할 것이다. 도 5 가 나타내듯이, D 및 V 전구들 양자 모두는 수축이 반 이상 (약 1% 까지) 감소되면서 대략 동일한 k 복구를 야기한다. D 전구들과 함께 295 nm 고역 통과 필터를 추가하는 것은 약 0.12 의 동일한 k 복수를 초래하지만, 수축은 이제 단지 0.5% 이다. 이것은 300 nm 보다 큰 파장들이 습기 제거를 위해 충분하다는 것을 제시한다. 그러나, V 전구를 갖는 동일한 295 nm 필터는 훨씬 더 낮은 수축을 초래하면서, 동일한 레벨의 k 복구를 야기하지 않는다. 이것은 300 과 400 nm 사이의 상당한 강도가 k 복구에 유용하다는 것을 제시한다.
이들 발견들은 300 nm 위의 제약된 스펙트럼 프로파일을 갖는 UV 방사에의 노출은, 상당한 수축을 피하면서 어닐 보다 더 많은 k 복구를 야기할 수 있다는 것을 제시한다. 이것은 필터들을 가지거나 가지지 않는, 적합한 광대역 소스 (예를 들어, D 또는 V 전구들) 로 달성될 수 있다. 그것은 또한 300 과 400 nm 사이의 단일 파장 엑시머 소스들 또는 심지어 UV LED 들 (395 nm 또는 365 nm) 을 사용하여 잠재적으로 행해질 수 있다.
UV 처리를 사용하는 프리-금속화 k 복구
본 발명에 따른 케미컬 실릴화제 노출을 포함하는 UV 처리들을 사용한 프리-금속화 플라즈마 손상 탄소 함유 로우-k 유전체들의 처리들은 0.5 이상 만큼의 k 감소들 및 2.4 이하만큼 낮은 k 값을 달성하는 것을 발견되었다. 도 6 은 ULK CDO 유전체가 산화 플라즈마에 의해 손상되고, 그 후 본 발명에 따른 UV 처리를 겪는 실험들로부터의 데이터를 도시한다. UV 처리는 UV 방사 노출이 후속하는 제 1 메틸 실란 실릴화제 (SA1) 에의 노출, 및 UV 방사 노출이 후속하는 제 2 메틸 실란 실릴화제 (SA2) 에의 별도의 노출을 수반했다. 각 경우의 UV 처리는 3 이상으로부터 약 2.6 내지 2.7 까지 처리된 유전체의 유전율에서의 극적인 감소 (k 복구) 를 야기했다. 유사하게 이익적 결과들이 실릴화제에의 노출 전후에 UV 방사 노출들을 수반하는 UV 처리들을 사용하여 획득되었다.
대안적인 실시형태들
패터닝 방법/장치
상술된 장치/프로세스는 예를 들어 반도체 디바이스들, 디스플레이들, LED 들, 태양전지 패널들 등의 제조 또는 생산을 위해, 리소그래피 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 통상, 반드시는 아니지만, 그러한 툴들/프로셋들은 공통의 조제 설비에서 함께 사용되거나 행해질 것이다. 막의 리소그래피 패터닝은 통상 각 단계가 다수의 가능한 툴들로 가능해지는 다음의 단계들의 일부 또는 전부를 포함한다: (1) 스핀-온 또는 스프레이-온 툴을 사용하는 워크피스, 즉 기판 상에의 포토레지스트의 적용; (2) 핫 플레이트 또는 로 또는 UV 경화 툴을 사용하는 포토레지스트의 경화; (3) 웨이퍼 스텝퍼와 같은 툴을 사용하는 가시선 또는 UV 또는 x-선 광에의 포토레지스트의 노광; (4) 레지스트를 선택적으로 제거하고 이에 의해 습식 브렌치와 같은 툴을 사용하여 그것을 패터닝하도록 레지스트를 현상; (5) 건식 또는 플라즈마 원조 에칭 툴을 사용하여 하지 막 또는 워크피스로 레지스트 패턴을 전사; 및 (6) RF 또는 마이크로파 플라즈마 레지스트 스트립퍼와 같은 툴을 사용하여 레지스트를 제거.
시스템 제어기
본 발명의 또 다른 양태는 상술된 방법을 달성하도록 구성된 장치이다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 위에서 도 3 을 참조하여 기술된 것과 같은, 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 시스템 제어기는 통상 장치가 본 발명에 따른 방법을 수행하도록 하는 명령들을 실행하도록 구성된 하나 이상의 프로세서들 및 하나 이상의 메모리 디바이스들을 포함한다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신 판독가능 매체가 시스템 제어기에 커플링될 수도 있다.
결론
상기의 본 발명은 이해의 명확성을 위해 다소 상세히 기술되었지만, 소정의 변화들 및 변경들이 첨부된 청구항들의 범위 내에서 실시될 수도 있다. 본 발명의 프로세스 및 조성들 양자 모두를 구현하는 다수의 대안적인 방법들이 존재한다. 이에 따라, 본 실시형태들은 제한적이 아니라 예시적으로서 고려되어야 하고, 본 발명은 여기에 주어진 상세에 제한되지 않아야 한다.

Claims (55)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 반도체 디바이스를 형성하는 방법으로서,
    상기 반도체 디바이스를 형성하는 방법은,
    제 1 유전율을 갖는 탄소 함유 로우-k 유전체를 포함하는 반도체 디바이스 기판을, 프로세싱 챔버에서 수용하는 단계;
    상기 탄소 함유 로우-k 유전체를 제1 UV 처리에 노출시키는 단계;
    상기 탄소 함유 로우-k 유전체를 케미컬 실릴화제에 노출시키는 단계; 및
    상기 탄소 함유 로우-k 유전체를 제2 UV 처리에 노출시키는 단계를 포함하며,
    상기 노출들에 의해 상기 탄소 함유 로우-k 유전체의 유전율이 제 2 유전율로 감소되는, 반도체 디바이스 형성 방법.
  27. 삭제
  28. 제 26 항에 있어서,
    노출들 사이에 에어 브레이크가 존재하지 않는, 반도체 디바이스 형성 방법.
  29. 제 26 항에 있어서,
    하나 이상의 노출들 사이에 에어 브레이크가 존재하는, 반도체 디바이스 형성 방법.
  30. 제 26 항에 있어서,
    열 어닐 노출이 상기 탄소 함유 로우-k 유전체를 상기 케미컬 실릴화제에 노출시키는 것에 선행하는, 반도체 디바이스 형성 방법.
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 제 26 항에 있어서,
    상기 유전체는 옥타메틸 시클로테트라실록산 (OMCTS), 테트라메틸시클로테트라실록산 (TMCTS), 디메틸디메톡시실란 (DMDMOS), 및 디에톡시메틸실란 (DEMS) 으로부터 형성된 탄소 도핑된 산화물들로 이루어진 그룹으로부터 선택되는, 반도체 디바이스 형성 방법.
  41. 제 40 항에 있어서,
    상기 실릴화제는 디메틸디클로로실란, 디메틸디메톡시실란, 디메틸디에톡시실란, 비스(디메틸아미노)디메틸실란, 디메틸아미나오 트리메틸 실란, 비스(디에틸아미노)디메틸실란, 에틸메틸디클로로실란 및 디에틸디클로로실란, 디에틸디에톡시실란으로 이루어진 그룹으로부터 선택되는, 반도체 디바이스 형성 방법.
  42. 제 41 항에 있어서,
    상기 실릴화제는 비스(디메틸아미노)디메틸실란인, 반도체 디바이스 형성 방법.
  43. 제 41 항에 있어서,
    상기 실릴화제는 디메틸디클로로실란인, 반도체 디바이스 형성 방법.
  44. 제 26 항에 있어서,
    상기 제1 및/또는 제2 UV 방사 전력의 50% 초과는 300 내지 450 nm 의 파장 범위 내에 있는, 반도체 디바이스 형성 방법.
  45. 제 26 항에 있어서,
    상기 제1 및/또는 제2 UV 방사 전력의 50% 초과는 300 내지 400 nm 의 파장 범위 내에 있는, 반도체 디바이스 형성 방법.
  46. 제 26 항에 있어서,
    상기 제1 및/또는 제2 UV 방사 전력의 10% 미만은 300 nm 아래의 파장 범위 내에 있는, 반도체 디바이스 형성 방법.
  47. 제 26 항에 있어서,
    상기 제1 및/또는 제2 UV 방사 전력의 5% 미만은 300 nm 아래의 파장 범위 내에 있는, 반도체 디바이스 형성 방법.
  48. 제 26 항에 있어서,
    상기 제1 및/또는 제2 UV 방사 전력의 1% 미만은 300 nm 아래의 파장 범위 내에 있는, 반도체 디바이스 형성 방법.
  49. 제 26 항에 있어서,
    상기 탄소 함유 로우-k 유전체가 노출되는 상기 UV 방사선에서 300 내지 400 nm 의 파장 범위에서의 UV 방사 전력에 대한 200 내지 240 nm 의 파장 범위에서의 제1 및/또는 제2 UV 방사 전력의 비가 10 % 이하인, 반도체 디바이스 형성 방법.
  50. 제 26 항에 있어서,
    Fe-충전 ("D") 전구가 상기 제1 및/또는 제2 UV 방사선을 위한 소스로 사용되는, 반도체 디바이스 형성 방법.
  51. 제 50 항에 있어서,
    고역 통과 필터가 상기 D 전구와 함께 사용되어, 상기 탄소 함유 로우-k 유전체의 노출 전에 300 nm 아래의 파장을 갖는 상기 UV 방사선을 필터링하는, 반도체 디바이스 형성 방법.
  52. 제 51 항에 있어서,
    상기 필터는 295 nm 고역 통과 필터인, 반도체 디바이스 형성 방법.
  53. 제 26 항에 있어서,
    Ga-충전 ("V") 전구가 상기 제1 및/또는 제2 UV 방사선의 소스로 사용되는, 반도체 디바이스 형성 방법.
  54. 제 53 항에 있어서,
    고역 통과 필터가 상기 V 전구와 함께 사용되어, 상기 탄소 함유 로우-k 유전체의 노출 전에 300 nm 아래의 파장을 갖는 UV 방사선을 필터링하는, 반도체 디바이스 형성 방법.
  55. 제 54 항에 있어서,
    상기 필터는 295 nm 고역 통과 필터인, 반도체 디바이스 형성 방법.
KR1020137019282A 2010-12-20 2011-12-09 Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구 KR101425332B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/973,549 2010-12-20
US12/973,549 US8465991B2 (en) 2006-10-30 2010-12-20 Carbon containing low-k dielectric constant recovery using UV treatment
PCT/US2011/064246 WO2012087620A2 (en) 2010-12-20 2011-12-09 Carbon containing low-k dielectric constant recovery using uv treatment

Publications (2)

Publication Number Publication Date
KR20130087630A KR20130087630A (ko) 2013-08-06
KR101425332B1 true KR101425332B1 (ko) 2014-08-01

Family

ID=46314741

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137019282A KR101425332B1 (ko) 2010-12-20 2011-12-09 Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구

Country Status (4)

Country Link
US (1) US8465991B2 (ko)
KR (1) KR101425332B1 (ko)
TW (1) TWI420593B (ko)
WO (1) WO2012087620A2 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20100267231A1 (en) * 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
KR20110125651A (ko) 2009-03-10 2011-11-21 레르 리키드 쏘시에떼 아노님 뿌르 레드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 저 유전상수 실릴화를 위한 시클릭 아미노 화합물
JP5941623B2 (ja) * 2011-03-25 2016-06-29 東京エレクトロン株式会社 処理方法および記憶媒体
CN102751233B (zh) * 2011-04-18 2015-03-11 中芯国际集成电路制造(上海)有限公司 互连结构形成方法
US8492170B2 (en) * 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8575041B2 (en) 2011-09-15 2013-11-05 Globalfoundries Inc. Repair of damaged surface areas of sensitive low-K dielectrics of microstructure devices after plasma processing by in situ treatment
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TW201403711A (zh) 2012-07-02 2014-01-16 Applied Materials Inc 利用氣相化學暴露之低k介電質損傷修復
TWI581331B (zh) * 2012-07-13 2017-05-01 應用材料股份有限公司 降低多孔低k膜的介電常數之方法
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
DE102013011066A1 (de) * 2013-07-03 2015-01-08 Oerlikon Trading Ag, Trübbach Wärme-Lichttrennung für eine UV-Strahlungsquelle
CN103531535B (zh) * 2013-10-30 2018-10-16 上海集成电路研发中心有限公司 一种修复超低介质常数薄膜侧壁损伤的方法
US9058980B1 (en) 2013-12-05 2015-06-16 Applied Materials, Inc. UV-assisted photochemical vapor deposition for damaged low K films pore sealing
US10049886B2 (en) * 2014-10-30 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for damage reduction in light-assisted processes
US10240236B2 (en) 2015-03-06 2019-03-26 Lam Research Corporation Clean resistant windows for ultraviolet thermal processing
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102491577B1 (ko) 2015-09-23 2023-01-25 삼성전자주식회사 유전 층을 갖는 반도체 소자 형성 방법 및 관련된 시스템
US9887128B2 (en) * 2015-12-29 2018-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method and structure for interconnection
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10731250B2 (en) 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10199261B1 (en) * 2017-07-19 2019-02-05 Globalfoundries Inc. Via and skip via structures
US10998259B2 (en) 2017-08-31 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR20210063434A (ko) 2018-10-19 2021-06-01 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007508691A (ja) 2003-10-08 2007-04-05 ハネウェル・インターナショナル・インコーポレーテッド シリル化剤を用いる低誘電率誘電材料の損傷の修復
US20080026579A1 (en) 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
JP2010103151A (ja) 2008-10-21 2010-05-06 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置の製造装置
US20100261349A1 (en) 2006-10-30 2010-10-14 Novellus Systems, Inc. Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing

Family Cites Families (261)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3983385A (en) 1974-08-23 1976-09-28 Union Carbide Corporation Method and apparatus for operating a mercury vapor lamp
US4313969A (en) 1979-09-10 1982-02-02 Fusion Systems Corporation Method and apparatus for providing low gloss and gloss controlled radiation-cured coatings
US4357451A (en) 1980-05-21 1982-11-02 Phillips Petroleum Company Chemical dehydroxylation of silica
US4391663A (en) 1980-12-05 1983-07-05 Hutter Iii Charles G Method of curing adhesive
US4563589A (en) 1984-01-09 1986-01-07 Scheffer Herbert D Ultraviolet curing lamp device
US4837289A (en) 1987-04-30 1989-06-06 Ciba-Geigy Corporation UV- and heat curable terminal polyvinyl functional macromers and polymers thereof
US4751191A (en) 1987-07-08 1988-06-14 Mobil Solar Energy Corporation Method of fabricating solar cells with silicon nitride coating
US5174881A (en) 1988-05-12 1992-12-29 Mitsubishi Denki Kabushiki Kaisha Apparatus for forming a thin film on surface of semiconductor substrate
US5178682A (en) 1988-06-21 1993-01-12 Mitsubishi Denki Kabushiki Kaisha Method for forming a thin layer on a semiconductor substrate and apparatus therefor
US4885262A (en) 1989-03-08 1989-12-05 Intel Corporation Chemical modification of spin-on glass for improved performance in IC fabrication
JPH03277774A (ja) 1990-03-27 1991-12-09 Semiconductor Energy Lab Co Ltd 光気相反応装置
ATE199046T1 (de) 1990-05-09 2001-02-15 Canon Kk Erzeugung von mustern und herstellungsverfahren für halbleiteranordnungen mit diesem muster
US5268320A (en) 1990-12-26 1993-12-07 Intel Corporation Method of increasing the accuracy of an analog circuit employing floating gate memory devices
US5282121A (en) 1991-04-30 1994-01-25 Vari-Lite, Inc. High intensity lighting projectors
JP2925799B2 (ja) * 1991-08-30 1999-07-28 ホーヤ株式会社 耐候性試験機用光学フィルター構造体および耐候性試験機
US5298939A (en) 1991-11-04 1994-03-29 Swanson Paul A Method and apparatus for transfer of a reticle pattern onto a substrate by scanning
US5215588A (en) 1992-01-17 1993-06-01 Amtech Systems, Inc. Photo-CVD system
US5582880A (en) 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
US5339198A (en) 1992-10-16 1994-08-16 The Dow Chemical Company All-polymeric cold mirror
US7097712B1 (en) 1992-12-04 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Apparatus for processing a semiconductor
DE4419234A1 (de) 1994-06-01 1995-12-07 Wacker Chemie Gmbh Verfahren zur Silylierung von anorganischen Oxiden
US6015503A (en) 1994-06-14 2000-01-18 Fsi International, Inc. Method and apparatus for surface conditioning
US5504042A (en) 1994-06-23 1996-04-02 Texas Instruments Incorporated Porous dielectric material with improved pore surface properties for electronics applications
US5840600A (en) 1994-08-31 1998-11-24 Semiconductor Energy Laboratory Co., Ltd. Method for producing semiconductor device and apparatus for treating semiconductor device
JP3632256B2 (ja) 1994-09-30 2005-03-23 株式会社デンソー 窒化シリコン膜を有する半導体装置の製造方法
MY113904A (en) 1995-05-08 2002-06-29 Electron Vision Corp Method for curing spin-on-glass film utilizing electron beam radiation
US7025831B1 (en) 1995-12-21 2006-04-11 Fsi International, Inc. Apparatus for surface conditioning
RU2156013C2 (ru) * 1996-03-28 2000-09-10 Интел Корпорейшн Конструкция ячейки памяти с вертикально расположенными друг над другом пересечениями
US5700844A (en) 1996-04-09 1997-12-23 International Business Machines Corporation Process for making a foamed polymer
US5667592A (en) 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6280171B1 (en) 1996-06-14 2001-08-28 Q2100, Inc. El apparatus for eyeglass lens curing using ultraviolet light
US5789027A (en) 1996-11-12 1998-08-04 University Of Massachusetts Method of chemically depositing material onto a substrate
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6218260B1 (en) 1997-04-22 2001-04-17 Samsung Electronics Co., Ltd. Methods of forming integrated circuit capacitors having improved electrode and dielectric layer characteristics and capacitors formed thereby
US6531193B2 (en) 1997-07-07 2003-03-11 The Penn State Research Foundation Low temperature, high quality silicon dioxide thin films deposited using tetramethylsilane (TMS) for stress control and coverage applications
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
US5858457A (en) 1997-09-25 1999-01-12 Sandia Corporation Process to form mesostructured films
US7829144B2 (en) 1997-11-05 2010-11-09 Tokyo Electron Limited Method of forming a metal film for electrode
US5876798A (en) 1997-12-29 1999-03-02 Chartered Semiconductor Manufacturing, Ltd. Method of fluorinated silicon oxide film deposition
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6098637A (en) 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
TWI222426B (en) 1998-04-01 2004-10-21 Asahi Kasei Corp Method for producing a circuit structure
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6232248B1 (en) 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
KR100343134B1 (ko) 1998-07-09 2002-10-25 삼성전자 주식회사 유전막형성방법
US6150272A (en) 1998-11-16 2000-11-21 Taiwan Semiconductor Manufacturing Company Method for making metal plug contacts and metal lines in an insulating layer by chemical/mechanical polishing that reduces polishing-induced damage
US6407007B1 (en) 1998-11-17 2002-06-18 Taiwan Semiconductor Manufacturing Company Method to solve the delamination of a silicon nitride layer from an underlying spin on glass layer
US6290589B1 (en) 1998-12-09 2001-09-18 Applied Materials, Inc. Polishing pad with a partial adhesive coating
US6329017B1 (en) 1998-12-23 2001-12-11 Battelle Memorial Institute Mesoporous silica film from a solution containing a surfactant and methods of making same
US6383466B1 (en) 1998-12-28 2002-05-07 Battelle Memorial Institute Method of dehydroxylating a hydroxylated material and method of making a mesoporous film
KR20000043888A (ko) 1998-12-29 2000-07-15 김영환 플래쉬 메모리 소자의 제조 방법
US6770572B1 (en) 1999-01-26 2004-08-03 Alliedsignal Inc. Use of multifunctional si-based oligomer/polymer for the surface modification of nanoporous silica films
US6254689B1 (en) 1999-03-09 2001-07-03 Lucent Technologies Inc. System and method for flash photolysis cleaning of a semiconductor processing chamber
JP3410385B2 (ja) 1999-04-19 2003-05-26 株式会社ディスコ 洗浄装置及び切削装置
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
KR100613674B1 (ko) 1999-05-14 2006-08-21 동경 엘렉트론 주식회사 웨이퍼 처리 장치 및 처리 방법
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
US6136963A (en) 1999-07-27 2000-10-24 Heska Corporation Parasitic helminth DiAg2 nucleic acid molecules, and uses thereof
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
EP1077480B1 (en) 1999-08-17 2008-11-12 Applied Materials, Inc. Method and apparatus to enhance properties of Si-O-C low K films
US6740566B2 (en) 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6420441B1 (en) 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
JP4774568B2 (ja) 1999-10-01 2011-09-14 ソニー株式会社 半導体装置の製造方法
JP2001329088A (ja) 1999-10-18 2001-11-27 Nippon Sheet Glass Co Ltd 二酸化珪素被覆ポリオレフィン樹脂及びその製造方法
US20050022839A1 (en) 1999-10-20 2005-02-03 Savas Stephen E. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6580094B1 (en) 1999-10-29 2003-06-17 Semiconductor Energy Laboratory Co., Ltd. Electro luminescence display device
US6365266B1 (en) 1999-12-07 2002-04-02 Air Products And Chemicals, Inc. Mesoporous films having reduced dielectric constants
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6136680A (en) 2000-01-21 2000-10-24 Taiwan Semiconductor Manufacturing Company Methods to improve copper-fluorinated silica glass interconnects
US6367412B1 (en) 2000-02-17 2002-04-09 Applied Materials, Inc. Porous ceramic liner for a plasma source
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6329062B1 (en) 2000-02-29 2001-12-11 Novellus Systems, Inc. Dielectric layer including silicalite crystals and binder and method for producing same for microelectronic circuits
US6387453B1 (en) 2000-03-02 2002-05-14 Sandia Corporation Method for making surfactant-templated thin films
US6270846B1 (en) 2000-03-02 2001-08-07 Sandia Corporation Method for making surfactant-templated, high-porosity thin films
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US20030157267A1 (en) 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6576300B1 (en) 2000-03-20 2003-06-10 Dow Corning Corporation High modulus, low dielectric constant coatings
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
US6444715B1 (en) 2000-06-06 2002-09-03 Honeywell International Inc. Low dielectric materials and methods of producing same
JP3910344B2 (ja) * 2000-06-28 2007-04-25 リンテック株式会社 セラミックグリーンシート製造用工程フィルム
US6485599B1 (en) 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6271273B1 (en) 2000-07-14 2001-08-07 Shipley Company, L.L.C. Porous materials
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
JP4731694B2 (ja) 2000-07-21 2011-07-27 東京エレクトロン株式会社 半導体装置の製造方法および基板処理装置
US6391932B1 (en) 2000-08-08 2002-05-21 Shipley Company, L.L.C. Porous materials
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6856712B2 (en) 2000-11-27 2005-02-15 University Of Washington Micro-fabricated optical waveguide for use in scanning fiber displays and scanned fiber image acquisition
WO2002045145A2 (en) 2000-11-30 2002-06-06 Shipley Company, L.L.C. Uv-free curing of organic dielectrica
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
TWI313059B (ko) 2000-12-08 2009-08-01 Sony Corporatio
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
WO2002054837A2 (en) 2001-01-04 2002-07-11 Laser Imaging Systems Gmbh & Co. Kg Direct pattern writer
US20020117109A1 (en) 2001-02-27 2002-08-29 Hazelton Andrew J. Multiple stage, stage assembly having independent reaction force transfer
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
US6348407B1 (en) 2001-03-15 2002-02-19 Chartered Semiconductor Manufacturing Inc. Method to improve adhesion of organic dielectrics in dual damascene interconnects
US20020172766A1 (en) 2001-03-17 2002-11-21 Laxman Ravi K. Low dielectric constant thin films and chemical vapor deposition method of making same
US20020187627A1 (en) * 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
JP4682456B2 (ja) 2001-06-18 2011-05-11 株式会社日立ハイテクノロジーズ 基板処理方法及び基板処理装置
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US7183201B2 (en) 2001-07-23 2007-02-27 Applied Materials, Inc. Selective etching of organosilicate films over silicon oxide stop etch layers
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040058090A1 (en) 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
JP2003115576A (ja) 2001-10-03 2003-04-18 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6899857B2 (en) 2001-11-13 2005-05-31 Chartered Semiconductors Manufactured Limited Method for forming a region of low dielectric constant nanoporous material using a microemulsion technique
EP1446356A4 (en) 2001-11-21 2005-04-06 Univ Massachusetts MESOPOROUS MATERIALS AND METHOD
US6563092B1 (en) 2001-11-28 2003-05-13 Novellus Systems, Inc. Measurement of substrate temperature in a process chamber using non-contact filtered infrared pyrometry
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
JP2003234402A (ja) * 2002-02-12 2003-08-22 Tokyo Electron Ltd 半導体製造方法及び半導体製造装置
DE10208450B4 (de) 2002-02-27 2004-09-16 Infineon Technologies Ag Verfahren zum Abscheiden dünner Schichten mittels ALD/CVD-Prozessen in Verbindung mit schnellen thermischen Prozessen
US6805801B1 (en) 2002-03-13 2004-10-19 Novellus Systems, Inc. Method and apparatus to remove additives and contaminants from a supercritical processing solution
JP2003273111A (ja) 2002-03-14 2003-09-26 Seiko Epson Corp 成膜方法及びその方法を用いて製造したデバイス、並びにデバイスの製造方法
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP4959921B2 (ja) 2002-03-28 2012-06-27 プレジデント アンド フェロウズ オブ ハーバード カレッジ 二酸化珪素ナノラミネートの蒸着
US20030199603A1 (en) 2002-04-04 2003-10-23 3M Innovative Properties Company Cured compositions transparent to ultraviolet radiation
KR100480500B1 (ko) 2002-04-25 2005-04-06 학교법인 포항공과대학교 절연막의 저온 증착법
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
TWI288443B (en) 2002-05-17 2007-10-11 Semiconductor Energy Lab SiN film, semiconductor device, and the manufacturing method thereof
US6873051B1 (en) 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US6812167B2 (en) 2002-06-05 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd Method for improving adhesion between dielectric material layers
JP2004014841A (ja) * 2002-06-07 2004-01-15 Fujitsu Ltd 半導体装置及びその製造方法
US6644786B1 (en) 2002-07-08 2003-11-11 Eastman Kodak Company Method of manufacturing a thermally actuated liquid control device
US7847344B2 (en) 2002-07-08 2010-12-07 Micron Technology, Inc. Memory utilizing oxide-nitride nanolaminates
US6677251B1 (en) 2002-07-29 2004-01-13 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a hydrophilic surface on low-k dielectric insulating layers for improved adhesion
US6869862B2 (en) 2002-08-09 2005-03-22 Texas Instruments Incorporated Method for improving a physical property defect value of a gate dielectric
US7234584B2 (en) * 2002-08-31 2007-06-26 Applied Materials, Inc. System for transporting substrate carriers
US7005390B2 (en) 2002-10-09 2006-02-28 Intel Corporation Replenishment of surface carbon and surface passivation of low-k porous silicon-based dielectric materials
US6759342B2 (en) * 2002-10-11 2004-07-06 Taiwan Semiconductor Manufacturing Co., Ltd Method of avoiding dielectric arcing
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US20050025892A1 (en) 2002-11-01 2005-02-03 Sumitomo Chemical Company, Limited Composition for porous organic film
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6924222B2 (en) 2002-11-21 2005-08-02 Intel Corporation Formation of interconnect structures by removing sacrificial material with supercritical carbon dioxide
US7294934B2 (en) 2002-11-21 2007-11-13 Intel Corporation Low-K dielectric structure and method
US6831284B2 (en) 2002-11-21 2004-12-14 Applied Materials, Inc. Large area source for uniform electron beam generation
US7018918B2 (en) 2002-11-21 2006-03-28 Intel Corporation Method of forming a selectively converted inter-layer dielectric using a porogen material
KR100483290B1 (ko) 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
US6939800B1 (en) 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
KR20050084450A (ko) 2002-12-19 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 무응력 복합기판 및 이러한 복합기판의 제조 방법
US20060121208A1 (en) 2003-01-09 2006-06-08 Siegel Stephen B Multiple wavelength UV curing
US20040152239A1 (en) 2003-01-21 2004-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Interface improvement by electron beam process
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6921727B2 (en) 2003-03-11 2005-07-26 Applied Materials, Inc. Method for modifying dielectric characteristics of dielectric layers
US6740602B1 (en) 2003-03-17 2004-05-25 Asm Japan K.K. Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power
US7169715B2 (en) 2003-03-21 2007-01-30 Intel Corporation Forming a dielectric layer using porogens
US7241704B1 (en) 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
US20050260420A1 (en) 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6740605B1 (en) 2003-05-05 2004-05-25 Advanced Micro Devices, Inc. Process for reducing hydrogen contamination in dielectric materials in memory devices
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
US20040221871A1 (en) 2003-05-07 2004-11-11 Fletcher Matthew F. Semiconductor wafer processing apparatus and method therefor
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US7425505B2 (en) * 2003-07-23 2008-09-16 Fsi International, Inc. Use of silyating agents
US6972252B1 (en) 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US6936540B2 (en) 2003-09-18 2005-08-30 Micron Technology, Inc. Method of polishing a semiconductor substrate, post-CMP cleaning process, and method of cleaning residue from registration alignment markings
US7132334B2 (en) 2003-09-23 2006-11-07 Macronix International Co., Ltd. Methods of code programming a mask ROM device
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
CN100447962C (zh) 2004-01-21 2008-12-31 株式会社日立国际电气 半导体装置的制造方法及衬底处理装置
US7256111B2 (en) 2004-01-26 2007-08-14 Applied Materials, Inc. Pretreatment for electroless deposition
KR100593737B1 (ko) 2004-01-28 2006-06-28 삼성전자주식회사 반도체 소자의 배선 방법 및 배선 구조체
US20050170104A1 (en) 2004-01-29 2005-08-04 Applied Materials, Inc. Stress-tuned, single-layer silicon nitride film
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7102232B2 (en) 2004-04-19 2006-09-05 International Business Machines Corporation Structure to improve adhesion between top CVD low-k dielectric and dielectric capping layer
KR100568448B1 (ko) 2004-04-19 2006-04-07 삼성전자주식회사 감소된 불순물을 갖는 고유전막의 제조방법
US7112541B2 (en) 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7015150B2 (en) 2004-05-26 2006-03-21 International Business Machines Corporation Exposed pore sealing post patterning
US7504663B2 (en) 2004-05-28 2009-03-17 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with a floating gate electrode that includes a plurality of particles
JP3972126B2 (ja) 2004-05-28 2007-09-05 独立行政法人産業技術総合研究所 紫外線発生源、紫外線照射処理装置及び半導体製造装置
JP4503356B2 (ja) 2004-06-02 2010-07-14 東京エレクトロン株式会社 基板処理方法および半導体装置の製造方法
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7629272B2 (en) 2004-06-07 2009-12-08 Axcelis Technologies, Inc. Ultraviolet assisted porogen removal and/or curing processes for forming porous low k dielectrics
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
US7182673B2 (en) 2004-06-29 2007-02-27 Novellus Systems, Inc. Method and apparatus for post-CMP cleaning of a semiconductor work piece
US20060038293A1 (en) 2004-08-23 2006-02-23 Rueger Neal R Inter-metal dielectric fill
US7304302B1 (en) 2004-08-27 2007-12-04 Kla-Tencor Technologies Corp. Systems configured to reduce distortion of a resist during a metrology process and systems and methods for reducing alteration of a specimen during analysis
US7223704B2 (en) 2004-08-27 2007-05-29 Infineon Technologies Ag Repair of carbon depletion in low-k dielectric films
JP4893905B2 (ja) 2004-08-31 2012-03-07 独立行政法人産業技術総合研究所 ゼオライト用原料液体、ゼオライト結晶作成方法、ゼオライト用原料液体の作成方法、およびゼオライト薄膜
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7235459B2 (en) 2004-08-31 2007-06-26 Micron Technology, Inc. Methods of forming trench isolation in the fabrication of integrated circuitry, methods of fabricating memory circuitry, integrated circuitry and memory integrated circuitry
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7335980B2 (en) 2004-11-04 2008-02-26 International Business Machines Corporation Hardmask for reliability of silicon based dielectrics
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060142143A1 (en) 2004-12-15 2006-06-29 Hayim Abrevaya Process for preparing a dielectric interlayer film containing silicon beta zeolite
US7179755B2 (en) 2004-12-30 2007-02-20 Intel Corporation Forming a porous dielectric layer and structures formed thereby
US7892648B2 (en) 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8361814B2 (en) 2005-02-11 2013-01-29 Applied Materials, Israel, Ltd. Method for monitoring chamber cleanliness
US7253105B2 (en) 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
US7300891B2 (en) 2005-03-29 2007-11-27 Tokyo Electron, Ltd. Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
US20060220251A1 (en) 2005-03-31 2006-10-05 Grant Kloster Reducing internal film stress in dielectric film
US7232730B2 (en) 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US7247582B2 (en) 2005-05-23 2007-07-24 Applied Materials, Inc. Deposition of tensile and compressive stressed materials
US8129290B2 (en) 2005-05-26 2012-03-06 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films using a post PECVD deposition UV cure
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7410895B2 (en) 2005-07-12 2008-08-12 Taiwan Semiconductor Manufacturing Co., Ltd Methods for forming interconnect structures
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7902008B2 (en) 2005-08-03 2011-03-08 Globalfoundries Inc. Methods for fabricating a stressed MOS device
US7977149B2 (en) 2005-08-31 2011-07-12 Sumitomo Chemical Company, Limited Transistor, organic semiconductor device, and method for manufacture of the transistor or device
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US20070065578A1 (en) 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
CN101283442A (zh) 2005-10-14 2008-10-08 盐谷喜美 半导体装置以及制造方法
US20070105292A1 (en) 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
US7381659B2 (en) 2005-11-22 2008-06-03 International Business Machines Corporation Method for reducing film stress for SiCOH low-k dielectric materials
US7678662B2 (en) 2005-12-13 2010-03-16 Applied Materials, Inc. Memory cell having stressed layers
US7482265B2 (en) 2006-01-10 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. UV curing of low-k porous dielectrics
US7632771B2 (en) 2006-02-07 2009-12-15 Imec UV light exposure for functionalization and hydrophobization of pure-silica zeolites
US7964514B2 (en) 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
JP4887848B2 (ja) 2006-03-15 2012-02-29 セイコーエプソン株式会社 回路基板、電気光学装置および電子機器
US7838428B2 (en) 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7816253B2 (en) 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
US7935587B2 (en) 2006-06-09 2011-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Advanced forming method and structure of local mechanical strained transistor
US20080009141A1 (en) 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
US20100267231A1 (en) 2006-10-30 2010-10-21 Van Schravendijk Bart Apparatus for uv damage repair of low k films prior to copper barrier deposition
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7500397B2 (en) 2007-02-15 2009-03-10 Air Products And Chemicals, Inc. Activated chemical process for enhancing material properties of dielectric films
US7936500B2 (en) 2007-03-02 2011-05-03 Ravenbrick Llc Wavelength-specific optical switch
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7846804B2 (en) 2007-06-05 2010-12-07 United Microelectronics Corp. Method for fabricating high tensile stress film
US7622162B1 (en) 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
KR100881396B1 (ko) 2007-06-20 2009-02-05 주식회사 하이닉스반도체 반도체 소자의 제조방법
JP4600438B2 (ja) * 2007-06-21 2010-12-15 株式会社デンソー 炭化珪素半導体装置の製造方法
WO2009012067A1 (en) 2007-07-13 2009-01-22 Applied Materials, Inc. Boron derived materials deposition method
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US7858533B2 (en) 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20100317198A1 (en) 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007508691A (ja) 2003-10-08 2007-04-05 ハネウェル・インターナショナル・インコーポレーテッド シリル化剤を用いる低誘電率誘電材料の損傷の修復
US20080026579A1 (en) 2006-07-25 2008-01-31 Kuo-Chih Lai Copper damascene process
US20100261349A1 (en) 2006-10-30 2010-10-14 Novellus Systems, Inc. Uv treatment for carbon-containing low-k dielectric repair in semiconductor processing
JP2010103151A (ja) 2008-10-21 2010-05-06 Tokyo Electron Ltd 半導体装置の製造方法及び半導体装置の製造装置

Also Published As

Publication number Publication date
TW201237959A (en) 2012-09-16
US20110117678A1 (en) 2011-05-19
US8465991B2 (en) 2013-06-18
KR20130087630A (ko) 2013-08-06
TWI420593B (zh) 2013-12-21
WO2012087620A2 (en) 2012-06-28
WO2012087620A3 (en) 2013-02-28

Similar Documents

Publication Publication Date Title
KR101425332B1 (ko) Uv 처리를 사용하는 탄소 함유 로우-k 유전율 복구
KR101201039B1 (ko) 반도체 공정의 k값 회복 및 표면 세정을 위한 uv 및 환원제 처리
US7851232B2 (en) UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US20100267231A1 (en) Apparatus for uv damage repair of low k films prior to copper barrier deposition
KR102542269B1 (ko) 반도체 디바이스들의 금속 상호접속부들을 위한 유전체 배리어들의 선택적 형성
US9017933B2 (en) Method for integrating low-k dielectrics
US7901743B2 (en) Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7405168B2 (en) Plural treatment step process for treating dielectric films
US7541200B1 (en) Treatment of low k films with a silylating agent for damage repair
US7723237B2 (en) Method for selective removal of damaged multi-stack bilayer films
US20140094038A1 (en) Enhancing adhesion of cap layer films
KR20140010449A (ko) 손상된 저 k 필름들의 복구 및 기공 밀봉을 위한 자외선 보조형 시릴화
TWI387050B (zh) 低介電係數絕緣膜之損壞復原方法及半導體裝置之製造方法
KR20160123357A (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
KR20160019371A (ko) 저-k 유전체 막 형성
KR102109482B1 (ko) 다공성 저-k 막의 유전 상수를 감소시키기 위한 방법
WO2010033469A2 (en) Dielectric material treatment saystem and method of operating
US9004914B2 (en) Method of and apparatus for active energy assist baking
US9502255B2 (en) Low-k damage repair and pore sealing agents with photosensitive end groups
CN107743651B (zh) 针对侧壁孔密封及通孔清洁度的互连整合

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170712

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180712

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190711

Year of fee payment: 6