CN101283442A - 半导体装置以及制造方法 - Google Patents

半导体装置以及制造方法 Download PDF

Info

Publication number
CN101283442A
CN101283442A CNA200680037849XA CN200680037849A CN101283442A CN 101283442 A CN101283442 A CN 101283442A CN A200680037849X A CNA200680037849X A CN A200680037849XA CN 200680037849 A CN200680037849 A CN 200680037849A CN 101283442 A CN101283442 A CN 101283442A
Authority
CN
China
Prior art keywords
film
wafer
mentioned
wavelength
dielectric film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA200680037849XA
Other languages
English (en)
Inventor
盐谷喜美
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Individual
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CN101283442A publication Critical patent/CN101283442A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Health & Medical Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Toxicology (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明提供一种能够把绝缘膜改质的半导体制造装置。在照射装置中具有对绝缘膜照射光的照射机构,该光的波长在与该绝缘膜的吸收端对应的波长以上,且在为了切断与该绝缘膜的氢相关的结合基所必要的波长以下。

Description

半导体装置以及制造方法
技术领域
本发明涉及半导体装置以及制造方法。
背景技术
以往,半导体器件具有各种绝缘膜。在这些绝缘膜中,有IC的层间绝缘膜(例如,低介电常数膜(以下称为“Low-k膜”)、形成在布线之间的布线材料的阻挡层绝缘膜、和高介电常数栅极绝缘膜(以下称为“High-k膜”)等。另外,关于绝缘膜的材料,使用SiN、SiON、SiOCH、SiOCNH、SiCH、SiCNH、SiOCF、SiCF等。
Low-k膜要求具有低介电常数和高机械强度。用于实现低介电常数的一种方法是,对Low-k膜进行热退火处理。用于实现高机械强度的一种方法是,如专利文献1所记载的那样,进行紫外光照射处理。
具体是,上述热退火处理需要在400℃以上的温度下进行30分钟以上的退火。而且,上述紫外光照射处理需要照射200nm以下波长的紫外光。
而且阻挡层绝缘膜要求均匀且高密度,而且还要求薄膜化。
并且,High-k膜(HfO2膜)要求质地致密,且不容易流过泄漏电流。为此,在形成High-k膜后进行的退火处理成为关键。以往,High-k膜是采用有机金属化学气相蒸镀法(Metal-Organic Chemical Vapor Deposition:MOCVD)等来形成。具体是,在形成High-k膜之前,通过一边对硅供给O2气,一边以425℃的温度进行加热,来形成边界层。然后,通过在450℃~550℃的温度下进行有机金属化学蒸镀,来形成Hgih-k膜。然后,通过在700℃到900℃的温度下,供给N2、N2/O2气体、或NH3气体,对High-K膜中的Si-O结合的硅进行氮化(N化),形成SiN结合。然后,在氩(Ar)中进行退火处理(非专利文献1、2)。
专利文献1:特开004-356508号公报
非专利文献1:IEEE Electron Devices 52,p1839(2005)
非专利文献2:The Electrochemical Society Interfece,Summer 2005,p30(2005)
但是,如果进行以往的紫外光照射处理,则存在的问题是,Low-k膜虽然可提高其机械强度,但也增大了介电常数。例如,如果对介电常数为2.4的Low-k膜照射2分钟的波长为172nm、照度为14mW/cm2的紫外光,则虽然作为机械强度的杨氏模量成为8GPa,但介电常数却增大为2.6以上。
另外,对于通过热退火处理可实现2.3以下的介电常数的旋转涂敷(Spin on Deposition:SOD)膜,如果照射4分钟的波长为172nm、照度为14mW/cm2的紫外光,则虽然作为机械强度的杨氏模量成为8GPa,但介电常数却增大为2.5。
并且,上述热退火处理,如上述那样,由于以400℃的高温进行30分钟以上的退火处理,所以,例如在半导体器件中使用的铜(Cu)等布线材料向Low-k膜扩散,导致布线之间的泄漏电流增大。另外,相对上述热退火处理需要30分钟以上的时间,而半导体器件的其他制造工序只需要5分钟左右。因此,存在的问题是,如果进行上述热退火处理,则存在半导体器件的制造产量下降。
另外,难以把阻挡层绝缘膜做得很薄,并且提高其密度。以往,根本不存在提高阻挡层绝缘膜的密度的具体方法。
并且,在High-k膜的情况下,存在着在High-k膜中存在大量电荷,源-漏极电流变小,High-k膜的泄漏电流增大的问题。这些问题是因High-k膜中的氧(O)缺失而产生的空穴所引起的。
这样,对于绝缘膜,要求进行对应其用途的改质。
发明内容
因此,本发明的目的是提供一种能够对绝缘膜进行改质的半导体制造装置。
为了解决上述问题,本发明的半导体制造装置,包括:反应室,具有:照射机构,其对绝缘膜照射光,该光的波长在与该绝缘膜的吸收端对应的波长以上,且在为了切断与该绝缘膜的氢相关的结合基所需要的波长以下;加热器,其加热具有上述绝缘膜的晶片;和防止除去机构,其用于防止基于通过从上述照射机构照射光而在上述晶片与上述加热器之间产生的静电所造成的、该晶片相对该加热器的位置偏移,和在进行上述光的照射时,使上述反应室内形成氮气气氛或惰性气体气氛的机构。
具体是,在上述绝缘膜是SiOCH膜的情况下,上述照射装置照射156nm以上500nm以下波长的光,在上述绝缘膜是SiOCNH膜、SiCH膜、SiCNH膜的情况下,上述照射装置照射180nm以上500nm以下波长的光。在上述绝缘膜是SiN膜的情况下,上述照射装置照射240nm以上500nm以下波长的光。
另外,本发明的半导体制造装置,还包括搬送具有上述绝缘膜的晶片的搬送装置。
并且,本发明的半导体器件在利用化学气相蒸镀装置制造的情况下,具有介电常数为2.4以下、杨氏模量为5GPa以上的绝缘膜。
本发明的半导体器件在利用旋转涂敷成膜装置制造的情况下,具有介电常数为2.3以下、杨氏模量为6GPa以上的绝缘膜。
另外,本发明的半导体制造方法包括:照射步骤,其对绝缘膜照射光,该光的波长在与该绝缘膜的吸收端对应的波长以上,且在为了切断与该绝缘膜的氢相关的结合基所必要的波长以下;在进行上述照射时,使上述绝缘膜处于氮气气氛或惰性气体气氛的步骤;在进行上述照射时,加热具有上述绝缘膜的晶片的步骤;和防止基于在上述晶片与上述加热器之间产生的静电所造成的、该晶片相对该加热器的位置偏移的步骤。
附图说明
图1是本发明的实施方式1的半导体制造装置的示意结构图。
图2是图1的第1室1的示意结构图。
图3是表示照射光的波长与物质的结合能量的关系的图。
图4是表示照射光的波长、吸收端、结合能量之间的关系的图。
图5是图2所示的晶片7的一部分的示意剖面图。
图6是本发明的实施方式2的半导体制造装置的示意结构图。
图7是图6的室15的示意结构图。
图8是图2所示的晶片7的一部分的示意剖面图。
图9是图8所示的晶片7的除去了SiN膜57的一部分后的示意剖面图。
图10是本发明的实施方式4的第1室1的示意结构图。
图11是本发明的实施方式5的半导体制造装置的示意结构图。
图12是本发明的实施方式6的成为半导体器件的晶片7的一部分的示意剖面图。
图13是本发明的实施例的半导体器件的一部分的剖面图。
图14是本发明的实施例的半导体器件的一部分的剖面图。
图15是本发明的实施例的半导体器件的一部分的剖面图。
图16是本发明的实施例的半导体器件的一部分的剖面图。
图17是用于防止设在第1室1和第2室2内的晶片7的位置偏离的防止环的示意结构图。
图18是表示图17的变形例的图。
图19是表示图8、图9所示的晶片7的制造工序的变形例的图。
图20是表示图8、图9所示的晶片7的制造工序的变形例的图。
图21是表示图8、图9所示的晶片7的制造工序的变形例的图。
图中:1-第1室;2-第2室;3-灯;4-石英管;5-惰性气体;7-晶片;6-加热器;8-销;9-受光传感器;11-配管;12-配管;13-流量控制器;14-阀;41-环筒;42-晶片校准器;43-载入锁定室;44-输送室。
具体实施方式
下面,参照附图对本发明的实施方式进行说明。另外,在各图中,对于相同的部分标记相同的符号。
(实施方式1)
图1是本发明的实施方式1的半导体制造装置的示意结构图。在本实施方式中,主要说明对Low-k膜进行改质的装置。
在图1中,图示出了收纳晶片的环筒(hoop)41、对从环筒41取出的晶片进行定位的晶片校准器(wafer alighment)42、具有载入锁定(loadlock)机构的作为减压室的载入锁定室(load lock chamber)43、对晶片照射波长相对长的光的第1室1、对晶片照射波长相对短的光的第2室2、和输送室44,其具有在载入锁定室43、第1室1和第2室2之间搬送晶片的机械臂。
图2是图1的第1室1的示意结构图。在图2中,示出了由Low-k膜的材料决定的、如高压汞灯那样照射300nm以上波长的光、或如卤素灯那样照射400nm以上770nm以下波长的光的多个(例如4个)灯3;为了保护各个灯3不受减压时的应力,并防止氧气与各个灯3接触的石英管4;被供给到石英管4内的氮气(N2)等惰性气体5;被绝缘物覆盖的成为半导体器件的晶片7;位于升降台上对晶片7进行加热的由绝缘物(AlN)构成的加热器6;支撑由输送室44搬送来的晶片7的销8;受光传感器9,其被安装在石英管4内或第1室1的内壁上,用于对来自灯3的照射光的照度进行连续、定期、间断地测定;用于向第1室1内供给氮气的配管11;用于供给氧气(O2)的配管12,该氧气(O2)用于在对晶片7进行了处理后清洁第1室1内;设置在各配管11、12与储气罐之间的阀14;以及测量流过各个配管11、12的气体流量,并且根据测量结果控制14的开闭的流量控制器(mass flow)13。另外,根据需要也可以构成为能够把氮气以外的惰性气体供给到第1室1内。
另外,第2室2的结构也和第1室1同样,但取代各个灯3,而使用低压汞灯或Xe、Kr、I、KrBr等的准分子灯。低压汞灯,在其灯的基部温度在60℃附近时,186nm波长的光相对强,在其灯的基部温度在40℃附近时,254nm波长的光相对强。
另外,也可以在第1室1和第2室2的双方中设置照射相同波长的光的灯。在这种情况下,在图1所示的半导体制造装置中被进行了处理的晶片7,由于加热时间比以往增加了2倍,所以在提高绝缘膜的机械强度的方面,获得了改质效果。
另外,对于第1室1的灯3,也可以使用可视光灯、氙灯、氩激光器、和碳酸气体激光器。并且,对于第2室2的灯,也可以使用XeF、XeCl、XeBr、KrF、KrCl、ArF、ArCl等准分子激光器。另外,为了切断绝缘膜内的非稳定状态的结合基,需要使灯3能够照射波长为770nm以下的光,即,可视光。换言之,作为灯3,在使用了照射红外区域的波长范围的光的灯的情况下,绝缘膜内的非稳定状态的结合基的大部分发生振动,但这些在限定的时间内未被切断。另外,实验证明,如果是770nm以下的可视光,则,Si-H结合以及C-H结合的结合基的大部分可被有效地切断,如果是500nm以下的可视光,可被更有效地切断。
图3是表示照射光的波长与物质的结合能量的关系的图。图3的横轴表示波长(nm),纵轴表示结合能量(eV)。例如,对于Low-k膜的材料,可以使用SiOCH、SiCF等,而且对于Cu的阻挡层膜,可以使用SiN、SiOCH、SiON、SiOCNH、SiCNH膜等。
例如,在SiOCH膜中,存在C-H结合和Si-CH3结合。它们在被照射300nm以上波长的光时,结合基被切断。因此,在把SiOCH膜作为绝缘膜采用的情况下,通过照射350nm以下波长的光,可切断上述结合基。
同样,在SiN膜中,存在N-H结合和Si-H结合。这些在分别被照射300nm、400nm左右的波长的光时,结合基被切断。因此,在把SiN膜作为绝缘膜采用的情况下,通过照射400nm以下波长的光,可切断上述结合基。
这里,本发明的发明者发现了通过降低Low-k膜内处于不稳定的结合状态的氢成分、和氟成分等,可降低Low-k膜的介电常数。
因此,通过照射来自灯3的350nm以下波长的光,可除去SiOCH膜内的C-H结合和Si-CH3结合。其结果,可降低SiOCH膜内的氢成分等,从而使SiOCH膜的介电常数降低。
另外,本发明的发明者发现,通过切断布线间绝缘膜或阻挡层绝缘膜的氢成分的结合基,能够使布线间绝缘膜等均匀且高密度。并且,本发明的发明者还发现,通过向High-k膜照射过渡金属的氧化所必要的波长或者为了切断C-H结合所需要的波长以下的光,对High-k膜在惰性气体或包含1~2%左右、最好是1%以下的O2气体的惰性气体气氛中进行UV退火,能够使High-k膜质地致密,而且不容易流过漏电流。
因此,如果使用根据上述各个绝缘膜的材料所选择的波长的灯,就能够把绝缘膜改质成满足了其要求条件的状态。
图4是表示照射光的波长、吸收端、结合能量的关系的图。图4的横轴表示波长(nm),左纵轴表示吸收端(eV),右纵轴表示结合能量(eV)。例如,与SiO3的吸收端对应的波长是156nm。因此,如果对SiON膜照射156nm以上波长的光,则光进入膜内,其结果,光被膜内的构造(结合的结构)吸收,使SiO2膜或SiON膜的密度提高,从而提高了机械强度。同样,由于与SiN的吸收端对应的波长为275.6nm,所以如果对SiN膜照射275.6nm以上波长的光,则SiN膜的密度提高,或者氢成分被除去。
图5是图2所示的晶片7的一部分的示意剖面图。在图5中,图示了传送半导体器件内的信号的布线层31、形成在布线层31上的阻挡布线层31的成分的泄漏的阻挡层绝缘膜32、形成在阻挡层绝缘膜32上的Low-k膜33,其与在之后的工序中形成在LoW-k膜本身上的层绝缘。
布线层31选择使用Cu等材料,其厚度为200~300nm左右。阻挡层绝缘膜32选择使用SiOC、SiCH、SiOCH、SiOCNH等材料,厚度为20~30nm左右。Low-k膜33选择使用SiOCH等材料,厚度为200~300nm左右。
下面,以选择了SiOCH膜作为Low-k膜33的晶片7为例,说明Low-k膜33的改质处理的步骤。在本实施方式中,首先,从未图示的洁净室内的CVD装置,以被收纳在环筒41的状态搬送来晶片。然后把晶片7从环筒41中取出,搬送到晶片校准器42侧。
在晶片校准器42中进行该晶片的定位。然后,在被搬送到第1室1之前,把晶片7搬送到载入锁定室43中。
然后,载入锁定室43内被减压。然后,在载入锁定室43内达到所希望的压力时,打开把载入锁定室43与输送室44之间分隔的阀门。
然后,把晶片7搬送到输送室44内。然后,由输送室44内的机械臂,把晶片7从载入锁定室43内搬送到第1室1内。
在第1室1内,把晶片7放置在突出在加热器6上部的销8上。然后,使加热器6上升,使被载置在销8上的晶片7与加热器6直接接触。然后,在对晶片7进行来自灯3的光的照射之前,利用加热器6进行例如约90秒的350℃~400℃的加热。
另外,在该加热的同时,利用未图示的排气装置,对第1室1内进行排气,并且利用流量控制器13打开氮气侧的阀门14,使第1室1内成为氮气气氛。上述加热是在第1室1内成为例如1Torr的条件下进行,阀门14的开闭控制是在使对第1室1的氮气供给量成为例如100cc/分钟的条件下进行的。
另外,第1室1内也可以不是减压状态,而是常压状态。另外,根据需要,也可以取代N2气体而向第1室1内供给其他惰性气体,也可以使用N2气体与其他惰性气体的混合气体。
进行加热器8的上升,使从灯3照射的光无强度不均地到达晶片7,使晶片7与灯3的距离成为例如100~200mm的范围。
然后从灯3向晶片7照射光。此时,由受光传感器9测定光的照度,对灯3进行控制,在高压汞灯的情况下,使该照度成为例如8mW/cm2,在卤素灯的情况下,使该照度成为例如15mW/cm2
此时,在以上述照度对晶片7照射光时,可能出现在晶片7内的绝缘膜中发生基于脱离气体的龟裂、或发生该绝缘膜的剥离的情况。因此,根据受光传感器9的测定结果,以5~10秒左右的时间,连续地、或阶段性地提高灯3的照度。照度的上升例如可以是线性上升、指数函数上升、也可以其他形态的上升。
然后,在从照射开始经过了规定时间(例如1~2分钟)后,在结束照射的同时,关闭氮气侧的阀门14。这样,除去阻挡层绝缘膜32和Low-k膜33内的不稳定的C-H结合、Si-CH3结合、以及H-CH2Si(CH3)3结合等,降低Low-k膜33的介电常数。
接下来,一边维持例如1Torr的减压下,一边打开氧气侧的阀门14,通过以100cc/分钟的比例,向第1室1内进行约1分钟的O2气体供给,对第1室1内进行清洗。
然后,由输送室44把晶片7从第1室1搬送到第2室2。在第2室2中,晶片7虽然也被实施与第1室1中的处理相同的处理,但从低压汞灯向晶片7照射光的条件是,使其照度为3mW/cm2。另外,照射时间例如是1~4分钟。通过该照射,可抑制Low-k膜33的介电常数的上升,并可提高机械强度。
从第2室2取出的晶片7,例如其Low-k膜33的杨氏模量约为5GPa以上,介电常数为2.5以下。另外,阻挡层绝缘膜32的杨氏模量约为60GPa,介电常数约为4.0、密度约为2.5g/cm3
(实施方式2)
图6是本发明的实施方式2的半导体制造装置的示意结构图。图7是图6的室15的示意结构图。在本实施方式中,用1个室15来实现图1所示的第1室1和第2室2。
室15具有多个(例如5个)灯3、和多个(例如4个)灯21。这里,灯21与晶片7的距离,在使用室15时约为100mm。另一方面,灯3与晶片7的距离约为120mm。灯3与低压汞灯21的数量可以相同,也可以把灯3和灯21进行二维排列。
可以从灯3和灯21的任意一方先向晶片7照射紫外光。但是须注意,即使同时进行照射,也不能降低Low-k膜33的介电常数、提高机械强度。
关于半导体器件的制造工序,与实施方式1相同。灯3和灯21的各个照射时间只要与实施方式1相同即可。只要是该条件,由于照射前的晶片7的加热时间为1分钟,照射时间的总计为5分钟,清洗时间为1分钟,所以,只要其他工序也是7分钟,则不会降低制造产量。
(实施方式3)
在实施方式1、2中,主要说明了对Low-k膜33的处理。在本实施方式中,对增大变形硅器件的SiN膜的应力的处理进行说明。
在使用半导体器件中的绝缘膜的技术中,有一种变形硅技术。所谓变形硅技术是,通过在源-漏极设置硅锗(SiGe)层来提高电子密度,利用栅极下的沟道区域中的硅原子的晶格趋向相互整齐排列的性质,扩展硅原子的间隔,减少作为形成源漏电流的电子与硅原子的冲突,增大电子的移动度的技术。
根据此技术,由于电子流过时的阻抗减小,所以能够使电子高速移动。因此,如果把变形硅技术应用在晶体管中,则可以实现能够高速动作的晶体管。为了把变形硅技术应用在晶体管中,可采用在N沟道晶体管上形成例如SiN膜,然后,例如实施热退火或照射卤素光,对硅基板赋予变形的方法。
在本实施方式中,也可以使用图1或图6所示的半导体制造装置。其中,取代灯3而使用照射例如341nm波长的光的I2灯,取代灯21而使用照射例如282nm波长的光的XeBr灯、或照射例如308nm波长的光的XeCl灯。
在本实施方式中,利用来自I2灯的照射光,使氢从SiN膜中脱离,然后,利用来自XeBr灯的照射光,增加SiN膜的应力。
图8是图2所示的晶片7的一部分的示意剖面图。在图8中,图示出了P型硅层51、在P型硅层51内做成的N型阱区域52、形成在N型阱区域52内的SiGe等的源极区域53和漏极区域54、形成在N型阱区域52上的栅极绝缘膜62、形成在栅极绝缘膜62上的栅电极55、形成在P型硅层51上的SiGe等的源极区域58和漏极区域59、形成在硅层51上的栅极绝缘膜63、形成在栅极绝缘膜63上的栅电极60、形成在栅电极55、60上的SiO2膜56、61、和形成在SiO2膜56、61上的成为侧壁的SiN膜57。
源极区域53和漏极区域54侧的晶体管是P沟道晶体管,源极区域58和漏极区域59侧的晶体管是N沟道晶体管。这样的晶片7是利用扩散炉、离子注入装置、以及化学气相蒸镀(Chemical Vapor Deposition System:CVD)装置来形成。
该晶片7,由来自上述I2灯的照射光,把SiN膜57内的氢成分等降低70%左右,由来自XeBr灯的照射光,进一步除去残留在SiN膜57内的氢,在SiN膜57内,成为几乎完全没有氢的状态。其结果,提高了SiN膜57的机械强度。
图9是除去了图8所示的晶片7的SiN膜的一部分后的示意剖面图。在上述光照射处理之后,除去SiN膜57中的P沟道晶体管侧。这样,做成了变形硅器件。
另外,如果在与本实施方式的情况相同的条件下,使用半导体制造装置进行处理,还可降低SiN覆盖层绝缘膜的氢浓度,从而可降低DRAM的因覆盖层膜中的氢造成的栅-漏极漏电流,可减少不良保留。
(实施方式4)
图10是本发明的实施方式4的第1室1的示意结构图。该第1室1适合在使用了波长为400nm以上的卤素灯的情况下使用。
如图10所示,本实施方式为了冷却卤素灯3而使用了冷却水22。这里,卤素灯3利用灯光在短时间内将Si晶片上的绝缘膜加热,来除去氢。
然后,在第2室2中,通过从308nm的XeCl灯照射UV光,来增大应力。
(实施方式5)
图11是本发明的实施方式5的半导体制造装置的示意结构图。这里,对用SOD膜做成Low-k膜的情况的例进行说明。
首先,在具有旋转涂敷SOD膜的涂敷装置的室101内,在形成于例如300nm厚的晶片上的布线上,涂敷例如500nm的SOD膜。
然后,把该晶片移动到具有用于使SOD膜的溶剂挥发的烘干台的室102中,通过在约200℃的温度下进行烘干,从而使溶剂挥发。
然后,把该晶片移动到具有用于使溶剂和造孔剂(poregen)挥发、或者使膜强化的固化台的室103中。以约400℃的温度,进行5分钟的烘干。这样,通过使SOD膜中的溶剂或造孔剂挥发等,使膜的质地致密化。然后,进行与实施方式1等相同的处理。在此情况下,Low-k膜的介电常数为2.3以下,杨氏模量为6GPa以上。
(实施方式6)
图12是本发明的实施方式6的成为半导体器件的晶片7的一部分的示意剖面图。这里,说明对晶片7内的High-k膜73进行UV退火处理的示例。
该晶片7在硅晶片71上形成例如1nm厚度的富含SiO2的边界层72。边界层72上形成有例如5nm厚度的由HfO2等构成的High-k膜73。在High-k73膜上形成有由多晶硅等构成的电极74。另外,High-k膜73是通过在例如800℃的温度下,进行约10分钟的N2气体/O2气体来形成。
在第1室1中,从距离晶片100~200mm的,波长约为308nm的XeCl灯4,以约5~15mW/cm2的照度,进行2~4分钟左右的光照射。
然后,在第2室2中,从距离晶片100~200mm的波长约为172nm的Xe灯4,以约4~8mW/cm2的照度,进行1~3分钟左右的光照射。
在第1室1和第2室2中,压力约为1Torr的减压状态,温度约为500℃,包含氮气的各种惰性气体气氛。
并且,清洗处理是在约1Torr的减压下,以例如100cc/分钟的比例的供给量供给氧气,点亮UV灯来进行处理。然后,在例如425℃的温度下,进行30分钟左右的成型(forming)气体(N2气体/H2气体)处理。
其结果,可以把边界层72中的电荷密度减少到1×1012/cm3,而且还可以减少HfO2膜的漏电流。
(实施方式7)
但是,在上述各个实施方式中,说明了使用了照射2种波长的光的灯的半导体制造装置,但如结合图3、图4说明的那样,通过规定灯的波长,可进行绝缘膜的改质。
在SiN膜的情况下,存在H-N、H-Si等的与氢相关的结合基。为了切断这些结合基所必要的波长分别是353nm、399nm。另外,约240nm是与吸收端对应的波长。由此,对于SiN膜,如果照射180nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
在SiCH膜的情况下,存在H-N、C-H、H-Si等的与氢相关的结合基。为了切断这些结合基所必要的波长分别是353nm、353nm、399nm。另外,约265nm是与吸收端对应的波长。由此,对于SiCH膜,如果照射180nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
在SiCNH膜的情况下,存在H-N、C-H、H-Si等的与氢相关的结合基。为为了切断这些结合基所必要的波长分别是274nm、353nm、353nm,399nm。另外,约265nm是与吸收端对应的波长。由此,对于SiCNH膜,如果照射274nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
在SiOCNH膜的情况下,存在H-O、H-N、C-H、H-Si等的与氢相关的结合基。为了切断这些结合基所必要的波长分别是280nm、353nm、353nm、399nm。另外,约156至263nm是与吸收端对应的波长,但考虑到C和N的浓度在一定的百分比以上,所以认为与吸收端对应的波长为180nm左右。因此,对于SiOCNH膜,如果照射180nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
在SiOCH膜的情况下,存在H-O、H-N、C-H、H-Si等的与氢相关的结合基。为了切断这些结合基所必要的波长分别是280nm、353nm、353nm、399nm。另外,约156nm是与吸收端对应的波长。由此,对于SiOCH膜,如果照射156nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
在SiON膜的情况下,存在H-O、N-H、H-Si等的与氢相关的结合基。为了切断这些结合基所必要的波长分别是280nm、353nm、399nm。另外,约263nm是与吸收端对应的波长。由此,对于SiON膜,如果照射263nm以上400nm以下波长的光,则可提高绝缘膜的机械强度,而且可降低介电常数。
(实施方式8)
图17是设置在第1室1和第2室2内的用于防止晶片7的位置偏移的防止环8A的示意结构图。另外,在图17中,还示出了上述的晶片7和加热器6。
本发明的实施方式8的第1室1和第2室2可以防止晶片7因带有静电而发生位置偏移的情况。另外,为了除去静电,也可以把环8A做成为除电环。防止环8A是在位于加热器6上且包围晶片7的周边的状态下使用。
这里,在从灯3向晶片7照射紫外光光时,由此在晶片7与加热器6之间产生正负电荷,即静电。其结果,使晶片7与加热器6相互吸引。在此状态下,在规定的处理后为了把晶片7从加热器6分离而使升降台下降时,由于该静电会导致晶片7相对加热器6产生位置偏移。
通常,在室中设有检测该位置偏移的传感器。因此,当位置偏移达到规定量时,该传感器做出反应,停止制造工序。这样,不能进行连续的处理,使得制造产量下降。
因此,如上述那样在第1室1和第2室2中设置防止环8A,使得即使晶片7发生偏移上述传感器也没有反应,并且利用防止环8A的内壁固定晶片7。另外,在做成除电环8A的情况下,只要至少把表面使用多晶硅、单晶硅或铝等做成即可。
另外,除电环8A的形状不限于图17所示的形状,例如也可以是直方体、立方体等形状。只要把这种除电体配置在加热器6上的不妨碍晶片7的搬入/搬出的位置即可。不过,如果如图18所示那样,采用大致彩虹状的多个除电环片8B,则由于容易把晶片7搬入由除电环片8B所包围的位置,所以不容易产生晶片7的位置偏移。无论是直方体等除电体还是除电环片8B,都比除电环8A容易制作。
另外,只要能够除去所产生的静电,不是必须具有除电环8A等。例如,也可以取代除电环8A等或在具有除电环8A的同时,设置销8作为除电销。除电销只要至少把表面使用多晶硅、单晶硅或铝等制作即可。
同样,在加热器6等的表面上,也可以形成多晶硅薄膜、非结晶硅薄膜、SiN薄膜、SiC膜或SiOC膜。对于薄膜的厚度没有限定,作为一例,可以是500~10000埃左右。
例如,对于多晶硅薄膜,采用等离子CVD法、溅射法或减压CVD法,对加热器6施加例如562W的380KHz的高频波,在基板表面温度为350℃、压力为0.6Torr的环境下,以100cc/min流过SiH4,可形成约5000~10000埃厚度。对于SiN薄膜,采用等离子CVD法、溅射法或减压CVD法,对加热器6施加例如562W的380KHz高频波,在基板表面温度为350℃、压力为0.6Torr的环境下,以100cc/min流过SiH4,以5000cc/min流过NH3,可形成3000~5000埃厚度。
在加热器6等的表面上形成了SiN薄膜的情况下,如果使用富含硅的薄膜,则由于容易流过电流,所以希望晶片7不容易被吸在加热器6上。特别是在加热器6等的表面上形成了SiC膜或SiOC膜的情况下,还可以获得能够防止加热器6或除电环8A的铝成分等污染晶片7的附带效果。
(实施方式9)
图19~图21是表示图8、图9所示的晶片7的制造工序的变形例的图。这里,对在P沟道晶体管做成压缩膜,在N沟道晶体管做成拉伸膜的方法进行说明。
在本实施方式中,首先,在晶片7的源极区域53和漏极区域54侧的晶体管,即,P沟道晶体管上形成紫外光吸收材料的约100nm厚度的多晶硅薄膜64。在此状态下,对P沟道晶体管和N沟道晶体管,在例如400℃的温度下,照射5分钟的照度为14mW/cm2的低压汞灯的UV光(图19)。
由此,N沟道晶体管侧的SiN膜57具有约1.5GPa的拉伸应力。另外,关于紫外光吸收材料,只要具有用于实现该吸收的带隙,且能耐受约400℃的加热,则不限于多晶硅。
然后,除去形成在P沟道晶体管上的多晶硅薄膜64(图20)。由此,只有N沟道晶体管侧的SiN膜57具有拉伸应力。
然后,使用厚的抗蚀膜65覆盖N沟道晶体管,使用离子注入机向P沟道晶体管侧的SiN膜57的中心,以例如5×1015的剂量注入N+离子(图21)。此时,N沟道晶体管侧的SiN膜57由于被抗蚀膜65保护,所以不发生应力的变化。另一方面,P沟道晶体管侧的SiN膜57,其应力被压缩,成为约1GPa的大小。
然后,通过除去覆盖N沟道晶体管的抗蚀膜65,成为图8所示的晶片7。
实施例
(实施例1)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过Low-k膜33的处理,实际制造了半导体器件。
第1室1的灯3:使用4个波长约为约300nm以上770nm以下的高压汞灯,照度约为8mW/cm2,照射时间约4分钟,
第2室2的低压汞灯:使用4个波长约为186nm、和约254nm的灯,照度约为3mW/cm2,照射时间约1分钟,
第1室1和第2室2:1Torr的减压状态,温度约为400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成厚度约为300nm的SiOCH膜。
其结果,表示晶片7的机械强度的杨氏模量成为8GPa,介电常数成为2.4。
(实施例2)
使用图6或图17等所示的半导体制造装置,在以下的条件下,经过Low-k膜33的处理,实际制造了半导体器件。
灯3:使用4个波长约为300nm以上770nm以下的高压汞灯,照度约为4mW/cm2,照射时间约4分钟,
灯21:使用4个波长约为186nm、和约254nm的低压汞灯,照度约为3mW/cm2,照射时间约1分钟,
室:1Torr的减压状态,温度约为250℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成厚度约为300nm的SiOCH膜。
其结果,表示晶片7的机械强度的杨氏模量成为8GPa,介电常数成为2.4。
(实施例3)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过Low-k膜33的处理,实际制造了半导体器件。
第1室1内的灯3:使用4个波长约为341nm的I2灯,照度约为13mW/cm2,照射时间约2分钟,
第2室2内的灯:使用4个波长约为282的XeBr灯,照度约为13mW/cm2,照射时间约2分钟,
第1室1:1Torr的减压状态,温度约为400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
第2室2:1Torr的减压状态,温度约为400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成DRAM,在覆盖层SiO2上形成厚度约为300nm的覆盖层SiN膜。
其结果,可降低覆盖层SiN膜57的氢浓度,可减少DRAM的栅漏区域的漏电流,可延长数据保留时间,并可减少次品率。
(实施例4)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过SiN膜57的处理,实际制造了半导体器件。
第1室1内的灯3:使用4个波长约为341nm的I2灯,照度约为13mW/cm2,照射时间约2分钟,
第2室2内的灯:使用4个波长约为308的XeCl灯,照度约为13mW/cm2,照射时间约2分钟,
第1室1:1Torr的减压状态,温度约为250℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
第2室2:1Torr的减压状态,温度约为350℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成DRAM,在晶体管上形成厚度约为300nm的侧壁SiN膜。
测定半导体制造装置的处理前后的机械强度的结果,相对在处理前的2×109dyne/cm2的拉伸应力,在处理后是2×1010dyne/cm2的拉伸应力。其结果,栅漏电流增大。
(实施例5)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过Low-k膜33的处理,实际制造了半导体器件。
第1室1的卤素灯:使用4个波长约为400nm以上、770nm以下的灯,照度约为15mW/cm2,照射时间约2分钟,
第2室2的低压汞灯:使用4个波长约为186nm和254nm的灯,照度约为3mW/cm2,照射时间约2分钟,
第1室1和第2室2:1Torr的减压状态,温度约为400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成厚度约为300nm的SiOCH膜。
其结果,表示晶片7的机械强度的杨氏模量成为8GPa,介电常数成为2.4。
(实施例6)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过SOD膜33的处理,实际制造了半导体器件。
第1室1内的灯3:使用4个波长约为308nm的XeCl的灯,照度约为10mW/cm2,照射时间约4分钟,
第2室2内的灯:使用4个波长约为172nm的Xe灯,照度约为4mW/cm2,照射时间约1分钟,
第1室1和第2室2:1Torr的减压状态,温度约为350℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成厚度约为300nm的SOD膜。
其结果,表示晶片7的机械强度的杨氏模量成为8GPa,介电常数成为2.3。
(实施例7)
使用图1或图17等所示的半导体制造装置,在以下的条件下,经过HfO2膜33的处理,实际制造了半导体器件。
第1室1内的灯3:使用4个波长约为308nm的XeCl的灯,照度约为10mW/cm2,照射时间约4分钟,
第2室2内的灯:使用4个波长约为172nm的Xe灯,照度约为4mW/cm2,照射时间约1分钟,
第1室1和第2室2:1Torr的减压状态,温度约为500℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成厚度约为1nm的富含SiO2的边界层、和形成在边界层上的厚度约5nm的HfO2膜。
其结果,能够把边界层中的电荷浓度减少到1×1012/cm2,而且还降低了HfO2膜的漏电流。
(实施例8)
使用图6或图17等所示的半导体制造装置,实际制造了半导体器件。在本实施例中,对把形成在图13所示的Cu布线层21上的阻挡层绝缘膜(SiOC膜)22做成高密度的例进行说明。
灯:使用4个波长约为222nm的KrCl2灯,照度约为4~15mW/cm2,照射时间约1~2分钟,与晶片7的距离约为10~20cm,
室:1Torr的减压状态,温度约为300~400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,如图13所示,在Cu布线层21上形成厚度约为30nm的作为阻挡膜的SiOC膜22。
对于这样改质的SiOC膜22,即使进行约400℃的温度下3小时的加热处理,由于SiOC膜22为高密度,所以从SiOC膜22几乎没有漏电流流过。
(实施例9)
使用图6或图17等所示的半导体制造装置,实际制造了半导体器件。在本实施例中,对把PE-CVDSiN膜24做成高密度的例进行说明,该PE-CVDSiN膜24,是在把隔着Low-k膜(SiOC膜)22形成在图14所示的Cu布线层21上的阻挡层绝缘膜23开口后,堆积而成。
灯:使用4个波长约为308nm的XeCl灯,照度约为4~15mW/cm2,照射时间约1~2分钟,与晶片7的距离约为10~20cm,
室:1Torr的减压状态,温度约为300~400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,如图14所示,从基板侧形成Cu布线层21、厚度约为30nm的作为Low-k膜的SiOC膜22、阻挡层绝缘膜23、和PE-CVDSiN膜24。
对于这样改质的PE-CVDSiN膜24,即使对如图15所示那样形成了作为扩散防止金属25、26的钽/氮化钽(Ta/TaN)膜,并且在通孔内形成了Cu布线层27的晶片7,进行约400℃的温度下3小时的加热处理,由于形成通孔的侧面的PE-CVDSiN膜24为高密度,所以扩散防止金属25、26内的Ta不会扩散到SiOC膜22中。
(实施例10)
另外,在具有浅沟道构造的元件分离(Shallow Trench Isolation:STI)区域的DRAM中,如果对字线施加负偏置电压,则栅极-漏极之间的漏电流增大,因此发生数据的不良保留。而且,在进行250℃的封装处理时,也会产生这些现象。
可知这样的现象的原因是因为覆盖层SiN膜中的氢。由于该氢在栅极与漏极重合的区域的沟道区域的禁止带中产生阱。
在本实施例中,使用图6或图17等所示的半导体制造装置,实际制造了半导体器件。这里,对把覆盖层PE-CVDSiN膜84做成高密度的例进行说明,该覆盖层PE-CVDSiN膜84,对形成在图16所示的硅晶片81中的晶体管82上的覆盖层SiO2膜83进行覆盖。
灯:使用4个波长约为308nm的XeCl灯,照度约为4~15mW/cm2,照射时间约1~2分钟,与晶片7的距离约为10~20cm,
室:1Torr的减压状态,温度约为300~400℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,如图15所示,形成有晶体管82等。
对这样改质的覆盖层PE-CVDSiN膜84内的氢浓度的测定结果,相对改质前的约30%,在改质后成为10%。并且,如果通过变更覆盖层PE-CVDSiN膜84在CVD工序中的压力,从而换成覆盖层LP-CVDSiN膜时,则相对改质前为约25%,在改质后成为约1%。
(实施例11)
在本实施例中,对实施例4的变形例进行说明。使用图6或图17等所示的半导体制造装置,在以下的条件下经过HfO2膜33的处理,实际制造了半导体器件。
灯:使用4个波长约为282nm的XeBr灯,照度约为5~13mW/cm2,照射时间约3分钟,
室:1Torr的减压状态,温度约为250℃、包含氮气的各种惰性气体气氛、并且,清洗条件为在1Torr的减压下,100cc/分钟的氧气供给量,
晶片7:直径约为300mm,形成有厚度约300nm的成为侧壁的LP-SiN膜。
半导体制造装置的处理前后的机械强度的测定结果表明,与实施例4同样,相对处理前的2×109dyne/cm2的拉伸应力,在处理后是2×1010dyne/cm2的拉伸应力。其结果,源极-漏极电流增大。

Claims (7)

1.一种半导体制造装置,包括:
反应室,具有:照射机构,其对绝缘膜照射光,该光的波长在与该绝缘膜的吸收端对应的波长以上,且在为了切断与该绝缘膜的氢相关的结合基所需要的波长以下;加热器,其加热具有上述绝缘膜的晶片;和防止除去机构,其用于防止基于通过从上述照射机构照射光而在上述晶片与上述加热器之间产生的静电所造成的、该晶片相对该加热器的位置偏移,和
在进行上述光的照射时,使上述反应室内形成氮气气氛或惰性气体气氛的机构。
2.根据权利要求1所述的半导体制造装置,其特征在于,
上述绝缘膜是SiOCH膜,
上述照射机构照射156nm以上500nm以下波长的光。
3.根据权利要求1所述的半导体制造装置,其特征在于,
上述绝缘膜是SiOCNH膜,
上述照射机构照射180nm以上500nm以下波长的光。
4.根据权利要求1所述的半导体制造装置,其特征在于,
上述绝缘膜是SiCH膜或者SiCNH膜,
上述照射机构照射180nm以上500nm以下波长的光。
5.根据权利要求1所述的半导体制造装置,其特征在于,
上述绝缘膜是SiN膜,
上述照射机构照射240nm以上500nm以下波长的光。
6.一种半导体制造装置,还具有搬送具有上述绝缘膜的晶片的搬送装置。
7.一种半导体制造方法,包括:
照射步骤,对绝缘膜照射光,该光的波长在与该绝缘膜的吸收端对应的波长以上,且在为了切断与该绝缘膜的氢相关的结合基所需要的波长以下;
在进行上述照射时,使上述绝缘膜处于氮气气氛或惰性气体气氛下的步骤;
在进行上述照射时,加热具有上述绝缘膜的晶片的步骤;和
防止基于在上述晶片与上述加热器之间产生的静电所造成的、该晶片相对该加热器的位置偏移的步骤。
CNA200680037849XA 2005-10-14 2006-04-24 半导体装置以及制造方法 Pending CN101283442A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005299971 2005-10-14
JP299971/2005 2005-10-14

Publications (1)

Publication Number Publication Date
CN101283442A true CN101283442A (zh) 2008-10-08

Family

ID=37942470

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA200680037849XA Pending CN101283442A (zh) 2005-10-14 2006-04-24 半导体装置以及制造方法

Country Status (6)

Country Link
US (1) US20090039475A1 (zh)
JP (1) JPWO2007043206A1 (zh)
KR (1) KR101060825B1 (zh)
CN (1) CN101283442A (zh)
TW (1) TW200733233A (zh)
WO (1) WO2007043206A1 (zh)

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
JP2007200961A (ja) * 2006-01-24 2007-08-09 Sharp Corp 半導体装置およびその製造方法
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9456925B2 (en) * 2007-09-06 2016-10-04 Alcon Lensx, Inc. Photodisruptive laser treatment of the crystalline lens
US7906817B1 (en) 2008-06-06 2011-03-15 Novellus Systems, Inc. High compressive stress carbon liners for MOS devices
US7998881B1 (en) 2008-06-06 2011-08-16 Novellus Systems, Inc. Method for making high stress boron-doped carbon films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8288292B2 (en) 2010-03-30 2012-10-16 Novellus Systems, Inc. Depositing conformal boron nitride film by CVD without plasma
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
WO2015108065A1 (ja) * 2014-01-15 2015-07-23 東京エレクトロン株式会社 成膜方法及び熱処理装置
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9735005B1 (en) 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
JP6711673B2 (ja) * 2016-04-06 2020-06-17 キヤノン株式会社 光電変換装置、光電変換装置の製造方法及び撮像システム
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10043892B2 (en) * 2016-06-13 2018-08-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing a semiconductor device
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR101866512B1 (ko) 2017-04-13 2018-07-04 (주)앤피에스 기판 처리 장치 및 이를 이용한 기판 처리 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232248B1 (en) * 1998-07-03 2001-05-15 Tokyo Electron Limited Single-substrate-heat-processing method for performing reformation and crystallization
JP3582584B2 (ja) * 1999-09-14 2004-10-27 東京エレクトロン株式会社 基板処理方法
JP4680350B2 (ja) * 2000-06-26 2011-05-11 東京エレクトロン株式会社 枚葉式処理装置
US6652656B2 (en) * 2001-07-24 2003-11-25 Tokyo Electron Limited Semiconductor wafer holding assembly

Also Published As

Publication number Publication date
JPWO2007043206A1 (ja) 2009-04-16
KR101060825B1 (ko) 2011-08-30
WO2007043206A1 (ja) 2007-04-19
US20090039475A1 (en) 2009-02-12
TW200733233A (en) 2007-09-01
KR20080043844A (ko) 2008-05-19

Similar Documents

Publication Publication Date Title
CN101283442A (zh) 半导体装置以及制造方法
US7381595B2 (en) High-density plasma oxidation for enhanced gate oxide performance
US9431237B2 (en) Post treatment methods for oxide layers on semiconductor devices
US6897149B2 (en) Method of producing electronic device material
EP1422752B1 (en) Forming method and forming system for insulation film
US20050161434A1 (en) Method for forming insulation film
US20070077777A1 (en) Method of forming a silicon oxynitride film with tensile stress
JPWO2013065771A1 (ja) 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
TW201511132A (zh) 使用有機胺基矽烷退火形成SiOCH膜的方法
JP2010206161A (ja) 成膜方法および半導体装置の製造方法
TW201515103A (zh) 用於穩定界面後蝕刻以盡量減少下一處理步驟前佇列時間問題的方法
TW201543572A (zh) 用於在薄膜堆疊中精確置入氮的氨環境中之毫秒退火
KR102141670B1 (ko) 저온 경화 모듈러스 강화
US20230411150A1 (en) Cyclic Spin-On Coating Process for Forming Dielectric Material
JP2001189275A (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法
US7160818B2 (en) Semiconductor device and method for fabricating same
TWI793115B (zh) 絕緣膜之成膜方法、絕緣膜之成膜裝置及基板處理系統
JP2007214156A (ja) 半導体デバイス
JPWO2007043205A1 (ja) 照射装置、照射方法及び半導体デバイス
JP2007324170A (ja) 照射装置及び照射装置を用いた半導体製造装置
JPWO2008018419A1 (ja) 半導体製造装置及び半導体製造方法
KR100549584B1 (ko) 반도체 소자의 절연막 제조 방법
JP2001176806A (ja) 半導体膜形成方法及び薄膜半導体装置の製造方法
TW202027198A (zh) 用於形成過渡金屬材料的群集處理系統
Li Metal-oxide-semiconductor structures on gallium arsenide and gallium nitride

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20081008