TW201511132A - 使用有機胺基矽烷退火形成SiOCH膜的方法 - Google Patents

使用有機胺基矽烷退火形成SiOCH膜的方法 Download PDF

Info

Publication number
TW201511132A
TW201511132A TW103127734A TW103127734A TW201511132A TW 201511132 A TW201511132 A TW 201511132A TW 103127734 A TW103127734 A TW 103127734A TW 103127734 A TW103127734 A TW 103127734A TW 201511132 A TW201511132 A TW 201511132A
Authority
TW
Taiwan
Prior art keywords
decane
film
low
substrate
chamber
Prior art date
Application number
TW103127734A
Other languages
English (en)
Other versions
TWI613724B (zh
Inventor
Dai Ishikawa
Kiyohiro Matsushita
Akinori Nakano
Shintaro Ueda
Hirofumi Arai
Original Assignee
Asm Ip Holding Bv
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Ip Holding Bv filed Critical Asm Ip Holding Bv
Publication of TW201511132A publication Critical patent/TW201511132A/zh
Application granted granted Critical
Publication of TWI613724B publication Critical patent/TWI613724B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明揭露一種於基板上形成經改良之具低介電常數(k)的SiOCH膜之方法。該方法包括:提供一低k SiOCH膜,其係藉由流動式化學氣相沉積法而形成於基板上;將低k SiOCH膜暴露至一氣體,其分子含有Si-N鍵,而未施加電磁能使得在膜內增加Si-O鍵及/或Si-C鍵;以及隨後將此低k SiOCH膜固化。

Description

使用有機胺基矽烷退火形成SiOCH膜的方法
本發明大體而言為關於在基板上形成碳摻雜氧化矽(carbon-doped silicon oxide;SiOCH)膜之方法,具體而言係有關於藉由有機胺基矽烷退火製程形成改良之SiOCH膜的方法。
隨著大型積體電路(large scale integration;LSI)裝置佈線間距之小型化,由增加之佈線電容(wiring capacitance)所造成之信號延遲已成為問題。為了降低佈線電容,已有實施試圖降低層間膜之介電常數(dielectric constant),且其結果為,使用膜內具有孔隙之多孔SiOCH膜。
近來,不同於常規銅佈線所使用之金屬鑲嵌製程(damascene process),考慮一形成金屬線之方法,並於其間嵌入低k膜(例如SiOCH膜)。針對此方法,需要於低溫下形成高度流動膜之方法。
於形成流動式低k膜時,必須於膜形成後移除包含於膜內之乙醇及碳氫化合物,其係藉由進行如紫外線或熱退火固化,以形成強Si-O鍵。流動式低k膜包括大量之碳氫化合物、乙醇、未反應前驅物、及Si-OH鍵。由於該些組分於固化期間發生脫附(desorption)或交聯,出現廣範圍 之膜收縮。其結果為,由於嵌入具有窄間距之溝槽之膜產生空隙,絕緣膜失去功能性,其成為問題。
此外,欲改進膜安定性,若延長紫外線固化時間,膜收縮加劇,其可造成線斷裂、線崩潰、及膜破裂。因此,業界亟需使膜收縮減少之技術,以改進膜品質。
本發明所涵蓋之涉及相關技術之問題及解決方案之任何討論,目的僅於提供用於本發明之論述,且不應視為承認所論及之任一者或全部於本發明提出時即為習知技藝。
在一些具體實施例中,於沉積具有高流動性之低k膜(如SiOCH膜)後,該膜係進行一步驟,即將該膜暴露於反應氣體(如有機胺基矽烷)中,使其-OH基反應。由於高度流動式SiOCH膜含有許多不穩定基團(如乙醇基、羥基),藉由導入上述反應氣體,可以穩定的Si-Me基替代不穩定的基團,或者可經由有機胺基矽烷形成Si-O鍵,從而減少組分於固化步驟期間之解離及遠離之量,並增強含有Si-O鍵之骨架,且其結果為,增加膜內含有Si-O鍵組分之量、抑制膜收縮、及增加膜強度。
此外,在一些具體實施例中,在將此膜暴露於反應氣體步驟之前或之後,藉由增加一氧化步驟,其中此膜係暴露至氧化周圍環境以形成矽醇(Si-OH)基,接著藉由一有機胺基矽烷暴露步驟,可增加含有Si-O鍵組分之量,從而進一步抑制膜收縮及增加膜強度。
在一些具體實施例中,於氧化步驟中,藉由於氧化周圍環境 中使用氧電漿、臭氧產生器、及/或紫外線照射以產生臭氧等,將膜氧化。
前述之氧化步驟及暴露步驟可進行一次或重複多次。
所得之膜可藉由一後續之熱退火步驟及一後續之利用紫外線之固化步驟而實行改良,直到此膜呈現所需要之強度。
在另一態樣中,進一步針對減少膜之熱收縮及確保膜品質之安定性,於集群腔室(clustered chambers)中加工基板,以進行低k膜之成型、退火、及紫外線固化,其中於其上形成低k膜之基板係於惰性氣體周圍環境中持續輸送至退火室。藉此,基板係進行退火而未暴露於空氣,從而抑制由氧化及濕氣吸收導致之膜品質改變。此外,不同過程間(Q時控制)可進行定時管理(constant time management),因此,於定時管理下,控制腔室之熱收縮所導致之膜品質改變,從而產生基板間具有低變異之膜。
考量另一態樣,藉由於有機胺基矽烷暴露步驟之前以遠端電漿單元進行氧化步驟,以明顯改進此膜之彈性模數(elastic modulus)。
在又另一態樣,藉由進行雙步驟紫外線固化(低溫紫外線固化及高溫紫外線固化),可進一步減少膜收縮。
為摘錄本發明之態樣及達到優於相關技術之優點,本發明之特定目的及優點係描述於本文中。當然,可理解到,所有之此類目的或優點不一定可依據本發明之任何具體實施例實現。因此,舉例而言,熟習本領域之技術人員應理解到,可以一方式體現或進行本發明,該方式實現或優化本文所教導之一優點或一組優點,而不一定實現本文可能教導或建議之其他目的或優點。
本發明之進一步態樣、特性、及優點將因下列詳盡說明而顯 見。
1‧‧‧基座
2‧‧‧固化室
3‧‧‧紫外線固化單元
4‧‧‧進氣管
5‧‧‧配氣嘴
6‧‧‧光閘
7‧‧‧壓力調節閥
8‧‧‧基板
31、31’‧‧‧圖案化矽基板
32、32’‧‧‧間隙填充氧化矽膜
33、33’‧‧‧溝槽
34‧‧‧空隙
41‧‧‧輸送室
42‧‧‧成膜室
43‧‧‧退火室
44‧‧‧固化室
45‧‧‧晶圓預備室
46‧‧‧晶圓預備室
現將參考較佳具體實施例之圖式示闡述本發明之這些及其他特性,其係旨在說明而非侷限本發明。圖式係明顯簡化以用於說明且不一定按比例繪製。
圖1顯示以本發明之具體實施例之有機胺基矽烷退火形成之摻碳氧化矽膜(實線)及不以有機胺基矽烷退火形成之摻碳氧化矽膜(虛線)之傅立葉轉換紅外線(Fourier Transform Infrared;FTIR)光譜。
圖2為以本發明之具體實施例之有機胺基矽烷退火形成之摻碳氧化矽膜及不以有機胺基矽烷退火形成之摻碳氧化矽膜之固化收縮(%)示意圖。
圖3顯示:(a)以本發明之具體實施例之有機胺基矽烷退火於圖案化矽基板上形成之間隙填充氧化矽膜之剖面圖之掃描式電子顯微鏡(scanning electron microscope;SEM)照片示意圖,及(b)不以有機胺基矽烷退火於圖案化矽基板上形成之間隙填充氧化矽膜之剖面圖之掃描式電子顯微鏡(SEM)照片示意圖。
圖4為本發明之具體實施例之集群室示意圖。
圖5為使用本發明之具體實施例之輸送室(◆)及不使用輸送室(□)時同批加工基板之固化膜厚度(a.u.)與累積數間之關係之顯示圖。
圖6為藉由流動式CVD之如沉積之低k SiOCH膜之厚度 (a.u.)與加工基板之暴露時間之間關係之顯示圖。
圖7為本發明之一具體實施例,其中於有機胺基矽烷退火之前進行氧化步驟,及本發明之另一具體實施例,其中不進行氧化步驟,之膜彈性模數顯示圖。
圖8為用於本發明之具體實施例之紫外線照射裝置示意圖。
圖9為參考具體實施例之固化收縮(%)與固化時間(秒)間之關係之顯示圖。
圖10為參考具體實施例之漏電流(leakage current)(A/cm2)與電場(MV/cm)間之關係之顯示圖。
在本發明中,「氣體」可包括汽化之固體及/或液體,且可由單一氣體或氣體之混合物構成。同樣地,「一」或「一者」等詞是指一種類或包括多種類之屬(genus)。在本發明中,通過花灑或進氣口導入反應室之加工氣體為用於處理基板之氣體,且可包含、基本上組成自、或組成自含矽氣體及載體氣體如稀有氣體。加工氣體以外之氣體,即無須通過花灑導入之氣體,可用於如密封反應空間,其包括密封氣體如稀有氣體。在一些具體實施例中,「膜」是指持續以垂直於厚度方向之方向而延伸之層,其實質上無須針孔以覆蓋完整靶材或關注面,或僅需一覆蓋靶材或關注面之層。在一些具體實施例中,「層」是指一結構,其具有特定厚度形成於表面、或膜之同義詞、或非膜結構之上。膜或層可由具有特定特性之分離之單一膜或層,或多重膜或層構成,且相鄰之膜或層間之邊界可或可不明顯,並 且可基於相鄰之膜或層之物理、化學、及/或任何其他特性、形成過程或序列、及/或功能或目的建立。此外,在本發明中,一變項之任二個數可構成該變項之一可行範圍,而可行範圍可根據常規作業決定,且任何指出之範圍可包括或排除端點。此外,任何指出之變項數值(不論是否其以「約」指出)可指精確值或近似值且包括等價物,且於一些具體實施例中,可指平均值、中位數、代表數、多數等。
在本發明之未指定之條件及/或結構中,熟習本領域之技術人員可基於本發明而易於提供此類條件及/或結構,以進行常規實驗。
在所有揭示之具體實施例中,用於具體實施例之任何元件可以其等效之任何元件替代,包括針對預期目的而明確地、必要地、或本質上揭示於此者。此外,本發明可同樣應用於裝置及方法。
在本發明中,一些具體實施例之任何經定義之意義不一定排除普通及習慣意義。
本具體實施例將以較佳之具體實施例闡釋。然而,本發明未侷限於該較佳之具體實施例。
在一些具體實施例中,一於基板上形成改良之低k SiOCH膜之方法,包含:(i)提供一低k SiOCH膜,其係藉由流動式CVD而於基板上形成;(ii)將低k SiOCH膜暴露至一氣體,其含有Si-N鍵於其分子,以於膜增加Si-O鍵及/或Si-C鍵;以及(iii)將得自步驟(ii)之低k SiOCH膜固化。
在本發明中,「含有Si-N鍵」可指其特徵在於Si-N鍵或Si-N 鍵結、具有實質上由Si-N鍵或Si-N鍵結構成之主要骨架、及/或具有實質上由Si-N鍵或Si-N鍵結構成之取代基。在本發明中,「固化」是指一過程,期間發生化學反應(如聚合或交聯)及/或物理作用(如汽化或移除揮發性組分),造成膜基體更硬、更堅韌、及/或更安定連接。在本發明中,「退火」是指一過程,期間一材料係經處理以成為其安定形式,如存在於組分之端基(如乙醇基及羥基)係以更安定之基團(如Si-Me基)替代及/或形成更安定之形式(如Si-O鍵)。在一些具體實施例中,固化及退火係定義為互斥過程。於典型之退火及固化中,實質上基板上無膜形成。
步驟(ii)可指退火步驟,其為不同於步驟(iii)之分離步驟,其中於一些具體實施例中,步驟(ii)之進行無須電磁能(電磁波長之能量)如紫外線照射及RF功率施敷,而步驟(iii)係以此電磁能進行而無須含Si-N鍵之氣體。若於步驟(ii)施敷電磁能,於步驟(ii)期間且步驟(iii)之前可能發生沉積膜收縮。在步驟(ii)中,亦可減少羥基之量。在一些具體實施例中,於步驟(ii)中,Si-O鍵之量增加,且膜之揮發性組分係以非揮發性組分替代。揮發性組分包括但不限於,H2O、醇類如甲醇及乙醇、及短鏈矽醇。非揮發性組分包括但不限於,長鏈矽氧烷,及鍵結至存在於膜之Si-O骨架之烷基矽氧烷。非揮發性組分亦包括具揮發性但藉由鍵結至存在於膜之Si-O骨架而成為非揮發性之組分。減少揮發性組分及增加非揮發性組分之結果,可有效減少所得之膜之收縮。
低k SiOCH膜為由基體構成之介電膜,其實質上由Si、O、C、及H形成及/或實質上特徵在於Si、O、C、及H有或無一或多個其他元素摻雜其中。介電膜可具有介電常數約1.9至約5.0,典型而言約2.1至約 3.5,較佳為小於2.5。在一些具體實施例中,介電膜於溝槽或通孔(vias)形成,包括側壁與底面及/或平坦面,其係藉由流動式CVD或任何其他等效之薄膜沉積方法。典型而言,介電膜之厚度範圍為約50nm至約500nm(所需之膜厚度可依據膜之應用及目的等選擇認為適用者)。流動式CVD法為一藉由CVD一液體狀膜之沉積方法,其實質上以高縱橫比(如大於1:2或1:5)自由流入間隙如溝槽及通孔,並自底部填滿間隙而實質上無空隙(於固化前)。
在一些具體實施例中,含有Si-N鍵之氣體為反應至羥基之氣體,且含有烷基。在一些具體實施例中,氣體為有機胺基矽烷,且有機胺基矽烷可為一或多個選自於由雙二乙基胺基矽烷(BDEAS)、雙二乙基胺基甲基矽烷(BDEAMS)、雙二乙基胺基二甲基矽烷(BDEADMS)、雙二甲基胺基矽烷(BDMAS)、雙二甲基胺基甲基矽烷(BDMADMS)、雙二甲基胺基二甲基矽烷(BDMADMS)、雙三級丁基胺基矽烷(BTBAS)、二甲基胺基三甲基矽烷(DMATMS)、二甲基胺基二甲基矽烷(DMADMS)、二乙基胺基三甲基矽烷(DEATMS)、二乙基胺基二甲基矽烷(DEADMS)、三級丁基胺基矽烷(TBAS)、三級丁基胺基三甲基矽烷(TBATMS)、二二級丁基胺基矽烷(DSBAS)、參二甲基胺基矽烷(TDMAS)、參二甲基胺基甲基矽烷(TDMAMS)、雙三甲基矽基胺(BTMSA)、雙三甲基矽基甲基胺(BTMSMA)、雙二甲基矽基胺(BDMSA)、雙二甲基矽基甲基胺(BDMSMA)、參三甲基矽基胺(TTMSA)、參二甲基矽基胺(TDMSA)、及前述之衍生物所組成群組之化合物。
在一些具體實施例中,含有Si-N鍵之氣體為有機矽氮烷 (organosilazane)如經烷基取代之二矽氮烷、經烷基取代之三矽氮烷、經烷基取代之四矽氮烷、經烷基取代之聚矽氮烷、經烷基取代之矽氮烷、經烷基取代之環三矽氮烷、經烷基取代之環四矽氮烷、及前述之衍生物。在一些具體實施例中,有機胺基矽烷是指含有Si-N鍵之矽烷化合物及包含有機矽氮烷之碳氫化合物。
在一些具體實施例中,步驟(iii)包含以紫外線照射低k SiOCH膜或加熱低k SiOCH膜。
在一些具體實施例中,步驟(i)及(ii)係於相同腔室內持續進行。在上述中,「持續」是指於一些具體實施例中不破壞真空、不中斷時間表、或緊接其後進行下一步驟。
在一些具體實施例中,步驟(ii)係於基板溫度控制在約0°C至約200℃(典型而言約0℃至約100℃)、壓力控制在約0.5Pa至標準大氣壓力(典型而言約500Pa至約12000Pa)、步驟(ii)之時間約1秒至約60分鐘(典型而言約1分鐘至約5分鐘)、及暴露膜之周圍環境中含有Si-N鍵之氣體之濃度為約100ppm至100%(典型而言約10%至約100%)之條件下進行,其中不反應於含Si-N鍵氣體之惰性氣體如稀有氣體及/或氮氣可作為添加氣體或載體氣體。一旦含有Si-N鍵之氣體裝載至放置基板之腔室,整個步驟(ii)中氣體無須持續供應至腔室。
在一些具體實施例中,本方法進一步包含,於步驟(ii)前或後,(iia)於溫度實質上與步驟(i)的類似或相同之下氧化步驟(i)提供之低k SiOCH膜。藉由增加氧化步驟,Si-O鍵之量增加,從而進一步於步驟(iii)期間減少膜收縮。在一些具體實施例中,步驟(iia)及(ii)係 重複一次或多次(如2至10次,典型而言2至5次)。可於氧化周圍環境以紫外線照射進行步驟(iia),其係使用含氧電漿,或使用臭氧。
在一些具體實施例中,以紫外線照射進行步驟(iia)(氧化步驟),其條件為基板暴露之周圍環境含有氧氣濃度約10ppm至約100%(典型而言約50ppm至約5%),其中惰性氣體如稀有氣體及/或氮氣可作為載體氣體或添加氣體,氧氣之流速約0.1sccm至約20slm(典型而言約2sccm至約200sccm),其中總氣體流量為約100sccm至約20slm(典型而言約4slm至約10slm)、周圍環境溫度為約0℃至約100℃(典型而言約0°C至約50℃)、壓力為約1Pa至約1atm(典型而言約500Pa至約12,000Pa)、及步驟(iia)之時間為約1秒至約10分鐘(典型而言約10秒至約2分鐘)。
在一些具體實施例中,以臭氧產生器進行步驟(iia)(氧化步驟),其條件為基板暴露之周圍環境之臭氧供應濃度為約10ppm至約100%(典型而言約1%至約20%),其中惰性氣體如稀有氣體及/或氮氣可作為載體氣體或添加氣體、總流量為約100sccm至約20slm(典型而言約500sccm至約2slm)、周圍環境溫度為約0℃至約100℃(典型而言約0℃至約50℃)、壓力為約1Pa至約1atm(典型而言約500Pa至約12,000Pa)、及步驟(iia)之時間為約1秒至約10分鐘(典型而言約10秒至約2分鐘)。
在一些具體實施例中,以氧電漿進行步驟(iia)(氧化步驟),其條件為供應放置基板之腔室之氧氣流速為約1sccm至約10slm(典型而言約10sccm至約500sccm),其中惰性氣體如稀有氣體可作為載體氣體或添加氣體、總流量為約100sccm至約20slm(典型而言約200sccm至約2,000 sccm)、周圍環境溫度為約0℃至約100℃(典型而言約0℃至約50℃)、壓力為約10Pa至約2,000Pa(典型而言約200Pa至約1,000Pa)、施敷至腔室氣體之RF功率為約10W至約2,000W(典型而言約30W至約500W)、及步驟(iia)之時間為約1秒至約10分鐘(典型而言約5秒至約1分鐘)。
在一些具體實施例中,一於基板上形成改良之低k膜之方法,包含:(1)於電漿反應器之真空環境中,將圖案化基板放置於下電極(lower electrode)上,其溫度控制在約20℃;(2)將加工氣體(矽氧烷、氧化氣體、及稀有氣體)供應至反應器,並將反應器之壓力控制在指定壓力(約200Pa至約800Pa);(3)藉由施敷RF功率(約10MHz至約60MHz,約10W至約3,000W)至反應器以產生電漿;(4)由於以電漿反應於加工氣體,於圖案化基板上形成間隙填充低k膜,其中間隙填充低k膜含有乙醇、矽醇、H2O等且高度可流動;(5)於抽空反應器之後,供應含有有機胺基矽烷(或有機矽氮烷)(如二甲基胺基三甲基矽烷)之氣體,並將反應器之壓力控制在指定壓力(約0.5Pa至約1atm),從而將膜暴露至有機胺基矽烷氣體一指定時間週期,其中有機胺基矽烷反應於膜之乙醇、矽醇、H2O等,形成-O-Si(Me)x(Me為甲基,x為整數),其係基於下列反應(用於非侷限之說明目的):乙醇:CxHy-OH+(CH3)3Si-N(CH3)2 → CxHy-O-Si(CH3)3+HN(CH3)2; 矽醇:O3-Si-OH+(CH3)3Si-N(CH3)2 → O3-Si-O-Si(CH3)3+HN(CH3)2;H2O:H-OH+(CH3)3Si-N(CH3)2 → H-O-Si(CH3)3+HN(CH3)2;H-O-Si(CH3)3+(CH3)3Si-N(CH3)2 → (CH3)3-Si-O-Si(CH3)3+HN(CH3)2;(6)將基板移至紫外線反應器,其不同於電漿反應器,並於紫外線反應器之加熱台上將基板溫度調整至約200℃至約450℃;(7)將惰性氣體如氮氣、反應氣體、或彼等之混合物供應至紫外線反應器,並將紫外線反應器之壓力控制在指定壓力(約10Pa至約12,000Pa);以及(8)以紫外線照射基板上之膜一指定時間週期(約10秒至約1,200秒),從而將此膜固化。
在上述步驟中,於有機胺基矽烷退火(步驟(5))期間使有機胺基矽烷反應於膜之乙醇、矽醇、H2O等並形成矽氧烷鍵,從而於固化(步驟(8))期間減少膜收縮。然而,矽氧烷鍵構成不僅是非揮發性組分還有短鏈揮發性組分,其於固化期間之高溫條件下自膜移除,從而導致膜收縮。因此,於有機胺基矽烷退火之後,藉由進行氧化步驟,其中膜係暴露至氧化周圍環境,從而形成矽醇(Si-OH),接著藉由有機胺基矽烷退火,可增加Si-O鍵組分之量,且可以具有較低揮發性之長鏈矽氧烷鍵組分替代高揮發性之短鏈矽氧烷鍵組分,從而於固化期間減少膜收縮及增加膜強度。上述反應可表示如下(用於非侷限之說明目的):(a)藉由與氧自由基反應,將現有短鏈矽烷組分之甲基改變為羥基: -Si(CH3)3+O → -Si(CH3)2CH2 +OH
-Si(CH3)2CH2 +O → -Si(CH3)2 +CH2O
-Si(CH3)2 +OH → -Si(CH3)2OH;(b)有機胺基矽烷係與矽醇鍵反應,其形成具有較長鏈氧矽鍵之組分:-Si(CH3)2OH+(CH3)3Si-N(CH3)2 → -Si(CH3)2-O-Si(CH3)3
基於上述,於一些具體實施例中,使用藉由紫外線之氧化步驟,其係一於基板上形成改良之低k膜之方法,包含:(1)於電漿反應器之真空環境中,將圖案化基板放置於低電極上,其溫度控制在約20℃;(2)將加工氣體(矽氧烷、氧化氣體、及稀有氣體)供應至反應器,並將反應器之壓力控制在指定壓力(約200Pa至約800Pa);(3)藉由施敷RF功率(約10MHz至約60MHz,約10W至約3,000W)至反應器以產生電漿;(4)由於以電漿反應於加工氣體,於圖案化基板上形成間隙填充低k膜,其中間隙填充低k膜含有乙醇、矽醇、H2O等且高度可流動;(5)將基板移至紫外線反應器,其不同於電漿反應器,並於紫外線反應器之加熱台上將基板溫度調整至約25℃;(6)於抽空紫外線反應器之後,供應含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體,並將反應器之壓力控制在指定壓力(約0.5Pa至約1atm),從而將膜暴露至有機胺基矽烷氣體一指定時間週期,其中有機胺基矽烷反應於膜之乙醇、矽醇、H2O等,其形 成-O-Si(Me)3(Me為甲基);(7)停止有機胺基矽烷氣體流量、開始供應氧氣、及以紫外線照射膜,其中紫外線波長為約200nm以下,且紫外線照射氧化膜同時產生臭氧,從而氧化甲基及形成Si-O-Si鍵與Si-OH基(參見反應方程式(a));(8)於抽空紫外線反應器之後,再次供應含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體,從而將膜暴露至有機胺基矽烷氣體,其中有機胺基矽烷反應於膜之矽醇(Si-OH)基,其形成Si-O-Si(Me)3(Me為甲基);(9)視需求,重複步驟(7)及(8)一次或多次;(10)將基板移至不同之紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整至約200℃至約450℃;(11)將惰性氣體如氮氣、反應氣體、或彼等之混合物供應至紫外線反應器,並將紫外線反應器之壓力控制在指定壓力(約10Pa至約12,000Pa);以及(12)以紫外線照射基板上之膜一指定時間週期(約10秒至約1,200秒),從而將膜固化。
在一些具體實施例中,使用藉由臭氧產生器之氧化步驟,其係一於基板上形成改良之低k膜之方法,其中步驟(1)至(4)係與前述相同,包含:(5)於抽空紫外線反應器之後,供應含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體,從而將膜暴露至有機胺基矽烷氣體 一指定時間週期,其中有機胺基矽烷反應於膜之乙醇、矽醇、H2O等,其形成-O-Si(Me)3(Me為甲基);(6)停止有機胺基矽烷氣體流量、開始以臭氧產生器產生之臭氧供應至反應器,從而氧化甲基並形成Si-O-Si鍵及Si-OH基(參見反應方程式(a));(7)於抽空反應器之後,再次供應含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體,從而將膜暴露至有機胺基矽烷氣體,其中有機胺基矽烷反應於膜之矽醇(Si-OH)基,其形成Si-O-Si(Me)3(Me為甲基);(8)視需求,重複步驟(6)及(7)一次或多次;(9)將基板移至不同之紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整至約200℃至約450℃;(10)將惰性氣體如氮氣、反應氣體、或彼等之混合物供應至紫外線反應器,並將紫外線反應器之壓力控制在指定壓力(約10Pa至約12,000Pa);以及(11)以紫外線照射基板上之膜一指定時間週期(約10秒至約1,200秒),從而將膜固化。
在一些具體實施例中,使用藉由氧電漿之氧化步驟,其係一於基板上形成改良之低k膜之方法,其中步驟(1)至(5)與上述相同,包含:(6)停止有機胺基矽烷氣體流量、開始將氧氣供應至反應器,從而產生氧電漿,其係使用RF功率(約10MHz至約60MHz),其中 RF功率、壓力、及時間係經控制,其氧化甲基並形成Si-O-Si鍵及Si-OH基(參見反應方程式(a));(7)再次供應含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體,從而將膜暴露至有機胺基矽烷氣體,其中有機胺基矽烷反應於膜之矽醇(Si-OH)基,其形成Si-O-Si(Me)3(Me為甲基);(8)視需求,重複步驟(6)及(7)一次或多次;(9)將基板移至不同之紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整至約200℃至約450℃;(10)將惰性氣體如氮氣、反應氣體、或彼等之混合物供應至紫外線反應器,並將紫外線反應器之壓力控制在指定壓力(約10Pa至約12,000Pa);以及(11)以紫外線照射基板上之膜一指定時間週期(約10秒至約1,200秒),從而將膜固化。
在另一態樣,欲確保膜品質之安定性,於集群室加工基板,以進行低k膜之成型、退火、及紫外線固化,其中於其上形成低k膜之基板係於惰性氣體周圍環境中持續輸送至退火室。藉此,基板係進行退火而未暴露於空氣,從而抑制由氧化及濕氣吸收導致之膜品質改變。在一些具體實施例中,其包含:(i)提供一低k SiOCH膜,其藉由流動式CVD於基板上形成;(ii)將低k SiOCH膜暴露至一氣體,其含有Si-N鍵於其分子,以於膜增加Si-O鍵及/或Si-C鍵;以及(iii)固化取自步驟(ii)之低k SiOCH膜,步驟(i)係於反應室進行、步驟(ii)係於退火室進行、及步驟(iii)係於固化室進行,其中反應室、退火室、及固化室係連接至共用輸送室, 且基板通過共用輸送室自反應室輸送至退火室及自退火室輸送至固化室,而不暴露於周圍環境。在上述中,不同過程間(Q時控制)可進行定時管理,因此,於定時管理下可控制腔室之熱收縮所致之膜品質改變,從而產生基板間具有低變異之膜。
在一些具體實施例中,退火室未配備電漿處理、紫外線處理、或其他使用電磁波之處理,且固化室未配備電漿處理。
圖4為本發明之具體實施例之集群室示意圖。使用圖4所示之裝置之過程序列如下:(1)經由輸送室41自晶圓預備室46將圖案化基板裝載至成膜室42;(2)於成膜室42之真空環境中,將圖案化基板維持在低電極,其溫度控制在約20℃;(3)將加工氣體(矽氧烷、氧化氣體、及稀有氣體)供應至成膜室42並將腔室壓力控制在指定壓力(約200Pa至約800Pa);(4)藉由施敷RF功率(約10MHz至約60MHz,約10W至約3,000W)至成膜室42內部以產生電漿;(5)由於以電漿反應於加工氣體,於圖案化基板上形成間隙填充低k膜,其中間隙填充低k膜含有乙醇、矽醇、H2O等且高度可流動;(6)經由輸送室41將膜形成之基板自成膜室42輸送至退火室43;(7)於退火室43之指定壓力下,將基板溫度調整為約25℃;(8)供應含有有機胺基矽烷(或有機矽氮烷)之氣體並將反應器壓力控制在指定壓力(約1atm),從而將膜暴露至有機胺基矽烷氣體,其形成-O-Si(Me)x: (9)經由輸送室41將基板輸送至固化室44;(10)於指定壓力下(約10Pa至約12,000Pa)將基板溫度調整為約200℃至約450℃;(11)於固化室44中以紫外線(其波長為約200nm以下)照射基板上之膜一指定時間週期(約10秒至約1,200秒);(12)從而形成緻密之低k膜,其中膜之甲基係分離且形成新穎之Si-O-Si鍵;以及(13)經由輸送室41將具有安定性低k膜之基板送回晶圓預備室45。
在上述中,基板於整個流程期間未暴露至外部周圍環境。
在又另一態樣,於一些具體實施例中,其中該方法進一步包含,於步驟(ii)前或後,(iia)氧化步驟(i)提供之低k SiOCH膜,步驟(iia)係以遠端電漿單元產生之氧電漿進行。在一些具體實施例中,步驟(iia)(氧化步驟)係以遠端電漿單元進行,其條件為供應至遠端電漿單元之氧氣流速為為約1sccm至約5slm(典型而言約50sccm至約1500sccm),其中惰性氣體如稀有氣體可作為載體氣體或添加氣體、總流量為約100sccm至約12slm(典型而言約500sccm至約8slm)、基座溫度為約0℃至約100℃(典型而言約0℃至約50℃)、反應器壓力為約1Pa至約12,000Pa(典型而言約100Pa至約2,000Pa)、及步驟(iia)之時間為約1秒至約10分鐘(典型而言約30秒至約3分鐘)。
在一些具體實施例中,於退火之前使用氧化步驟,其係使用遠端電漿單元,係一於基板上形成改良之低k膜之方法;包含:(1)於電漿反應器之真空環境中,將圖案化基板放置於低電極 上,其溫度控制在約0℃至約25℃;(2)將加工氣體(矽氧烷、氧化氣體、及稀有氣體)供應至反應器並將反應器壓力控制在指定壓力(約200Pa至約800Pa);(3)藉由將RF功率(約10MHz至約60MHz,約10W至約3,000W)施敷至反應器以產生連續電漿;(4)由於以連續電漿反應於加工氣體,於圖案化基板上形成間隙填充低k膜,其中間隙填充低k膜含有乙醇、矽醇、H2O等且高度可流動;(5)開始將氧氣供應至反應器上游之遠端電漿單元,以便將氧電漿供應至反應器,從而氧化甲基並形成Si-O-Si鍵及Si-OH基;(6)自反應器中沖淨(purging)氧氣之後,其係藉由以惰性氣體或稀有氣體替代,將含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體供應至反應器,從而將膜暴露至有機胺基矽烷氣體,其中有機胺基矽烷反應於膜之乙醇、矽醇、H2O等,其形成-O-Si(Me)3(Me為甲基);(7)將基板輸送至不同紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整為約200℃至約450℃;(8)將惰性氣體如氮氣、反應氣體、或彼等之混合物供應至紫外線反應器,並將紫外線反應器壓力控制在指定壓力(約10Pa至約12,000Pa);以及(9)以紫外線照射基板上之膜一指定時間週期(約10秒至約1,200秒),從而將膜固化。
在一些具體實施例中,於退火之後使用氧化步驟,其係使用遠端電漿單元,係一於基板上形成改良之低k膜之方法,其中步驟(1)至(4)及(7)至(9)與於退火之前使用氧化步驟之具體實施例相同,包含:(5)於抽空反應器之後,將含有有機胺基矽烷(如二甲基胺基三甲基矽烷)之氣體供應至反應器,從而將膜暴露至有機胺基矽烷氣體,其中有機胺基矽烷反應於膜之乙醇、矽醇、H2O等,其形成-O-Si(Me)3(Me為甲基);(6)自反應器中驅除有機胺基矽烷氣體之後,其係藉由以惰性氣體或稀有氣體替代,開始將氧氣供應至反應器上游之遠端電漿單元,以便將氧電漿供應至反應器,從而氧化甲基並形成Si-O-Si鍵及Si-OH基。
在又另一態樣,步驟(iii)(即固化)包含於溫度-10℃至50℃下,典型而言約0℃至約30℃(「低溫紫外線固化」),以紫外線照射低k SiOCH膜,且隨後於溫度200℃至400℃下,典型而言約300℃至約400°C(「高溫紫外線固化」),以紫外線照射低k SiOCH膜。藉由進行雙步驟紫外線固化,膜收縮可進一步減少約30%,例如,相較於常規之單步驟紫外線固化。此外,藉由進行雙步驟紫外線固化,膜漏電流可改進一位數(one digit)例如,相較於常規之單步驟紫外線固化。同時,藉由進行雙步驟紫外線固化,可有效抑制膜空隙產生。
在一些具體實施例中,用於低溫紫外線固化之紫外線波長為400nm以下(典型而言約170nm至約300nm)且照明強度為小於約120W/cm2(典型而言約25W/cm2至約100W/cm2)。氙燈可較佳地用於此目的。 在一些具體實施例中,用於高溫紫外線固化之紫外線可具有寬波長範圍且照明強度為小於約150W/cm2。高溫紫外線固化可以任何適用之紫外線燈進行,包括氙燈及其他常規之燈。在一些具體實施例中,低溫紫外線固化係於照明強度低於高溫紫外線固化所使用之照明強度下進行,其時間(如約10秒至約600秒,典型而言約30秒至約180秒,取決於膜厚度等)係等於或長於高溫紫外線固化之時間。
上述溫度係於紫外線固化室提供之基座測定,其中基座係配備冷卻系統。使用波長400nm以下之紫外線可抑制基板溫度上升。低溫紫外線固化及高溫紫外線固化兩者可於壓力0Pa至1atm下進行。
在一些具體實施例中,低溫紫外線固化及高溫紫外線固化係於相同紫外線固化室或不同紫外線固化室進行。
在一些具體實施例中,圖案化基板包括鎢佈線。藉由使用本發明方法之任一者,可於溝槽形成低k膜而無空隙,因此,可小型化佈線圖案,故可由鎢而非銅構成佈線,省略複雜之鑲嵌方法。
低溫紫外線固化及高溫紫外線固化可應用於任何類型之膜並結合任何方法,故可減少膜收縮,且可於一些具體實施例中獨立採用有機胺基矽烷退火,亦即藉由使用低溫紫外線固化及高溫紫外線固化,即使無退火,相較於進行常規紫外線固化之呈現,可明顯減少膜收縮。
圖8為用於進行本發明之一些具體實施例之雙步驟紫外線固化之紫外線照射裝置示意圖。首先,基板8係裝載至基座1,其配備冷卻系統,其溫度調整為約50℃以下,較佳為約30℃以下。接著,經由進氣管4及配氣嘴5將惰性氣體供應至固化室2,其中固化室2壓力調整為約100Pa 至約1atm,較佳為約800Pa至約1,300Pa,其係使用壓力調節閥7。接著,一光閘6,其隔開固化室2與紫外線固化單元3,其開啟以便自紫外線固化單元3發射紫外線至基板8,從而進行低溫紫外線固化。此後,將基座1溫度調整為約300℃至約400℃,較佳為約380℃,接著將基板8裝載至基座1,隨後以實質上類似於低溫紫外線固化之方式進行高溫紫外線固化。
低溫紫外線固化及高溫紫外線固化可作為二個分立之步驟進行,亦即於低溫紫外線固化之後,將基板卸載並升高基座溫度,接著重新裝載基板以進行高溫紫外線固化。因此,此二紫外線固化步驟可於不同紫外線固化室進行。或者,低溫紫外線固化及高溫紫外線固化可連續進行,亦即無須卸載及重新裝載基板,其中由低溫紫外線固化之設定溫度至高溫紫外線固化之設定溫度之溫度改變可為連續式或步驟式。
實施例
在下列條件及/或結構未指明之實例中,熟習本領域之技術人員可基於本發明而易於提供此類條件及/或結構以進行常規之實驗。熟習本領域之技術人員應理解到,實例中使用之裝置包括一或多個可編程或另外配置之控制器(未顯示),以致使於此另外描述之欲進行之沉積及反應器清洗過程。控制器可與各種電源、加熱系統、泵、機器、及反應器之氣體流量控制器或閥相通訊,如本領域之技術人員之理解。
(實施例1)
在此實施例中,於基板上形成摻碳氧化矽膜,步驟如下: (1)於電漿反應器之真空環境中,將圖案化基板放置於低電極上,其溫度控制在約20℃;(2)將約0.3g/min之矽氧烷(二乙氧基甲基矽烷)、約40sccm之氧化氣體(氧氣)、及約300sccm之稀有氣體(He)供應至反應器並將反應器壓力控制在約300Pa;(3)藉由將RF功率(約13MHz,約100W)施敷至反應器以產生電漿;(4)由於以電漿反應於氣體,於圖案化基板上形成間隙填充低k膜;(5)於抽空反應器之後,供應約100sccm之含有於稀有氣體(Ar)之約30%二甲基胺基三甲基矽烷之氣體,並將反應器壓力控制在約1,200Pa,從而於溫度約25℃下將膜暴露至二甲基胺基三甲基矽烷氣體2分鐘;(6)將基板輸送至紫外線反應器,其不同於電漿反應器,並於紫外線反應器之加熱台上將基板溫度調整至約380℃;(7)將惰性氣體(N2)供應至紫外線反應器,並將紫外線反應器壓力控制在約1,200Pa;以及(8)以紫外線照射基板上之膜約90秒,從而將膜固化,其厚度為約200nm。
於基板上形成另一摻碳氧化矽膜,其係藉由與前述相同之方法,除了未進行步驟(5)以外(其稱作「有機胺基矽烷退火」)。
圖1顯示以有機胺基矽烷退火形成之摻碳氧化矽膜取得之摻碳氧化矽膜(實線)及不以有機胺基矽烷退火形成之摻碳氧化矽膜(虛線)之傅立葉轉換紅外線(FTIR)光譜。如圖1所示,藉由進行有機胺基 矽烷退火,所有羥基係實質上自膜完全移除(至實質上無法檢測之程度)。如上述之明顯結果,經導入之有機胺基矽烷深度滲入膜,其改進整個膜之膜品質。同時,藉由進行有機胺基矽烷退火,相應於Si-O鍵之尖峰增加,表示提升Si-O骨架之形成。此外,藉由進行有機胺基矽烷退火,相應於Si-Me3鍵之尖峰增加,表示膜基體與有機胺基矽烷反應。
圖2為以有機胺基矽烷退火形成之摻碳氧化矽膜及不以有機胺基矽烷退火形成之摻碳氧化矽膜之固化收縮(%)示意圖。如圖2所示,以有機胺基矽烷退火形成之膜之固化收縮(約6%)小於10%,其明顯低於無退火之膜(21%)。
圖3顯示:(a)以有機胺基矽烷退火於圖案化矽基板31之溝槽33形成之間隙填充氧化矽膜32之剖面之掃描式電子顯微鏡(SEM)照片示意圖,及(b)不以有機胺基矽烷退火於圖案化矽基板31’之溝槽33’形成之間隙填充氧化矽膜32’之剖面之掃描式電子顯微鏡(SEM)照片示意圖。兩示意圖顯示固化後之膜。如圖3所示,不進行有機胺基矽烷退火時,許多空隙34(其具有大小為如約20nm以上)形成,係因出現收縮,而進行有機胺基矽烷退火,實質上無空隙形成,係因出現收縮。
(實施例2)
於實質上類似於實施例1之彼等之條件下持續加工多重基板,其係使用圖4所示之集群裝置,其中各基板經由輸送室41於腔室間輸送,故基板未暴露至外部周圍環境。當於腔室間輸送基板時,將輸送室壓力調整在高於使用氮氣之成膜室壓力(100至1,500mTorr)。作為比較例, 多重基板係於相同條件下加工,除了基板於各腔室係按批次加工(25個晶圓構成一批次或一批),其中不使用輸送室,故基板暴露至外部周圍環境直到該批之所有基板經加工。
圖5為使用輸送室(◆)及不使用輸送室(□)時同批加工基板之固化膜厚度(a.u.)與累積數間之關係之顯示圖。此圖代表膜品質安定性。如圖5所示,無輸送室時,由於膜成型後,保存於批次之早期晶圓槽位(slot)之基板係待用並暴露至外部周圍環境一段時間,其比保存於該批次之晚期晶圓槽位之基板之時間長,直到所有基板完成膜成型,保存於早期晶圓槽位之基板之膜導致膜收縮,其於基板之中造成較大之厚度變異(WTW,晶圓與晶圓間變異(wafer to wafer variations)為13.34%)。相反地,當基板經由輸送室輸送時,基板未暴露至外部周圍環境,且於基板之中未觀察到實質上厚度變異(WTW為0.53%)。
圖6為間隙填充(gap-fill)之如所沉積之低k膜之厚度(a.u.)與加工基板之暴露時間(以小時為單位)之間關係之顯示圖。如圖6所示,於膜成型後之1小時時點,膜厚度已減少超過10%,且於膜成型後之24小時時點,膜厚度減少約22%,表示具有低沸點之組分如乙醇或碳氫化合物於膜成型後立即含於膜中,作為脫氣組分移至外部周圍環境,從而導致膜收縮同時係暴露至外部周圍環境。藉由進行膜之退火及固化,完全避免於膜成型後立即暴露至外部周圍環境,可進一步抑制厚度變異,如圖5所示。
(實施例3)
在此實例中,於基板上形成摻碳氧化矽膜,步驟如下: (1)於電漿反應器之真空環境中,將圖案化基板放置於低電極上,其溫度控制在約20℃;(2)將約0.3g/min之矽氧烷(二乙氧基甲基矽烷)、約40sccm之氧化氣體(氧氣)、及約300sccm之稀有氣體(He)供應至反應器並將反應器壓力控制在約300Pa;(3)藉由將RF功率(約13MHz,約100W)施敷至反應器以產生電漿;(4)由於以電漿反應於氣體,於圖案化基板上形成間隙填充低k膜;(5)開始將約1,000sccm之氧氣(O2)及約5,000sccm之稀有氣體(Ar)供應至反應器上游之遠端電漿單元,以便將氧電漿供應至反應器,同時將反應器壓力控制在約1,000Pa;(6)於抽空反應器之後,供應約100sccm之含有於稀有氣體(Ar)之約30%二甲基胺基三甲基矽烷之氣體,並將反應器壓力控制在約1,200Pa,從而於溫度約25℃下將膜暴露至二甲基胺基三甲基矽烷氣體2分鐘;(7)將基板輸送至不同之紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整至約30℃;(8)將惰性氣體(N2)供應至紫外線反應器,並將紫外線反應器壓力控制在指定壓力(約1atm);以及(9)以紫外線照射基板上之膜約180秒;(10)將基板輸送至不同之紫外線反應器,並於紫外線反應器之加熱台上將基板溫度調整至約380℃;(11)將惰性氣體(N2)供應至紫外線反應器,並將紫外線反應器壓 力控制在指定壓力(約1atm);以及(12)以紫外線照射基板上之膜約60秒,從而將膜固化,其厚度為約200nm。
拍攝圖案化矽基板之溝槽形成之間隙填充氧化矽膜剖面之掃描式電子顯微鏡(SEM)照片,以檢查是否膜之溝槽具有空隙。與圖3(A)所示類似的是,藉由氧化及有機胺基矽烷退火,確認實質上未有空隙由於發生收縮而形成。
亦於相同條件下形成膜,除了不進行氧化以外。測定各膜之彈性模數。圖7為各膜之彈性模數顯示圖。如圖7所示,藉由於有機胺基矽烷退火之前加入氧化步驟,膜彈性模數增加約50%至約15GPa。
(參考例1)
於裸基板(bare substrates)上形成膜,其條件類似於實施例1之不進行退火之彼等。膜係隨後進行低溫紫外線固化,其係於表1所示之條件下使用圖8所示之裝置:
接著,於表2所示之條件下進行高溫紫外線固化:
(參考例2)
於裸基板上形成膜,其條件相同於參考例1之高溫紫外線固化之彼等,但不進行低溫紫外線固化。
圖9為收縮(%)與固化時間(秒)(具有圓內圓點之線:參考例1;具有圓點之線:參考例2)間之關係之顯示圖。如圖9所示,藉由雙步驟紫外線固化取得之膜收縮為56.4%,而藉由單步驟紫外線固化取得之膜收縮為82.7%,表示雙步驟紫外線固化可提供具有低膜收縮之膜。
如圖10所示,藉由雙步驟紫外線固化取得之膜漏電流(1.6E-8A/cm2@2MV/cm)係明顯比藉由單步驟紫外線固化(1.4E-7A/cm2@2MV/cm)取得的低。此外,藉由雙步驟紫外線固化取得之膜之介電常數及機械強度分別為2.86及6.6GPa,而藉由單步驟紫外線固化取得之膜之介電常數及機械強度分別為2.88及6.0GPa,表示雙步驟紫外線固化可提供具有良好膜品質之膜。
熟習本領域之技術人員應理解到,可進行大量及各種改良而不背離本發明之精神。因此,應清楚理解到,本發明之形式僅在於說明,且非旨在侷限本發明之範疇。
1‧‧‧基座
2‧‧‧固化室
3‧‧‧紫外線固化單元
4‧‧‧進氣管
5‧‧‧配氣嘴
6‧‧‧光閘
7‧‧‧壓力調節閥
8‧‧‧基板

Claims (15)

  1. 一種於基板上形成經改良之低k SiOCH膜之方法,包括:(i)提供一低k SiOCH膜,其係藉由流動式CVD而形成於一基板上;(ii)將該低k SiOCH膜暴露至一氣體,其含有Si-N鍵於其分子,而未施加電磁能於該低k SiOCH膜以增加Si-O鍵及/或Si-C鍵;以及(iii)固化得自步驟(ii)之該低k SiOCH膜。
  2. 如申請專利範圍第1項之方法,其進一步包含,於步驟(ii)之前或之後,(iia)氧化步驟(i)提供之該低k SiOCH膜。
  3. 如申請專利範圍第2項之方法,其中步驟(iia)及(ii)係重複一次或多次。
  4. 如申請專利範圍第1項之方法,其中步驟(iii)包括以紫外線照射該低k SiOCH膜。
  5. 如申請專利範圍第1項之方法,其中含有Si-N鍵之氣體為有機胺基矽烷。
  6. 如申請專利範圍第5項之方法,其中該有機胺基矽烷為一或多個選自於由雙二乙基胺基矽烷(BDEAS)、雙二乙基胺基甲基矽烷(BDEAMS)、雙二乙基胺基二甲基矽烷(BDEADMS)、雙二甲基胺 基矽烷(BDMAS)、雙二甲基胺基甲基矽烷(BDMADMS)、雙二甲基胺基二甲基矽烷(BDMADMS)、雙三級丁基胺基矽烷(BTBAS)、二甲基胺基三甲基矽烷(DMATMS)、二甲基胺基二甲基矽烷(DMADMS)、二乙基胺基三甲基矽烷(DEATMS)、二乙基胺基二甲基矽烷(DEADMS)、三級丁基胺基矽烷(TBAS)、三級丁基胺基三甲基矽烷(TBATMS)、二二級丁基胺基矽烷(DSBAS)、參二甲基胺基矽烷(TDMAS)、參二甲基胺基甲基矽烷(TDMAMS)、雙三甲基矽基胺(BTMSA)、雙三甲基矽基甲基胺(BTMSMA)、雙二甲基矽基胺(BDMSA)、雙二甲基矽基甲基胺(BDMSMA)、參三甲基矽基胺(TTMSA)、及參二甲基矽基胺(TDMSA)所組成群組之化合物。
  7. 如申請專利範圍第1項之方法,其中步驟(i)及(ii)係於相同腔室連續進行。
  8. 如申請專利範圍第1項之方法,其中步驟(i)係於反應室進行、步驟(ii)係於退火室進行、及步驟(iii)係於固化室進行,其中該反應室、該退火室、及該固化室係連接至共用輸送室,且該基板通過該共用輸送室自該反應室輸送至該退火室及自該退火室輸送至該固化室,而不暴露於周圍環境。
  9. 如申請專利範圍第8項之方法,其中該退火室未配備使用電磁波處理,且該固化室未配備電漿處理。
  10. 如申請專利範圍第2項之方法,其中步驟(iia)係以遠端電漿單元產 生之氧電漿進行。
  11. 如申請專利範圍第1項之方法,其進一步包含,於步驟(ii)之後,(iib)氧化步驟(i)提供之該低k SiOCH膜。
  12. 如申請專利範圍第1項之方法,其中步驟(iii)包含於溫度-10℃至50℃下以紫外線照射該低k SiOCH膜,且隨後於溫度200℃至450℃下以紫外線照射該低k SiOCH膜。
  13. 如申請專利範圍第12項之方法,其中於溫度-10℃至50℃下使用之該紫外線之波長為400nm以下。
  14. 如申請專利範圍第12項之方法,其中於溫度-10℃至50℃下之該紫外線照射係於一照明強度(illumination intensity)下進行,比溫度200℃至450℃下之紫外線照射所使用之照明強度低,且時間等於或長於溫度200℃至450℃下之紫外線照射之時間。
  15. 如申請專利範圍第1項之方法,其中經圖案化之基板包括鎢佈線(tungsten wiring)。
TW103127734A 2013-08-22 2014-08-13 使用有機胺基矽烷退火形成SiOCH膜的方法 TWI613724B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/973,777 US9190263B2 (en) 2013-08-22 2013-08-22 Method for forming SiOCH film using organoaminosilane annealing
US13/973,777 2013-08-22

Publications (2)

Publication Number Publication Date
TW201511132A true TW201511132A (zh) 2015-03-16
TWI613724B TWI613724B (zh) 2018-02-01

Family

ID=52480751

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103127734A TWI613724B (zh) 2013-08-22 2014-08-13 使用有機胺基矽烷退火形成SiOCH膜的方法

Country Status (3)

Country Link
US (1) US9190263B2 (zh)
KR (1) KR102291889B1 (zh)
TW (1) TWI613724B (zh)

Families Citing this family (339)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
SG11201806851RA (en) 2016-03-07 2018-09-27 Globalwafers Co Ltd Semiconductor on insulator structure comprising a low temperature flowable oxide layer and method of manufacture thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110476239B (zh) * 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN111128850A (zh) * 2018-10-30 2020-05-08 长鑫存储技术有限公司 沟槽隔离结构的形成方法及介电膜的形成方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
KR20210021420A (ko) * 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5028366A (en) 1988-01-12 1991-07-02 Air Products And Chemicals, Inc. Water based mold release compositions for making molded polyurethane foam
US5601641A (en) 1992-07-21 1997-02-11 Tse Industries, Inc. Mold release composition with polybutadiene and method of coating a mold core
US5827757A (en) 1996-07-16 1998-10-27 Direct Radiography Corp. Fabrication of large area x-ray image capturing element
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6627268B1 (en) 2001-05-03 2003-09-30 Novellus Systems, Inc. Sequential ion, UV, and electron induced chemical vapor deposition
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6895158B2 (en) 2002-12-09 2005-05-17 Eastman Kodak Company Waveguide and method of smoothing optical surfaces
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US6939817B2 (en) 2003-05-08 2005-09-06 Micron Technology, Inc. Removal of carbon from an insulative layer using ozone
US7265061B1 (en) 2003-05-09 2007-09-04 Novellus Systems, Inc. Method and apparatus for UV exposure of low dielectric constant materials for porogen removal and improved mechanical properties
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP4435666B2 (ja) * 2004-11-09 2010-03-24 東京エレクトロン株式会社 プラズマ処理方法、成膜方法
WO2007043206A1 (ja) * 2005-10-14 2007-04-19 Yatabe Massao 半導体製造装置及び製造方法
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
KR101144497B1 (ko) * 2007-02-06 2012-05-11 샌트랄 글래스 컴퍼니 리미티드 저유전율막의 개질제 및 제조방법
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8003174B2 (en) 2007-12-13 2011-08-23 Asm Japan K.K. Method for forming dielectric film using siloxane-silazane mixture
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma

Also Published As

Publication number Publication date
TWI613724B (zh) 2018-02-01
KR20150022677A (ko) 2015-03-04
KR102291889B1 (ko) 2021-08-20
US9190263B2 (en) 2015-11-17
US20150056821A1 (en) 2015-02-26

Similar Documents

Publication Publication Date Title
TWI613724B (zh) 使用有機胺基矽烷退火形成SiOCH膜的方法
US9029272B1 (en) Method for treating SiOCH film with hydrogen plasma
CN109791870B (zh) 半导体器件制造中高品质氧化硅膜的低温形成
US7282458B2 (en) Low K and ultra low K SiCOH dielectric films and methods to form the same
TWI723074B (zh) 紫外光可流動介電質用設備
TWI608121B (zh) 用於至少部分地轉換薄膜為二氧化矽及/或於蒸氣中使用紫外線硬化來改善薄膜品質以及於氨中使用紫外線硬化來改善薄膜密實化之系統及方法
TWI692008B (zh) 用於形成高品質薄膜的循環連續製程
US20050227502A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
KR100627098B1 (ko) 저유전율 절연막의 형성 방법
US8889233B1 (en) Method for reducing stress in porous dielectric films
JP2011119770A (ja) 半導体デバイスの層内または層間誘電体としての超低誘電率材料
JP2009542011A (ja) ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
KR102322809B1 (ko) 감소된 유전 상수 및 강화된 기계적인 특성들을 갖는 저-k 유전체 층
US20160042943A1 (en) Low-k dielectric film formation
TW201539533A (zh) 低溫固化模數增強
WO2015073188A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
TW559860B (en) Method for manufacturing semiconductor device
TWI754799B (zh) 半導體製程方法與半導體裝置
JPWO2018193753A1 (ja) 絶縁膜の成膜方法、絶縁膜の成膜装置及び基板処理システム
US9093265B2 (en) High UV curing efficiency for low-k dielectrics
JP2002075980A (ja) 真空紫外光cvdによる低誘電体膜の製造方法
KR102310231B1 (ko) Uv 경화에 의한 강화된 교차결합을 갖는 신규한 저-k 필름들
CN114144863A (zh) 利用微波辐射后处理介电膜的方法