TWI425611B - 微電子總成及製造一經堆疊微電子總成之方法 - Google Patents

微電子總成及製造一經堆疊微電子總成之方法 Download PDF

Info

Publication number
TWI425611B
TWI425611B TW098120145A TW98120145A TWI425611B TW I425611 B TWI425611 B TW I425611B TW 098120145 A TW098120145 A TW 098120145A TW 98120145 A TW98120145 A TW 98120145A TW I425611 B TWI425611 B TW I425611B
Authority
TW
Taiwan
Prior art keywords
microelectronic
microelectronic device
conductive
edge
conductive element
Prior art date
Application number
TW098120145A
Other languages
English (en)
Other versions
TW201005918A (en
Inventor
Belgacem Haba
Ilyas Mohammed
Laura Mirkarimi
Moshe Kriman
Original Assignee
Tessera Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tessera Inc filed Critical Tessera Inc
Publication of TW201005918A publication Critical patent/TW201005918A/zh
Application granted granted Critical
Publication of TWI425611B publication Critical patent/TWI425611B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/10Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers
    • H01L25/105Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices having separate containers the devices being of a type provided for in group H01L27/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3114Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed the device being a chip scale package, e.g. CSP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L24/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0235Shape of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0235Shape of the redistribution layers
    • H01L2224/02351Shape of the redistribution layers comprising interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02371Disposition of the redistribution layers connecting the bonding area on a surface of the semiconductor or solid-state body with another surface of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/03Manufacturing methods
    • H01L2224/03001Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate
    • H01L2224/03002Involving a temporary auxiliary member not forming part of the manufacturing apparatus, e.g. removable or sacrificial coating, film or substrate for supporting the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0555Shape
    • H01L2224/05556Shape in side view
    • H01L2224/05558Shape in side view conformal layer on a patterned surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0612Layout
    • H01L2224/0615Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry
    • H01L2224/06154Mirror array, i.e. array having only a reflection symmetry, i.e. bilateral symmetry covering only portions of the surface to be connected
    • H01L2224/06155Covering only the peripheral area of the surface to be connected, i.e. peripheral arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08148Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a bonding area protruding from the surface of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/09Structure, shape, material or disposition of the bonding areas after the connecting process of a plurality of bonding areas
    • H01L2224/091Disposition
    • H01L2224/0918Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/09181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/16148Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bump connector connecting to a bonding area protruding from the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24105Connecting bonding areas at different heights
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/241Disposition
    • H01L2224/24135Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/24145Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/24146Connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the HDI interconnect connecting to the same level of the lower semiconductor or solid-state body at which the upper semiconductor or solid-state body is mounted
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/244Connecting portions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/24Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of an individual high density interconnect connector
    • H01L2224/245Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/25105Connecting at different heights
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2512Layout
    • H01L2224/25174Stacked arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L2224/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L2224/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • H01L2224/251Disposition
    • H01L2224/2518Disposition being disposed on at least two different sides of the body, e.g. dual array
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/28Structure, shape, material or disposition of the layer connectors prior to the connecting process
    • H01L2224/29Structure, shape, material or disposition of the layer connectors prior to the connecting process of an individual layer connector
    • H01L2224/29001Core members of the layer connector
    • H01L2224/29099Material
    • H01L2224/2919Material with a principal constituent of the material being a polymer, e.g. polyester, phenolic based polymer, epoxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73267Layer and HDI connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/80001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by connecting a bonding area directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/808Bonding techniques
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/8236Bonding interfaces of the semiconductor or solid state body
    • H01L2224/82365Shape, e.g. interlocking features
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/82Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected by forming build-up interconnects at chip-level, e.g. for high density interconnects [HDI]
    • H01L2224/828Bonding techniques
    • H01L2224/82801Soldering or alloying
    • H01L2224/82815Reflow soldering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92142Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92144Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/922Connecting different surfaces of the semiconductor or solid-state body with connectors of different types
    • H01L2224/9222Sequential connecting processes
    • H01L2224/92242Sequential connecting processes the first connecting process involving a layer connector
    • H01L2224/92244Sequential connecting processes the first connecting process involving a layer connector the second connecting process involving a build-up interconnect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06551Conductive connections on the side of the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06558Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices having passive surfaces facing each other, i.e. in a back-to-back arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1017All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support
    • H01L2225/1035All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement the lowermost container comprising a device support the device being entirely enclosed by the support, e.g. high-density interconnect [HDI]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/10All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers
    • H01L2225/1005All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/1011All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices having separate containers the devices being of a type provided for in group H01L27/00 the containers being in a stacked arrangement
    • H01L2225/1047Details of electrical connections between containers
    • H01L2225/1064Electrical connections provided on a side surface of one or more of the containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • H01L24/23Structure, shape, material or disposition of the high density interconnect connectors after the connecting process
    • H01L24/25Structure, shape, material or disposition of the high density interconnect connectors after the connecting process of a plurality of high density interconnect connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/94Batch processes at wafer-level, i.e. with connecting carried out on a wafer comprising a plurality of undiced individual devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/96Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being encapsulated in a common layer, e.g. neo-wafer or pseudo-wafer, said common layer being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01067Holmium [Ho]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/013Alloys
    • H01L2924/0132Binary Alloys
    • H01L2924/01322Eutectic Alloys, i.e. obtained by a liquid transforming into two solid phases
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • Y10T29/49144Assembling to base an electrical component, e.g., capacitor, etc. by metal fusion

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Wire Bonding (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

微電子總成及製造一經堆疊微電子總成之方法
本發明係關於經封裝微電子元件及其製造方法,且更特定而言係關於一可堆疊的經封裝微電子晶粒總成。
本申請案主張2008年6月16日申請之第61/061,953號美國臨時專利申請案之申請日期之權利,該申請案之揭示內容以引用之方式併入本文中。
微電子晶片通常係扁平體,其具有相對的一般係平面之前表面及後表面且具有在此等表面之間延伸之邊緣。晶片通常在前表面上具有電連接至晶片內之電路之觸點,有時亦稱為墊或接合墊。通常藉由用一合適材料包圍晶片以形成具有電連接至晶片觸點之端子之微電子封裝來封裝該等晶片。然後,可將該封裝連接至測試設備以確定該經封裝裝置是否符合一期望效能標準。測試之後,該封裝可藉由透過一合適連接方法(例如焊接)將該等封裝端子連接至一印刷電路板(PCB)上之匹配著陸點而連接至一較大電路,例如一電子產品(例如一電腦或一行動電話)中之一電路。
可在晶圓層級製造微電子封裝;亦即,構成封裝之外殼、終端及其他特徵在晶片或晶粒仍處於一晶圓形式時進行製造。在晶粒形成之後,晶圓經受若干個額外製程步驟以在晶圓上形成封裝結構,且然後切分晶圓以釋放經個別封裝之晶粒。晶圓層級處理可係一較佳製造方法,此乃因其可提供一節省成本之優勢,而且可使每一晶粒封裝之佔用面積與晶粒本身之大小相同或幾乎相同,從而導致非常有效地利用經封裝晶粒附接至其之印刷電路板上之區域。以此方式封裝之一晶粒通常稱為晶圓層級晶片尺寸封裝或晶圓層級晶片大小封裝(WLCSP)。
為節省一經封裝晶粒安裝至其之基板上之額外空間,可藉由垂直堆疊晶片而將多個晶片組合於一單一封裝中。堆疊中之每一晶粒通常必須向該堆疊中之一個或多個其他晶粒或者向其上安裝有該堆疊之基板或者向兩者提供一電連接機構。此允許經垂直堆疊之多個晶粒封裝佔據一基板上小於該封裝中之所有晶片加在一起所佔據之總表面區域的一表面區域。一由於通常當使用一晶粒堆疊時比當封裝一單一晶粒時存在更多電連接,因此堆疊之各個晶粒之間的電連接必須係極其強大及可靠。
一種微電子總成(300)可包含一第一微電子裝置(200)及一第二微電子裝置(200)。每一微電子裝置皆具有包含至少一個半導體晶粒(104)之一晶粒結構且該等微電子裝置中之每一者皆具有一第一表面(201)、遙對該第一表面之一第二表面(203)、及以非直角之一角度遠離該第一及該第二表面(201、203)延伸之至少一個邊緣表面(134)。至少一個導電元件(其可包含部分110、124、122、126)沿該第一表面延伸至該等邊緣表面之至少一者上及至該第二表面(203)上。可將該第一微電子裝置之至少一個導電元件導電地接合至該第二微電子裝置之至少一個導電元件以在其之間提供一導電路徑。
根據一個實施例,每一微電子裝置之導電元件可包含藉由鍍敷至第一及第二表面中之一者上而形成之第一元件,及藉由鍍敷至第一及第二表面中之另一者上及至少一個邊緣表面上而形成之第二元件。在一個實施例中,可將第二元件鍍敷至第一元件之部分上。舉例而言,第二元件沿第一元件之第二元件鍍敷於其上部分延伸。
第二元件可沿第一元件之邊緣延伸以在此等邊緣處與第一元件導電地結合。
舉例而言,可藉由使用一可熔金屬或使用導電膏來接合第一及第二微電子裝置之導電元件。在一個實施例中,第一微電子裝置之第一及第二表面中之一者可面對第二微電子裝置之第一及第二表面中之一者且將曝露在面對表面處之導電元件之部分接合在一起。舉例而言,導電元件可包含曝露在每一微電子裝置之第一或第二表面中之至少一者處之導電墊且將該等導電墊接合在一起。
導電元件可包含迹線及導電墊,其中至少一個導電墊經設置距該至少一個邊緣表面達一間隔距離。在一特定實施例中,每一微電子裝置之一導電元件包含接近至少一個邊緣表面或可延伸至該至少一個邊緣表面之一導電墊。
在一特定實施例中,該等微電子裝置中之一者或多者可包含複數個晶粒。在此情形中,包含於至少一個微電子裝置中之半導體晶粒中之至少兩者之接合墊-承載表面可面向相同的方向或其可面向不同的方向。
可以相對於第一及第二表面中之至少一者呈介於50度與89度之間的一角度設置至少一個導電元件沿其延伸之至少一個邊緣表面。
在微電子總成中,可以一垂直方向堆疊第一及第二微電子裝置,且第一及第二微電子裝置之至少一個邊緣表面可彼此偏移。
第一及第二微電子裝置之第一表面可在橫向方向上延伸且在橫向方向上具有第一尺寸。在一個實施例中,第一及第二微電子裝置之第一表面之橫向尺寸可係不同。
在一個實施例中,提供一種微電子總成,該微電子總成包含第一微電子裝置及第二微電子裝置。該等微電子裝置中之每一者皆可包含一晶粒結構,該晶粒結構具有至少一個半導體晶粒。該等微電子裝置中之每一者可具有一第一表面、遙對該第一表面之一第二表面、及遠離該第一表面延伸之至少一個邊緣表面。一導電元件可沿該第一表面延伸且至該等邊緣表面中之至少一者上。可將第一微電子裝置之至少一個導電元件導電地接合至第二微電子裝置之至少一個導電元件以在其之間提供一導電路徑。
在一個實施例中,該至少一個邊緣表面以非直角之一角度遠離第一及第二表面延伸。可導電地接合導電元件之曝露在至少一個邊緣表面處之邊緣部分以提供導電路徑。可使用一可熔金屬或可使用導電膏來接合此等邊緣部分。
根據一個實施例提供一種製造一經堆疊微電子總成之方 法。在此實施例中,可配置一第一微電子裝置之一主表面以面對一第二微電子裝置之一主表面。可導電地接合曝露在該第一微電子裝置之該主表面處之一導電元件與曝露在該第二微電子裝置之該主表面處之一導電元件以在其之間提供一導電路徑。每一微電子裝置皆可包含包含至少一個半導體晶粒之一晶粒結構,且該等微電子裝置中之每一者皆可具有一第一主表面、遙對該第一表面之一第二主表面、至少一個邊緣表面及至少一個導電元件,該至少一個導電元件沿該第一表面延伸至該等邊緣表面中之至少一者上及至該第二主表面上。
根據一個實施例,可製造一經堆疊微電子總成。可形成包含與一第二微電子裝置堆疊之一第一微電子裝置之一堆疊。該等微電子裝置中之每一者皆可包含一晶粒結構,該晶粒結構包含至少一個半導體晶粒。該等微電子裝置中之每一者皆可具有一第一表面、遙對該第一表面之一第二表面及遠離該第一表面延伸之至少一個邊緣表面。至少一個導電元件可沿該第一表面延伸且至該等邊緣表面中之至少一者上。可導電地接合曝露在該等邊緣表面處之導電元件之部分以在其之間提供一導電路徑。
在此實施例中,可將一第一微電子裝置設置於第二微電子裝置上方,且可藉由加熱接近曝露在該第一微電子裝置之至少一個邊緣表面處之導電元件之一可熔金屬來執行該接合步驟。以此方式,該可熔金屬可流動至曝露在該第二微電子裝置之至少一個邊緣表面處之導電元件上。在此實施例中,該可熔金屬可橋接該第一微電子裝置及該第二微電子裝置之導電元件之間的一間隙。
在此實施例中,可將第一微電子裝置設置於第二微電子裝置上方,且可藉由將一可流動導電材料分配至曝露在該第一微電子裝置之至少一個邊緣表面處之導電元件上來執行該接合步驟。然後,該導電材料可流動至曝露在該第二微電子裝置之至少一個邊緣表面處之導電元件上。
如在本揭示內容中所使用,一導電結構「曝露在」一介電結構之一表面處之一陳述指示該導電結構可用於接觸一理論點,該理論點沿一垂直於該介電結構之該表面之方向自該介電結構之外側朝向該介電結構之該表面移動。因此,曝露在一介電結構之一表面處之一端子或其他導電結構可自此表面伸出;可與此表面齊平;或可相對於此表面凹進且透過電介質中之一孔或凹坑曝露。
圖1A顯示一含有若干個微電子晶片之晶圓100之一透視圖。晶圓基板102通常係一半導體材料(例如矽)但可由其他材料製成或係某一類型之一複合物。將該晶圓劃分為包括一微電子晶片之工作部分之一部分或全部之個別晶粒104;在額外處理之後該等晶粒以虛線103彼此分離開。每一晶片可具有一個或多個功能且使用為熟悉半導體處理技術者熟知之技術形成於基板表面上或基板表面下。此等實例並非意欲限定-經處理晶圓100可表示任何數量類型之裝置,包含記憶體、處理器、影像感測器或其他可能裝置。接合墊106電連接至基板上或基板中之電路。圖1B顯示一晶圓(例如圖1A中所圖解說明之晶圓100)之一部分之一剖視圖。為清晰起見,將接合墊106曝露在基板102之一「前」表面或觸點承載表面101處。接合墊106可突出高於表面101,可與該表面齊平或可相對於該表面凹進。虛線103指示每一晶粒之間的切分路線。儘管本文中所述之晶圓可係一其中形成有工作部分之半導體材料,但在其他情形下該晶圓可係一重新組成之晶圓,其中該晶圓由若干個經放置以形成個別晶粒且由一黏合劑(例如,一環氧樹脂)固持在一起之組件形成。另一選擇係,替代一晶圓100,該結構可係在虛線103處所顯示之晶粒之邊緣處連接在一起之複數個晶粒,該結構在形狀上可係矩形,例如一面板。晶粒之邊緣可在虛線處會合且該半導體材料可係一連續未切割結構,或晶粒之邊緣可彼此間隔開且藉助一黏合劑結合成一重新組成的結構。
圖1C-1I顯示用於根據一個實施例處理晶圓之步驟。注意,可按所述次序,或,另一選擇係,按一不同次序執行所圖解說明之步驟。在某些實施方案中,所述步驟中之兩者或更多者可組合為一單一步驟。在其他實施方案中,一所述步驟可自製程中完全排除。在又其他變體中,可需要額外處理步驟。
圖1C係晶圓100之一單一晶粒108之一透視圖,該晶粒108具有一接觸接合墊之第一金屬化層110。可以某一方式(例如沈積或鍍敷)將一金屬膜沈積在晶圓之一表面101上,且然後可圖案化該膜以形成該金屬化層。在一特定實施例中,可在該表面上形成一晶種層,然後進行圖案化且隨後進行鍍敷以形成該金屬化層。該金屬化層之一部分經組態以形成將用來連接所堆疊組件之連接墊112。可將該金屬化層組態為一重分佈層。額外地,金屬化層之部分作為導電(例如)金屬迹線自接合墊106延伸至晶粒之邊緣。圖1D係一晶圓(例如圖1A中所顯示之晶圓100(但添加有金屬化層110))之一剖視圖。為簡明起見,此圖僅顯示與每一晶粒上之每一組接合墊106接觸之一個連接墊區域112。注意,端視所需佈置一特定剖視圖可包含一個或多個單獨連接區域。
圖1E顯示處理晶圓中之下一個步驟。在圖1E中,翻轉圖1D中所示之總成以使得金屬化層110面向下且附接至一單獨臨時載體基板114。使用一黏合劑層116將臨時基板114固持至主要基板102。此後,可使用例如研磨或拋光之技術使主要基板102薄化至一所需厚度,其結果顯示為在圖1F中之結構117。
在圖1G中顯示處理晶圓中之一後續步驟。在圖1G中,在基板102中切割或蝕刻一通道118以敞開一孔以曝露第一金屬化層110之一部分。在一個實施例中,此蝕刻可藉由一製程執行,該製程經控制以停止在半導體基板與金屬化層之間的一中間層上,且然後繼續移除該中間層之一部分以曝露金屬化層110。該中間層可係一介電層。通道118可係用來曝露連接至一個或多個晶粒之複數個接合墊之金屬化層部分之渠溝。圖1H顯示在基板102之表面上形成一介電層120之步驟。除其他目的之外,該介電層用來保護基板102之最新經曝露之表面。該介電層使基板102與隨後形成之導電結構絕緣。通道118之底部係藉由一鈍化層之一經圖案化沈積而變得清晰可見,或係留下來覆蓋可能在一進一步步驟中被曝露之第一金屬化層之金屬迹線之材料。在任一情形下,圖1H之結構經形成曝露該第一金屬化層之一部分。
圖1I圖解說明形成沿基板102之後邊緣表面134及後表面132延伸之一第二金屬化層122之步驟。同樣,虛線顯示晶粒104可彼此分離開之位置。與第一金屬化層相似,第二金屬化層122可包含連接墊126及其他迹線。亦如圖1C中所示,連接墊126可距晶粒104之邊緣表面達一間隔距離107。亦即,連接墊本身沒有達到邊緣表面。需要特別注意的係導電元件124,其沿晶粒結構之邊緣延伸,使第一金屬化層及第二金屬化層之部分彼此電連接。在一個實施例中,導電元件124形成為第二金屬化層122之一部分且與第二金屬化層之連接墊126及相關聯迹線同時形成。另一選擇係,金屬化層122及導電元件124可在單獨步驟中形成。在形成導電元件及金屬化層之後,可將基板切成複數個如下文所述且相對於圖2A-2D所圖解說明之個別晶粒結構200。在圖2E中可看到一概括上述用以形成裝置200之步驟之流程。
儘管第二金屬化層之連接墊之大小、形狀及位置可與第一金屬化層110之連接墊之大小、形狀及位置相同或類似,但其他組態亦係可能的。各種層上之連接墊之對準可促進後來堆疊個別晶粒結構以形成一經堆疊微電子總成,舉例而言(例如)下文參照圖3所述。
圖2A係顯示一微電子裝置200在與鄰近元件分離開之後其一最終結構之一個實施例之一截面圖。圖2D係朝向該裝置之與表面201(接合墊106最初曝露在其上)相對之一表面203看去之一對應透視圖。圖2B圖解說明一導電元件124與第一金屬化層110之間的一實例連接之一詳細視圖。圖2B顯示若如先前針對實施例所述已完成該製程該等層之間的結合之結構。在美國專利6,972,480及7,192,796中闡述了用於形成類似金屬化層及該等金屬化層之間的結合之製程,其揭示內容以引用的方式併入本文中。在此實例中,導電元件124沿第一金屬化層沿表面201水平延伸之方向延伸。可將導電元件鍍敷至金屬化層上。端視如何執行單一化切割或其他因素,導電元件之延伸超出邊緣表面134之部分可在長度上變化。在一變體中,導電元件124'與金屬化層110'之間的結合可係如圖2C中所示,其中導電元件124'沿金屬化層110'之一邊緣208延伸,邊緣208沿層110'之一厚度214之一方向遠離表面201延伸。在美國專利6,646,289及6,777,767中闡述用於形成具有導電元件之間的類似結合之類似結構之製程,其揭示內容以引用的方式併入本文中。若將通道118蝕刻得較深且形成完全穿過第一金屬化層的其相交之部分之一間隙,則可產生此結構。在一個實施例中,可堆疊並連接類似於圖2A中所示之微電子裝置之微電子裝置以形成一經堆疊晶粒裝置。此之一實例可在圖3中看到。在此實例中,一晶粒堆疊總成300由四個大致相同之微電子裝置200組成。在堆疊中之各種裝置200可取自一單一晶圓,或其可來自不同晶圓。為改良可靠性及良率,在堆疊之前可測試每一晶粒裝置以在組裝前確保其功能完全。藉由使用已知良好裝置來構成堆疊,可減輕晶粒堆疊之複合良率問題之問題。如所述,可認知此堆疊方法為一晶粒層級製程,其中在晶粒自晶圓分離之後將其堆疊。
在一個實施例中,晶粒堆疊300在功能上係完全的,但可需要額外步驟以將其封裝為其最終形式。任何額外封裝步驟皆涉及彼等熟悉此項技術者所熟知之技術。
在一替代實施例中,可在晶圓層級進行結合。在將晶圓結合在一起成為一堆疊之後,可然後分離所完成之晶粒堆疊。
可以各種方式達成將一個晶粒實際結合至下一層。圖4A及圖4B圖解說明兩種實例性方法。在圖4A中,其顯示一與在圖2A中所圖解說明之彼等微電子裝置相似之一微電子裝置。在圖4B中,已將一導電接合材料層412(例如,其可係一可熔冶金結合金屬)放置在上部連接墊402上。可以一不同方式鍍敷或沈積可係焊料、錫、銦之結合金屬、此金屬之一低共熔物或合金或其他此金屬組合。如所顯示,可將結合金屬僅施加至曝露在後表面403處之連接墊402,但可以想像該製程可將金屬置於經曝露金屬表面之一者或多者上,包含側面導電元件或晶粒表面處之其他墊。在任一情形下,然後對準並堆疊具有結合金屬之晶粒。然後可加熱該堆疊以完成面與面結合。另一選擇係,可將一導電膏(例如,經銀填充之膏、金膏、銲膏,等等)用作導電接合材料以在該堆疊中之微電子裝置之導電元件之間形成一導電路徑。如在圖3中所圖解說明,某些微電子裝置之前表面201面對其他微電子裝置之後表面203。某些微電子裝置200之前表面201處之導電元件導電地接合至其他微電子裝置200之後表面203處之導電元件。在一特定實施例中,兩個微電子裝置可經配置以使得其前表面401(圖4A)彼此面對。然後,可將曝露在一微電子裝置400之一前表面401處之連接墊與曝露在另一微電子裝置之一前表面401處之連接墊結合。在另一實施例中,兩個微電子裝置之後表面可彼此面對且此等後表面上之接合墊可藉由上述方法結合。在一特定實施例中,可在微電子裝置200仍以晶圓形式附胺時在彼此面對之表面處進行導電元件之接合。
在另一晶粒堆疊實施例中,晶粒堆疊可由具有不同功能性之異類晶粒組成。圖5A顯示此一晶粒堆疊結構500。晶粒堆疊結構500類似於圖3中之晶粒堆疊結構;然而,堆疊中之個別裝置係不同的。在此實例中,在堆疊中之頂部兩個晶粒502係相同,但底部兩個晶粒(504及506)係不同。舉例而言,晶粒502可係一記憶體元件,晶粒504可係一記憶體控制器,且晶粒506可係一處理單元。在此堆疊組態中,個別晶粒之橫向大小係大致相同,且各種晶粒重疊之間的連接墊基本係相同位置。儘管可在一晶粒層級組裝晶粒堆疊500,但在替代實施例中,亦可在晶圓層級組裝晶粒堆疊500,其中很少浪費任一給定晶圓上之晶圓區域。不必限制晶粒之大小。實際上,圖5B顯示一其中晶粒堆疊結構510由功能及大小兩者皆異同之晶粒組成之實施例。在一個實施例中,對堆疊晶粒之唯一限制係毗鄰面應在相同位置中具有連接墊。在圖5B中所示之實例中,晶粒514在頂部及底部表面兩者上具有彼此不匹配之連接墊,但其經組態以分別匹配晶粒512及516上之彼等連接墊。
在一個實施例中,將一微電子裝置之連接墊定位在一晶片之接合墊處或其附近。對於某些組態,此可提供足夠可用之金屬表面區域以與一晶粒堆疊中之一第二微電子裝置形成一連接。圖6A顯示具有曝露在一前表面(亦即,觸點承載表面)601處之接合墊606之一晶粒600之一透視圖。此視圖類似於圖1C中之彼視圖。該晶粒係具有一個或多個晶粒的可等效於圖1A之晶圓之一晶圓之一部分。金屬化層可包含形成於晶片之接合墊上方或與晶片之接合墊接觸之連接墊604。在諸多情形下,晶片之接合墊之間隔可已經係如此近以便防止接合墊上方之金屬化層之寬度大致比接合墊自身寬。在此實施例之一變體中,在接合墊上方不提供任一金屬化層,此可在圖6B中看到。在圖6B中,接合墊係接近邊緣且可延伸至晶粒區域之邊緣以使得一第二金屬化步驟可經由一導電元件將每一接合墊連接至晶片之另一表面。在其他方面中,該製程之後續步驟類似於針對圖6A之結構之步驟。
再次參照圖6A,以一類似於圖2E中概述之製程之方式處理一基板602,例如,一晶圓。圖6C顯示一實例基板602之一剖視圖,該基板含有若干個晶粒600,其中一金屬化層604覆蓋下面的接合墊606,但在於接合墊上方不存在金屬化之情形(圖6B)中,可繞過第一金屬化步驟。在圖6D中,根據一個實施例,翻轉基板且用一黏合劑層608將其接合至一臨時基板610。圖6E顯示晶粒之後面薄化之後之基板602。圖6F顯示經切割或蝕刻以曝露第一金屬化層604(或變體中之接合墊)之後之基板602。然後,根據一個實施例,如在圖6G中所圖解說明,在經薄化及經蝕刻之基板上用一介電鈍化層614覆蓋該基板,使第一金屬化層之一部分曝露。根據一個實施例,沈積一第二金屬化層,從而形成連接第一金屬化層及第二金屬化層之連接墊616及導電元件618兩者。所得結構顯示於圖6H中。在沿虛線切分之後,可在圖6I中看到微電子裝置620之最終結構。
類似於先前實施例,可結合微電子裝置620以提供一晶粒堆疊。圖7顯示一實例性晶粒堆疊總成700。可藉由(例如)圖4A及圖4B中所示之方法結合一個微電子裝置之一前面處之連接墊604與曝露在毗鄰此裝置之該微電子裝置之一後面處之連接墊616來結合晶粒堆疊中之個別裝置。另一選擇係,可前面彼此面對地配置該等微電子裝置且藉由此等方法結合前面上之墊。在另一變體中,該等微電子裝置可經配置以使得後面彼此面對且結合其上之墊。由於裝置620之連接墊處之接合區域通常小於一個此裝置200(圖2),因此額外注意對於每一裝置彼此之間的適當對準係必要的。此處使用同質元件顯示晶粒堆疊700,但其亦可由具有不同大小及/或功能性之裝置形成。
在又一實施例中,可在結合步驟之後進行一堆疊中之晶粒之間的連接。圖8A顯示一單一微電子裝置800。裝置800之形成類似於圖2及圖7中之裝置之彼形成。曝露在邊緣804處之導電元件812不用來提供至晶粒之另一表面之一環繞橋,但替代地係連接元件(例如,接合條、迹線或墊)以為以後導電接合提供表面區域。圖8B顯示一由裝置800形成之晶粒堆疊810之一個實施例。堆疊中之每一裝置使用一黏合劑層802附接至下一個裝置。此時,該等裝置可不係彼此電接觸。為導電地結合該等裝置,可將一焊料或其他可回流材料806球沈積在堆疊之靠近側邊緣的頂部上。在施加熱之後,可回流材料806向下流動以潤濕堆疊中之微電子裝置之邊緣表面處所曝露之連接元件並將其結合在一起。可看到之結果為圖8B中之晶粒堆疊總成810。
在另一實施例中,可將上文製造方法(圖1A-1I)同時應用至兩個或更多個結合在一起之基板以形成具有內部堆疊之晶粒之微電子裝置。在圖9中提供一實例流程。在此實施例中,在添加側面導電元件之前可在後表面處結合兩個或更多個基板。為此,使一基板圖案化有一第一金屬化層且將其附接至一臨時載體晶圓。藉由研磨、拋光或某些其他方法來薄化該基板。此製程之結果顯示於圖10A中,其類似於圖1F之結構117。在圖10B中,顛倒翻轉一第二結構117且用一黏合劑層1002將其附接至第一結構117。圖10C顯示在移除上部臨時載體晶圓及一上部黏合劑層之後之中間晶圓堆疊1000。下一步,如圖10D顯示,穿過兩個基板及中心黏合劑層1002切割或蝕刻通道1004以曝露下部基板之第一金屬化層。
在此實施例中,一單獨鈍化步驟可係不必要的,此乃因基板之經薄化表面向內朝向中心黏合劑層。然而,在通道形成之後可添加此作為一選用之步驟,此乃因端視通道之形成方式在通道內可存在基板之某些未受保護區域。圖10E顯示在沈積且圖案化一金屬化層以在邊緣表面處形成側面導電元件1012之後之晶圓結構1010,該等側面導電元件將每一裝置1020之一第一表面1001上之導體(例如迹線、連接墊)與該裝置之一第二表面1003上之導電元件連接在一起。注意,雖然第三金屬化層形成將堆疊之頂部連接至底部之側面導電元件1012,但其亦與上部基板之經圖案化金屬化層重疊以在金屬化層之間形成導電路徑。儘管存在此等金屬層之某些重疊,但藉助此方法所達成之整個製程係簡化且成本節省,此乃因相同結構可用作該製程之一部分。在虛線處分離之後形成中間晶粒堆疊裝置1020且其顯示於圖10F中。類似於先前實施例,可使用例如上文相對於圖4B、7或8A-C所述之方法將晶粒堆疊裝置1020結合至一複合堆疊1030中之其他類似裝置。儘管裝置1020稱為一中間晶粒堆疊裝置,但期望可單獨封裝及使用此裝置,而不進行後續堆疊。
在一變體中,可省略上部基板上之第一金屬化層。此一實例係圖10H中所示之結構1040。在此變體中,用一黏合劑層將結構1040結合至下部基板且然後形成通道1004。沈積一金屬化層及後續進行圖案化將導致在外觀及功能方面與圖10E之結構1010大致相同之一結構,然後進一步以一等效方式對其進行處理及堆疊。可稍微不同地形成此變體中之兩個晶圓基板,從而消除製程中所需金屬化步驟中之一者。
在此實施例之一其他變體中(此處未描繪),可翻轉一第二晶圓堆疊1000且用另一黏合劑層附接至一第一晶圓堆疊1000,且然後再次移除上部載體晶圓。然後可切割並金屬化此總成以形成一四層級連接堆疊裝置。
在又一實施例中,在電連接兩個基板之前可以一面向下之方式處理該兩個基板。圖11A顯示欲結合之兩個晶圓結構,該兩個晶圓基板與圖1D之結構111及圖1F之結構117大致相同。不是將結構111附接至一臨時載體晶圓以進行薄化(如在圖1E中顯示),替代地直接將其附接至結構117之後面。此在圖11B中圖解說明,其中該兩個結構用一黏合劑層1102附接在一起。圖11C顯示薄化上部基板之結果。在此之後,如在圖11D中所繪示,穿過兩個基板及中心金屬化層形成通道。在圖11E中,沈積且圖案化一第三金屬化層以形成結構1110。此處注意,第三金屬化層之側面導電元件1112與其他兩個金屬化層接觸。最後,移除下部載體且分離裝置1120,如圖11F中所看到。可將此等裝置堆疊為如圖11G中所示之一晶粒堆疊總成1130或以某些其他方式堆疊此等裝置。
儘管本文已參照特定實施例對本發明進行了闡述,但應理解該等實施例僅圖解闡釋本發明之原理及應用。因此,應理解,可對說明性實施例進行眾多修改且可在不背離隨附申請專利範圍所界定之本發明之精神及範疇之條件下設計其他配置。
100...晶圓
101...觸點承載表面
102...晶圓基板
104...半導體晶粒
106...接合墊
107...間隔距離
108...單一晶圓
110...第一金屬化層
110'...金屬化層
111...結構
112...連接墊
114...單獨臨時載體基板
116...黏合劑層
117...結構
118...通道
120...介電層
122...第二金屬化層
124...導電元件
124'...導電元件
126...連接墊
132...後表面
134...後邊緣表面
200...第一微電子裝置(第二微電子裝置)
201...第一表面
203...第二表面
208...邊緣
300...微電子總成
400...微電子裝置
401...前表面
402...導電接合材料層(連接墊)
403...後表面
500...晶粒堆疊結構
502...晶粒
504...晶粒
506...晶粒
510...晶粒堆疊結構
512...晶粒
514...晶粒
516...晶粒
600...晶粒
601...觸點承載表面
602...基板
604...連接墊
606...接合墊
608...黏合劑層
610...臨時基板
614...介電鈍化層
616...連接墊
618...導電元件
620...微電子裝置
700...晶粒堆疊總成
800...單一微電子裝置
802...黏合劑層
804...邊緣
806...可回流材料球
810‧‧‧晶粒堆疊總成
1000‧‧‧中間晶圓堆疊
1001‧‧‧第一表面
1002‧‧‧黏合劑層
1003‧‧‧第二表面
1004‧‧‧通道
1010‧‧‧晶圓結構
1012‧‧‧側面導電元件
1020‧‧‧裝置
1030‧‧‧複合堆疊
1040‧‧‧結構
1102‧‧‧黏合劑層
1110‧‧‧結構
1112‧‧‧側面導電元件
1120‧‧‧裝置
1130‧‧‧晶粒堆疊總成
當結合其中相同參考編號在整個圖式中用來指代相同或類似部件之隨附圖式閱讀數個經圖解說明之實施例之下述說明時可最佳理解本文中所闡述之裝置及方法。該等圖式未必按比例繪製;而替代地將重點置於圖解說明所述實施例之原理上。
圖1A顯示一含有若干個微電子晶片之晶圓之一透視圖;
圖1B顯示該晶圓之一部分之一剖視圖。
圖1C圖解說明根據一個實施例具有一設置在接合墊上方之第一金屬化層之晶圓之一單一晶粒之一透視圖。
圖1D-1I顯示經歷額外處理步驟的類似於圖1B之晶圓之晶圓之一部分的剖視圖。
圖2A顯示根據一個實施例之一經分離微電子裝置之一剖視圖。
圖2B及圖2C顯示根據一個實施例圖2A之結合結構之變體之一詳細視圖。
圖2D係一顯示圖2A之微電子裝置之透視圖。
圖2E概述根據一個實施例用來形成一成品微電子裝置之一流程。
圖3顯示根據一個實施例形成一晶粒堆疊結構之數個晶粒之一剖視圖。
圖4A及圖4B顯示根據一個實施例形成圖3之裝置之結合方法之兩個變體之剖視圖。
圖5A顯示根據一個實施例包括異類元件之一晶粒堆疊結構之一剖視圖。
圖5B顯示根據一個實施例包括具有各種大小之晶粒之異類元件之一晶粒堆疊結構之一剖視圖。
圖6A圖解說明根據一個實施例具有一設置在接合墊上方之第一金屬化層之晶圓之一單一晶粒之一透視圖。
圖6B顯示根據一個實施例具有延伸至邊緣之接合墊之一晶圓之一單一晶粒之透視圖。
圖6C-6I顯示根據一個實施例經歷額外處理步驟的具有類似於圖6A之晶粒之晶粒的晶圓之一部分之剖視圖。
圖7顯示根據一個實施例所形成之一晶粒堆疊結構之一剖視圖。
圖8A顯示根據一個實施例之一晶粒結構之一剖視圖。
圖8B顯示根據一個實施例一添加有額外可回流材料之一晶粒堆疊之一剖視圖。
圖8C顯示根據一個實施例之一晶粒堆疊結構之一剖視圖。
圖9概述根據一個實施例用來形成一成品裝置晶粒之一流程。
圖10A-10E顯示根據一個實施例導致形成具有兩個或更多個晶粒之一晶粒堆疊之製程步驟之一剖視圖。
圖10F顯示根據一個實施例包括兩個或更多個晶粒之一晶粒結構之一剖視圖。
圖10G顯示根據一個實施例包括圖10F之多個晶粒結構之一晶粒堆疊結構之一剖視圖。
圖10H顯示根據一個實施例在圖10A中開始之流程之一部分之一變體的一剖視圖。
圖11A-11E顯示根據一個實施例導致形成一晶粒堆疊之製程步驟之一剖視圖。
圖11F顯示根據一個實施例包括兩個或更多個晶粒之一晶粒結構之一剖視圖。
圖11G顯示根據一個實施例包括圖11F之多個晶粒結構之一晶粒堆疊結構之一剖視圖。
200...第一微電子裝置(第二微電子裝置)
201...第一表面
203...第二表面
300...微電子總成

Claims (27)

  1. 一種微電子總成,其包括:一第一微電子裝置及一第二微電子裝置,該等微電子裝置之每一者皆包含一晶粒結構,該晶粒結構包含至少一個半導體晶粒,且該等微電子裝置之每一者皆具有:一第一表面;相對該第一表面之一第二表面;以非直角之一角度遠離該第一表面及該第二表面延伸之至少一個邊緣表面;及至少一個第一導電元件,該至少一個第一導電元件沿該第一表面延伸且延伸到該至少一個邊緣表面上並與至少一個第二導電元件接觸,該至少一個第二導電元件沿該第二表面延伸,該第一微電子裝置之該等第一及第二導電元件之至少一者導電地接合至該第二微電子裝置之該等第一及第二導電元件之至少一者以在其之間提供一導電路徑。
  2. 如請求項1之微電子總成,其中該等微電子裝置之每一者之該至少一個第一導電元件包含一第一元件,該第一元件係藉由鍍敷至該等微電子裝置之每一者之該第一表面及該至少一個邊緣表面上而形成;且該等微電子裝置之每一者之該至少一個第二導電元件包含一第二元件,該第二元件係藉由鍍敷至該等微電子裝置之每一者之該第二表面上而形成,其中該等微電子裝置之每一者之該第一元件鍍敷在該等微電子裝置之每一者之該第二元件之部分上。
  3. 如請求項2之微電子總成,其中該等微電子裝置之每一者之該第一元件沿該第一元件鍍敷在該等微電子裝置之每一者之該第二元件之該部分上延伸。
  4. 如請求項2之微電子總成,其中該等微電子裝置之每一者之該第一元件沿該等微電子裝置之每一者之該第二元件之一邊緣而延伸。
  5. 如請求項1之微電子總成,其中使用一可熔金屬將該第一微電子裝置之該至少一個導電元件導電地接合至該第二微電子裝置之該至少一個導電元件。
  6. 如請求項1之微電子總成,其中使用導電膏將該第一微電子裝置之該至少一個導電元件導電地接合至該第二微電子裝置之該至少一個導電元件。
  7. 如請求項1之微電子總成,其中該第一微電子裝置之該第一表面及該第二表面中之一者面對該第二微電子裝置之該第一表面及該第二表面中之一者,且該等導電元件之曝露在該等面對表面處之部分被接合在一起。
  8. 如請求項7之微電子總成,其中該第一微電子裝置及該第二微電子裝置之該等第一導電元件或該等第二導電元件之至少一者包含對應地曝露在該等微電子裝置之每一者之該第一表面或該第二表面處之導電墊,該等導電墊被接合在一起。
  9. 如請求項7之微電子總成,其中該第一微電子裝置或該第二微電子裝置之該等第一導電元件或該等第二導電元件之至少一者包含迹線及導電墊,其中該等導電墊之至 少一者經設置距該至少一個微電子裝置之該至少一個邊緣表面達一間隔距離。
  10. 如請求項7之微電子總成,其中該等微電子裝置之每一者之該等導電元件之至少一者包含接近該等微電子裝置之每一者之該至少一個邊緣表面之一導電墊。
  11. 如請求項10之微電子總成,其中該等微電子裝置之每一者之該導電墊延伸至該等微電子裝置之每一者之該至少一個邊緣表面。
  12. 如請求項1之微電子總成,其中該等晶粒結構中之至少一者包含複數個半導體晶粒。
  13. 如請求項12之微電子總成,其中包含於該至少一個晶粒結構中之該等半導體晶粒中之至少兩者之接合墊承載表面面向相同方向。
  14. 如請求項12之微電子總成,其中包含於該至少一個晶粒結構中之該等半導體晶粒中之至少兩者之接合墊承載表面面向不同方向。
  15. 如請求項1之微電子總成,其中該第一微電子裝置或該第二微電子裝置之至少一者之該邊緣表面以相對於該第一微電子裝置或該第二微電子裝置之該第一表面及該第二表面中之至少一者呈50度與89度之間的一角度延伸。
  16. 如請求項1之微電子總成,其中該第一微電子裝置及該第二微電子裝置沿一垂直方向堆疊且該第一微電子裝置及該第二微電子裝置之該至少一個邊緣表面在遠離該垂直方向之一方向上彼此偏移。
  17. 如請求項1之微電子總成,其中該第一微電子裝置及該第二微電子裝置之該等第一表面在橫向方向上延伸且在該等橫向方向上具有第一尺寸,其中該第一微電子裝置及該第二微電子裝置之該等第一表面之該等橫向尺寸係不同。
  18. 一種微電子總成,其包括:一第一微電子裝置及一第二微電子裝置,該等微電子裝置之每一者皆包含一晶粒結構,該晶粒結構包含至少一個半導體晶粒,且該等微電子裝置之每一者皆具有:一第一表面;相對該第一表面之一第二表面;以非直角之一角度遠離該第一表面延伸之至少一個邊緣表面;及至少一個第一導電元件,該至少一個第一導電元件沿該第一表面延伸且延伸到該至少一個邊緣表面上並與至少一個第二導電元件接觸,該至少一個第二導電元件沿該第二表面延伸,該第一微電子裝置之該等第一及第二導電元件之至少一者導電地接合至該第二微電子裝置之該等第一及第二導電元件之至少一者以在其之間提供一導電路徑。
  19. 如請求項18之微電子總成,其中該至少一個邊緣表面以非直角之一角度遠離該第一表面及該第二表面延伸。
  20. 如請求項18之微電子總成,其中該等導電元件之曝露在該第一微電子裝置之該至少一個邊緣表面處之至少邊緣部分經導電地接合至該等導電元件曝露在該第二微電子裝置之該至少一個邊緣表面處的至少邊緣部分以提供該 導電路徑。
  21. 如請求項20之微電子總成,其中使用一可熔金屬將該第一微電子裝置之該等導電元件之該等至少邊緣部分導電地接合至該第二微電子裝置之該等導電元件之該等至少邊緣部分。
  22. 如請求項20之微電子總成,其中使用導電膏將該第一微電子裝置之該等導電元件之該等至少邊緣部分導電地接合至該第二微電子裝置之該等導電元件之該等至少邊緣部分。
  23. 一種製造一經堆疊微電子總成之方法,其包括:配置一第一微電子裝置之一主表面以面對一第二微電子裝置之一主表面,且將曝露在該第一微電子裝置之該主表面處之至少一個導電元件與曝露在該第二微電子裝置之該主表面處之至少一個導電元件導電地接合以在其之間提供一導電路徑,其中該等微電子裝置之每一者皆包含一晶粒結構,該晶粒結構包含至少一個半導體晶粒,且該等微電子裝置之每一者皆具有一第一主表面、相對該第一主表面之一第二主表面、以非直角之一角度遠離該第一主表面延伸之至少一個邊緣表面、及至少一個第一導電元件,該至少一個第一導電元件沿該第一主表面延伸且延伸到該至少一個邊緣表面上並於該第一主表面處與至少一個第二導電元件接觸,該至少一個第二導電元件沿該第二主表面延伸。
  24. 一種製造一經堆疊微電子總成之方法,其包括:形成包含一第一微電子裝置及一第二微電子裝置之一堆疊,該等微電子裝置中之每一者皆包含一晶粒結構,該晶粒結構包含至少一個半導體晶粒,且該等微電子裝置中之每一者皆具有:一第一表面;相對該第一表面之一第二表面;以非直角之一角度遠離該第一表面延伸之至少一個邊緣表面;至少一個第一導電元件,其沿該第一表面延伸且延伸至該至少一個邊緣表面上並與至少一個第二導電元件接觸,該至少一個第二導電元件沿該第二表面延伸;及將曝露在該第一微電子裝置之該邊緣表面處之該等導電元件之至少一者之部分導電地接合至曝露在該第二微電子裝置之該邊緣表面處之該等導電元件之至少一者以在其之間提供一導電路徑。
  25. 如請求項24之方法,其中將該第一微電子裝置設置在該第二微電子裝置上方,且藉由加熱接近曝露在該第一微電子裝置之該至少一個邊緣表面處之該導電元件之一可熔金屬,以使得該可熔金屬流動至曝露在該第二微電子裝置之該至少一個邊緣表面處之該導電元件上來執行該接合步驟。
  26. 如請求項25之方法,其中該可熔金屬橋接該第一微電子裝置及該第二微電子裝置之該等導電元件之間的一間隙。
  27. 如請求項24之方法,其中將該第一微電子裝置設置於該 第二微電子裝置上方,且藉由將一可流動導電材料分配至曝露在該第一微電子裝置之該至少一個邊緣表面處之該導電元件上以使得該導電材料流動至曝露在該第二微電子裝置之該至少一個邊緣表面處之該導電元件上來執行該接合步驟。
TW098120145A 2008-06-16 2009-06-16 微電子總成及製造一經堆疊微電子總成之方法 TWI425611B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US6195308P 2008-06-16 2008-06-16

Publications (2)

Publication Number Publication Date
TW201005918A TW201005918A (en) 2010-02-01
TWI425611B true TWI425611B (zh) 2014-02-01

Family

ID=40974425

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098120145A TWI425611B (zh) 2008-06-16 2009-06-16 微電子總成及製造一經堆疊微電子總成之方法

Country Status (7)

Country Link
US (1) US8680662B2 (zh)
EP (1) EP2308087B1 (zh)
JP (1) JP5639052B2 (zh)
KR (1) KR101655897B1 (zh)
CN (1) CN102067310B (zh)
TW (1) TWI425611B (zh)
WO (1) WO2009154761A1 (zh)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7215018B2 (en) 2004-04-13 2007-05-08 Vertical Circuits, Inc. Stacked die BGA or LGA component assembly
US7829438B2 (en) 2006-10-10 2010-11-09 Tessera, Inc. Edge connect wafer level stacking
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US7952195B2 (en) 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US8723332B2 (en) 2007-06-11 2014-05-13 Invensas Corporation Electrically interconnected stacked die assemblies
US8461672B2 (en) 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
KR101533663B1 (ko) 2007-08-03 2015-07-03 테세라, 인코포레이티드 재구성된 웨이퍼를 이용한 스택 패키지
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
WO2009035849A2 (en) 2007-09-10 2009-03-19 Vertical Circuits, Inc. Semiconductor die mount by conformal die coating
KR101554761B1 (ko) 2008-03-12 2015-09-21 인벤사스 코포레이션 지지부에 실장되는 전기적으로 인터커넥트된 다이 조립체
US9153517B2 (en) * 2008-05-20 2015-10-06 Invensas Corporation Electrical connector between die pad and z-interconnect for stacked die assemblies
US7863159B2 (en) 2008-06-19 2011-01-04 Vertical Circuits, Inc. Semiconductor die separation method
EP2308087B1 (en) 2008-06-16 2020-08-12 Tessera, Inc. Stacking of wafer-level chip scale packages having edge contacts
KR101187214B1 (ko) 2009-03-13 2012-10-02 테세라, 인코포레이티드 본드 패드를 통과하여 연장된 비아를 갖는 마이크로전자 소자를 포함하는 적층형 마이크로전자 어셈블리
KR101715426B1 (ko) 2009-06-26 2017-03-10 인벤사스 코포레이션 지그재그 구조로 적층된 다이용 전기 인터커넥트
US8242543B2 (en) * 2009-08-26 2012-08-14 Qualcomm Incorporated Semiconductor wafer-to-wafer bonding for dissimilar semiconductor dies and/or wafers
TWI520213B (zh) 2009-10-27 2016-02-01 英維瑟斯公司 加成法製程之選擇性晶粒電絕緣
TWI544604B (zh) 2009-11-04 2016-08-01 英維瑟斯公司 具有降低應力電互連的堆疊晶粒總成
US20110221053A1 (en) * 2010-03-11 2011-09-15 Qualcomm Incorporated Pre-processing to reduce wafer level warpage
US8796137B2 (en) * 2010-06-24 2014-08-05 Stats Chippac, Ltd. Semiconductor device and method of forming RDL along sloped side surface of semiconductor die for z-direction interconnect
US8482111B2 (en) 2010-07-19 2013-07-09 Tessera, Inc. Stackable molded microelectronic packages
KR101128063B1 (ko) 2011-05-03 2012-04-23 테세라, 인코포레이티드 캡슐화 층의 표면에 와이어 본드를 구비하는 패키지 적층형 어셈블리
US8404520B1 (en) 2011-10-17 2013-03-26 Invensas Corporation Package-on-package assembly with wire bond vias
US8946757B2 (en) 2012-02-17 2015-02-03 Invensas Corporation Heat spreading substrate with embedded interconnects
US8372741B1 (en) 2012-02-24 2013-02-12 Invensas Corporation Method for package-on-package assembly with wire bonds to encapsulation surface
US8835228B2 (en) 2012-05-22 2014-09-16 Invensas Corporation Substrate-less stackable package with wire-bond interconnect
CN103515257A (zh) * 2012-06-18 2014-01-15 智瑞达科技(苏州)有限公司 高密度半导体封装结构的封装方法
US9391008B2 (en) * 2012-07-31 2016-07-12 Invensas Corporation Reconstituted wafer-level package DRAM
US9502390B2 (en) 2012-08-03 2016-11-22 Invensas Corporation BVA interposer
US20140306331A1 (en) * 2013-04-11 2014-10-16 Infineon Technologies Austria Ag Chip and chip arrangement
US20140326856A1 (en) * 2013-05-06 2014-11-06 Omnivision Technologies, Inc. Integrated circuit stack with low profile contacts
US9167710B2 (en) 2013-08-07 2015-10-20 Invensas Corporation Embedded packaging with preformed vias
US9685365B2 (en) 2013-08-08 2017-06-20 Invensas Corporation Method of forming a wire bond having a free end
US20150076714A1 (en) 2013-09-16 2015-03-19 Invensas Corporation Microelectronic element with bond elements to encapsulation surface
US9379074B2 (en) 2013-11-22 2016-06-28 Invensas Corporation Die stacks with one or more bond via arrays of wire bond wires and with one or more arrays of bump interconnects
US9263394B2 (en) 2013-11-22 2016-02-16 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9583456B2 (en) 2013-11-22 2017-02-28 Invensas Corporation Multiple bond via arrays of different wire heights on a same substrate
US9583411B2 (en) 2014-01-17 2017-02-28 Invensas Corporation Fine pitch BVA using reconstituted wafer with area array accessible for testing
US10381326B2 (en) 2014-05-28 2019-08-13 Invensas Corporation Structure and method for integrated circuits packaging with increased density
US9735084B2 (en) 2014-12-11 2017-08-15 Invensas Corporation Bond via array for thermal conductivity
US9888579B2 (en) 2015-03-05 2018-02-06 Invensas Corporation Pressing of wire bond wire tips to provide bent-over tips
US9502372B1 (en) 2015-04-30 2016-11-22 Invensas Corporation Wafer-level packaging using wire bond wires in place of a redistribution layer
US9761554B2 (en) 2015-05-07 2017-09-12 Invensas Corporation Ball bonding metal wire bond wires to metal pads
US9490195B1 (en) 2015-07-17 2016-11-08 Invensas Corporation Wafer-level flipped die stacks with leadframes or metal foil interconnects
US9871019B2 (en) 2015-07-17 2018-01-16 Invensas Corporation Flipped die stack assemblies with leadframe interconnects
US9825002B2 (en) 2015-07-17 2017-11-21 Invensas Corporation Flipped die stack
US10490528B2 (en) 2015-10-12 2019-11-26 Invensas Corporation Embedded wire bond wires
US9490222B1 (en) 2015-10-12 2016-11-08 Invensas Corporation Wire bond wires for interference shielding
US10332854B2 (en) 2015-10-23 2019-06-25 Invensas Corporation Anchoring structure of fine pitch bva
US10181457B2 (en) 2015-10-26 2019-01-15 Invensas Corporation Microelectronic package for wafer-level chip scale packaging with fan-out
US10043779B2 (en) 2015-11-17 2018-08-07 Invensas Corporation Packaged microelectronic device for a package-on-package device
US9659848B1 (en) 2015-11-18 2017-05-23 Invensas Corporation Stiffened wires for offset BVA
US11037904B2 (en) * 2015-11-24 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Singulation and bonding methods and structures formed thereby
US9508691B1 (en) 2015-12-16 2016-11-29 Invensas Corporation Flipped die stacks with multiple rows of leadframe interconnects
US9984992B2 (en) 2015-12-30 2018-05-29 Invensas Corporation Embedded wire bond wires for vertical integration with separate surface mount and wire bond mounting surfaces
US10566310B2 (en) 2016-04-11 2020-02-18 Invensas Corporation Microelectronic packages having stacked die and wire bond interconnects
US9595511B1 (en) 2016-05-12 2017-03-14 Invensas Corporation Microelectronic packages and assemblies with improved flyby signaling operation
US9728524B1 (en) 2016-06-30 2017-08-08 Invensas Corporation Enhanced density assembly having microelectronic packages mounted at substantial angle to board
US9935075B2 (en) 2016-07-29 2018-04-03 Invensas Corporation Wire bonding method and apparatus for electromagnetic interference shielding
US9996725B2 (en) * 2016-11-03 2018-06-12 Optiz, Inc. Under screen sensor assembly
WO2018105201A1 (ja) 2016-12-08 2018-06-14 株式会社村田製作所 複合部品及びその実装構造
US10299368B2 (en) 2016-12-21 2019-05-21 Invensas Corporation Surface integrated waveguides and circuit structures therefor
DE102017109670B4 (de) * 2017-05-05 2019-12-24 Infineon Technologies Ag Herstellungsverfahren für ein Chippackage mit Seitenwandmetallisierung
KR102435517B1 (ko) * 2018-04-12 2022-08-22 에스케이하이닉스 주식회사 칩 스택 패키지
CN210223952U (zh) * 2019-03-26 2020-03-31 Pep创新私人有限公司 面板组件、晶圆封装体以及芯片封装体
JP2022049485A (ja) 2020-09-16 2022-03-29 キオクシア株式会社 半導体記憶装置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040142509A1 (en) * 2002-09-24 2004-07-22 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US20050067680A1 (en) * 2003-09-30 2005-03-31 Boon Suan Jeung Castellated chip-scale packages and methods for fabricating the same
US7115986B2 (en) * 2001-05-02 2006-10-03 Micron Technology, Inc. Flexible ball grid array chip scale packages

Family Cites Families (250)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4074342A (en) 1974-12-20 1978-02-14 International Business Machines Corporation Electrical package for lsi devices and assembly process therefor
US4500905A (en) 1981-09-30 1985-02-19 Tokyo Shibaura Denki Kabushiki Kaisha Stacked semiconductor device with sloping sides
US4897708A (en) 1986-07-17 1990-01-30 Laser Dynamics, Inc. Semiconductor wafer array
US4954875A (en) 1986-07-17 1990-09-04 Laser Dynamics, Inc. Semiconductor wafer array with electrically conductive compliant material
US4765864A (en) 1987-07-15 1988-08-23 Sri International Etching method for producing an electrochemical cell in a crystalline substrate
US4842699A (en) 1988-05-10 1989-06-27 Avantek, Inc. Method of selective via-hole and heat sink plating using a metal mask
JP2876773B2 (ja) * 1990-10-22 1999-03-31 セイコーエプソン株式会社 プログラム命令語長可変型計算装置及びデータ処理装置
US5614766A (en) 1991-09-30 1997-03-25 Rohm Co., Ltd. Semiconductor device with stacked alternate-facing chips
WO1993023982A1 (en) 1992-05-11 1993-11-25 Nchip, Inc. Stacked devices for multichip modules
US5322816A (en) 1993-01-19 1994-06-21 Hughes Aircraft Company Method for forming deep conductive feedthroughs
US5426072A (en) 1993-01-21 1995-06-20 Hughes Aircraft Company Process of manufacturing a three dimensional integrated circuit from stacked SOI wafers using a temporary silicon substrate
FR2704690B1 (fr) 1993-04-27 1995-06-23 Thomson Csf Procédé d'encapsulation de pastilles semi-conductrices, dispositif obtenu par ce procédé et application à l'interconnexion de pastilles en trois dimensions.
US5343071A (en) 1993-04-28 1994-08-30 Raytheon Company Semiconductor structures having dual surface via holes
DE4314907C1 (de) 1993-05-05 1994-08-25 Siemens Ag Verfahren zur Herstellung von vertikal miteinander elektrisch leitend kontaktierten Halbleiterbauelementen
IL106892A0 (en) 1993-09-02 1993-12-28 Pierre Badehi Methods and apparatus for producing integrated circuit devices
US5412539A (en) 1993-10-18 1995-05-02 Hughes Aircraft Company Multichip module with a mandrel-produced interconnecting decal
US5424245A (en) 1994-01-04 1995-06-13 Motorola, Inc. Method of forming vias through two-sided substrate
IL108359A (en) 1994-01-17 2001-04-30 Shellcase Ltd Method and device for creating integrated circular devices
US5502333A (en) 1994-03-30 1996-03-26 International Business Machines Corporation Semiconductor stack structures and fabrication/sparing methods utilizing programmable spare circuit
US5675180A (en) 1994-06-23 1997-10-07 Cubic Memory, Inc. Vertical interconnect process for silicon segments
US6228686B1 (en) * 1995-09-18 2001-05-08 Tessera, Inc. Method of fabricating a microelectronic assembly using sheets with gaps to define lead regions
IL110261A0 (en) 1994-07-10 1994-10-21 Schellcase Ltd Packaged integrated circuit
US5880010A (en) 1994-07-12 1999-03-09 Sun Microsystems, Inc. Ultrathin electronics
MY114888A (en) 1994-08-22 2003-02-28 Ibm Method for forming a monolithic electronic module by stacking planar arrays of integrated circuit chips
DE4433845A1 (de) 1994-09-22 1996-03-28 Fraunhofer Ges Forschung Verfahren zur Herstellung einer dreidimensionalen integrierten Schaltung
DE4433846C2 (de) 1994-09-22 1999-06-02 Fraunhofer Ges Forschung Verfahren zur Herstellung einer vertikalen integrierten Schaltungsstruktur
US5466634A (en) 1994-12-20 1995-11-14 International Business Machines Corporation Electronic modules with interconnected surface metallization layers and fabrication methods therefore
DE19516487C1 (de) 1995-05-05 1996-07-25 Fraunhofer Ges Forschung Verfahren zur vertikalen Integration mikroelektronischer Systeme
US5814889A (en) 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US5618752A (en) 1995-06-05 1997-04-08 Harris Corporation Method of fabrication of surface mountable integrated circuits
US5682062A (en) 1995-06-05 1997-10-28 Harris Corporation System for interconnecting stacked integrated circuits
US5646067A (en) 1995-06-05 1997-07-08 Harris Corporation Method of bonding wafers having vias including conductive material
US5608264A (en) 1995-06-05 1997-03-04 Harris Corporation Surface mountable integrated circuit with conductive vias
US5604673A (en) 1995-06-07 1997-02-18 Hughes Electronics Low temperature co-fired ceramic substrates for power converters
US5648684A (en) 1995-07-26 1997-07-15 International Business Machines Corporation Endcap chip with conductive, monolithic L-connect for multichip stack
US6002167A (en) 1995-09-22 1999-12-14 Hitachi Cable, Ltd. Semiconductor device having lead on chip structure
JP2743904B2 (ja) 1996-02-16 1998-04-28 日本電気株式会社 半導体基板およびこれを用いた半導体装置の製造方法
US5817530A (en) 1996-05-20 1998-10-06 Micron Technology, Inc. Use of conductive lines on the back side of wafers and dice for semiconductor interconnects
US6784023B2 (en) 1996-05-20 2004-08-31 Micron Technology, Inc. Method of fabrication of stacked semiconductor devices
JP3620936B2 (ja) 1996-10-11 2005-02-16 浜松ホトニクス株式会社 裏面照射型受光デバイスおよびその製造方法
KR100214562B1 (ko) 1997-03-24 1999-08-02 구본준 적층 반도체 칩 패키지 및 그 제조 방법
US6551857B2 (en) 1997-04-04 2003-04-22 Elm Technology Corporation Three dimensional structure integrated circuits
US5915167A (en) 1997-04-04 1999-06-22 Elm Technology Corporation Three dimensional structure memory
EP0926723B1 (en) 1997-11-26 2007-01-17 STMicroelectronics S.r.l. Process for forming front-back through contacts in micro-integrated electronic devices
US6620731B1 (en) 1997-12-18 2003-09-16 Micron Technology, Inc. Method for fabricating semiconductor components and interconnects with contacts on opposing sides
US6624505B2 (en) 1998-02-06 2003-09-23 Shellcase, Ltd. Packaged integrated circuits and methods of producing thereof
IL123207A0 (en) 1998-02-06 1998-09-24 Shellcase Ltd Integrated circuit device
EP0985228A1 (en) 1998-03-02 2000-03-15 Koninklijke Philips Electronics N.V. Semiconductor device comprising a glass supporting body onto which a substrate with semiconductor elements and a metallization is attached by means of an adhesive
US6982475B1 (en) 1998-03-20 2006-01-03 Mcsp, Llc Hermetic wafer scale integrated circuit structure
KR100266693B1 (ko) 1998-05-30 2000-09-15 김영환 적층가능한 비지에이 반도체 칩 패키지 및 그 제조방법
US6492201B1 (en) 1998-07-10 2002-12-10 Tessera, Inc. Forming microelectronic connection components by electrophoretic deposition
US6103552A (en) 1998-08-10 2000-08-15 Lin; Mou-Shiung Wafer scale packaging scheme
US6153929A (en) 1998-08-21 2000-11-28 Micron Technology, Inc. Low profile multi-IC package connector
US6261865B1 (en) 1998-10-06 2001-07-17 Micron Technology, Inc. Multi chip semiconductor package and method of construction
KR100304959B1 (ko) 1998-10-21 2001-09-24 김영환 칩 적층형 반도체 패키지 및 그 제조방법
SG78324A1 (en) 1998-12-17 2001-02-20 Eriston Technologies Pte Ltd Bumpless flip chip assembly with strips-in-via and plating
US6229216B1 (en) 1999-01-11 2001-05-08 Intel Corporation Silicon interposer and multi-chip-module (MCM) with through substrate vias
JP3228257B2 (ja) 1999-01-22 2001-11-12 日本電気株式会社 メモリパッケージ
US6130823A (en) 1999-02-01 2000-10-10 Raytheon E-Systems, Inc. Stackable ball grid array module and method
US6204562B1 (en) 1999-02-11 2001-03-20 United Microelectronics Corp. Wafer-level chip scale package
EP1041624A1 (en) 1999-04-02 2000-10-04 Interuniversitair Microelektronica Centrum Vzw Method of transferring ultra-thin substrates and application of the method to the manufacture of a multilayer thin film device
JP3532788B2 (ja) 1999-04-13 2004-05-31 唯知 須賀 半導体装置及びその製造方法
US20030233704A1 (en) 2000-04-17 2003-12-25 Miguel Castellote Air massage system for bathtub
JP3895595B2 (ja) 1999-05-27 2007-03-22 フラウンホーファー−ゲゼルシャフト・ツール・フェルデルング・デル・アンゲヴァンテン・フォルシュング・アインゲトラーゲネル・フェライン 背面接触により電気コンポーネントを垂直に集積する方法
JP2001035995A (ja) 1999-07-22 2001-02-09 Seiko Epson Corp 半導体チップの貫通孔形成方法
WO2001015228A1 (fr) 1999-08-19 2001-03-01 Seiko Epson Corporation Panneau de cablage, procede de fabrication d'un panneau de cablage, dispositif semiconducteur, procede de fabrication d'un dispositif semiconducteur, carte a circuit imprime et appareil electronique
US6277669B1 (en) 1999-09-15 2001-08-21 Industrial Technology Research Institute Wafer level packaging method and packages formed
JP2001156250A (ja) * 1999-11-24 2001-06-08 Seiko Epson Corp 半導体チップ、マルチチップパッケージ,および半導体装置と、並びに、それを用いた電子機器
IL133453A0 (en) 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6621155B1 (en) 1999-12-23 2003-09-16 Rambus Inc. Integrated circuit device having stacked dies and impedance balanced transmission lines
US6376904B1 (en) 1999-12-23 2002-04-23 Rambus Inc. Redistributed bond pads in stacked integrated circuit die package
JP3879351B2 (ja) 2000-01-27 2007-02-14 セイコーエプソン株式会社 半導体チップの製造方法
JP3684978B2 (ja) 2000-02-03 2005-08-17 セイコーエプソン株式会社 半導体装置およびその製造方法ならびに電子機器
JP2001223323A (ja) 2000-02-10 2001-08-17 Mitsubishi Electric Corp 半導体装置
US6498387B1 (en) 2000-02-15 2002-12-24 Wen-Ken Yang Wafer level package and the process of the same
US6252305B1 (en) 2000-02-29 2001-06-26 Advanced Semiconductor Engineering, Inc. Multichip module having a stacked chip arrangement
US6344401B1 (en) 2000-03-09 2002-02-05 Atmel Corporation Method of forming a stacked-die integrated circuit chip package on a water level
US6396710B1 (en) 2000-05-12 2002-05-28 Raytheon Company High density interconnect module
JP3879816B2 (ja) 2000-06-02 2007-02-14 セイコーエプソン株式会社 半導体装置及びその製造方法、積層型半導体装置、回路基板並びに電子機器
US6472247B1 (en) 2000-06-26 2002-10-29 Ricoh Company, Ltd. Solid-state imaging device and method of production of the same
JP3405456B2 (ja) * 2000-09-11 2003-05-12 沖電気工業株式会社 半導体装置,半導体装置の製造方法,スタック型半導体装置及びスタック型半導体装置の製造方法
US6693358B2 (en) 2000-10-23 2004-02-17 Matsushita Electric Industrial Co., Ltd. Semiconductor chip, wiring board and manufacturing process thereof as well as semiconductor device
JP3433193B2 (ja) * 2000-10-23 2003-08-04 松下電器産業株式会社 半導体チップおよびその製造方法
JP4505983B2 (ja) 2000-12-01 2010-07-21 日本電気株式会社 半導体装置
JP3420748B2 (ja) 2000-12-14 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
JP2002184937A (ja) 2000-12-18 2002-06-28 Shinko Electric Ind Co Ltd 半導体装置の実装構造
US20020074637A1 (en) 2000-12-19 2002-06-20 Intel Corporation Stacked flip chip assemblies
KR100855015B1 (ko) 2000-12-21 2008-08-28 테쎄라 테크놀로지스 헝가리 케이에프티. 패키징된 집적회로 및 그 제조 방법
JP3915513B2 (ja) 2001-01-12 2007-05-16 コニカミノルタホールディングス株式会社 撮像装置
US20020098620A1 (en) 2001-01-24 2002-07-25 Yi-Chuan Ding Chip scale package and manufacturing method thereof
KR100352236B1 (ko) 2001-01-30 2002-09-12 삼성전자 주식회사 접지 금속층을 갖는 웨이퍼 레벨 패키지
WO2002063681A1 (en) 2001-02-08 2002-08-15 Hitachi, Ltd. Semiconductor integrated circuit device and its manufacturing method
KR100364635B1 (ko) 2001-02-09 2002-12-16 삼성전자 주식회사 칩-레벨에 형성된 칩 선택용 패드를 포함하는 칩-레벨3차원 멀티-칩 패키지 및 그 제조 방법
US6717254B2 (en) 2001-02-22 2004-04-06 Tru-Si Technologies, Inc. Devices having substrates with opening passing through the substrates and conductors in the openings, and methods of manufacture
US6498381B2 (en) 2001-02-22 2002-12-24 Tru-Si Technologies, Inc. Semiconductor structures having multiple conductive layers in an opening, and methods for fabricating same
US6528408B2 (en) 2001-05-21 2003-03-04 Micron Technology, Inc. Method for bumped die and wire bonded board-on-chip package
JP3651413B2 (ja) 2001-05-21 2005-05-25 日立電線株式会社 半導体装置用テープキャリア及びそれを用いた半導体装置、半導体装置用テープキャリアの製造方法及び半導体装置の製造方法
US6878608B2 (en) 2001-05-31 2005-04-12 International Business Machines Corporation Method of manufacture of silicon based package
US20030006494A1 (en) 2001-07-03 2003-01-09 Lee Sang Ho Thin profile stackable semiconductor package and method for manufacturing
JP3660918B2 (ja) 2001-07-04 2005-06-15 松下電器産業株式会社 半導体装置及びその製造方法
KR100394808B1 (ko) 2001-07-19 2003-08-14 삼성전자주식회사 웨이퍼 레벨 적층 칩 패키지 및 그 제조 방법
US6787916B2 (en) 2001-09-13 2004-09-07 Tru-Si Technologies, Inc. Structures having a substrate with a cavity and having an integrated circuit bonded to a contact pad located in the cavity
US6727576B2 (en) 2001-10-31 2004-04-27 Infineon Technologies Ag Transfer wafer level packaging
US6611052B2 (en) 2001-11-16 2003-08-26 Micron Technology, Inc. Wafer level stackable semiconductor package
JP2003163324A (ja) 2001-11-27 2003-06-06 Nec Corp ユニット半導体装置及びその製造方法並びに3次元積層型半導体装置
US6607941B2 (en) 2002-01-11 2003-08-19 National Semiconductor Corporation Process and structure improvements to shellcase style packaging technology
US6743660B2 (en) 2002-01-12 2004-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Method of making a wafer level chip scale package
JP4002106B2 (ja) 2002-01-16 2007-10-31 日立オムロンターミナルソリューションズ株式会社 自動取引装置
KR100486832B1 (ko) 2002-02-06 2005-05-03 삼성전자주식회사 반도체 칩과 적층 칩 패키지 및 그 제조 방법
US6806559B2 (en) 2002-04-22 2004-10-19 Irvine Sensors Corporation Method and apparatus for connecting vertically stacked integrated circuit chips
TWI232560B (en) 2002-04-23 2005-05-11 Sanyo Electric Co Semiconductor device and its manufacture
JP2003318178A (ja) 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US7340181B1 (en) * 2002-05-13 2008-03-04 National Semiconductor Corporation Electrical die contact structure and fabrication method
JP4215571B2 (ja) 2002-06-18 2009-01-28 三洋電機株式会社 半導体装置の製造方法
TWI229435B (en) 2002-06-18 2005-03-11 Sanyo Electric Co Manufacture of semiconductor device
US6984545B2 (en) 2002-07-22 2006-01-10 Micron Technology, Inc. Methods of encapsulating selected locations of a semiconductor die assembly using a thick solder mask
JP2004063569A (ja) 2002-07-25 2004-02-26 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
US6903442B2 (en) 2002-08-29 2005-06-07 Micron Technology, Inc. Semiconductor component having backside pin contacts
US7329563B2 (en) 2002-09-03 2008-02-12 Industrial Technology Research Institute Method for fabrication of wafer level package incorporating dual compliant layers
SE0202681D0 (sv) 2002-09-10 2002-09-10 Frank Niklaus Hermetic sealing with combined adhesive bonding and sealing rings
US20040061213A1 (en) 2002-09-17 2004-04-01 Chippac, Inc. Semiconductor multi-package module having package stacked over die-up flip chip ball grid array package and having wire bond interconnect between stacked packages
US20040104454A1 (en) 2002-10-10 2004-06-03 Rohm Co., Ltd. Semiconductor device and method of producing the same
TWI227050B (en) 2002-10-11 2005-01-21 Sanyo Electric Co Semiconductor device and method for manufacturing the same
US6656827B1 (en) 2002-10-17 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Electrical performance enhanced wafer level chip scale package with ground
US6869824B2 (en) 2002-10-29 2005-03-22 Ultratera Corporation Fabrication method of window-type ball grid array semiconductor package
TWI227550B (en) 2002-10-30 2005-02-01 Sanyo Electric Co Semiconductor device manufacturing method
JP2004153130A (ja) 2002-10-31 2004-05-27 Olympus Corp 半導体装置及びその製造方法
JP2004158536A (ja) 2002-11-05 2004-06-03 Fujitsu Ltd 半導体装置及び半導体装置の製造方法
JP4056854B2 (ja) 2002-11-05 2008-03-05 新光電気工業株式会社 半導体装置の製造方法
DE10253163B4 (de) 2002-11-14 2015-07-23 Epcos Ag Bauelement mit hermetischer Verkapselung und Waferscale Verfahren zur Herstellung
US20050012225A1 (en) 2002-11-15 2005-01-20 Choi Seung-Yong Wafer-level chip scale package and method for fabricating and using the same
JP4208840B2 (ja) 2002-12-17 2009-01-14 富士通マイクロエレクトロニクス株式会社 半導体装置
JP3566957B2 (ja) 2002-12-24 2004-09-15 沖電気工業株式会社 半導体装置及びその製造方法
KR20040059742A (ko) 2002-12-30 2004-07-06 동부전자 주식회사 반도체용 멀티 칩 모듈의 패키징 방법
JP4145301B2 (ja) 2003-01-15 2008-09-03 富士通株式会社 半導体装置及び三次元実装半導体装置
JP3680839B2 (ja) 2003-03-18 2005-08-10 セイコーエプソン株式会社 半導体装置および半導体装置の製造方法
JP3972846B2 (ja) 2003-03-25 2007-09-05 セイコーエプソン株式会社 半導体装置の製造方法
EP1519410A1 (en) 2003-09-25 2005-03-30 Interuniversitair Microelektronica Centrum vzw ( IMEC) Method for producing electrical through hole interconnects and devices made thereof
US6897148B2 (en) 2003-04-09 2005-05-24 Tru-Si Technologies, Inc. Electroplating and electroless plating of conductive materials into openings, and structures obtained thereby
JP4373695B2 (ja) 2003-04-16 2009-11-25 浜松ホトニクス株式会社 裏面照射型光検出装置の製造方法
SG119185A1 (en) 2003-05-06 2006-02-28 Micron Technology Inc Method for packaging circuits and packaged circuits
JP2004342862A (ja) 2003-05-16 2004-12-02 Sony Corp 半導体装置及びその製造方法、疑似ウェーハ及びその製造方法、並びにマルチチップモジュール
EP1482553A3 (en) 2003-05-26 2007-03-28 Sanyo Electric Co., Ltd. Semiconductor device and manufacturing method thereof
JP4130158B2 (ja) 2003-06-09 2008-08-06 三洋電機株式会社 半導体装置の製造方法、半導体装置
WO2004109771A2 (en) 2003-06-03 2004-12-16 Casio Computer Co., Ltd. Stackable semiconductor device and method of manufacturing the same
US6972480B2 (en) 2003-06-16 2005-12-06 Shellcase Ltd. Methods and apparatus for packaging integrated circuit devices
JP3646720B2 (ja) 2003-06-19 2005-05-11 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
WO2004114397A1 (en) 2003-06-20 2004-12-29 Koninklijke Philips Electronics N.V. Electronic device, assembly and methods of manufacturing an electronic device
KR101078621B1 (ko) 2003-07-03 2011-11-01 테쎄라 테크놀로지스 아일랜드 리미티드 집적회로 디바이스를 패키징하기 위한 방법 및 장치
JP2005045073A (ja) 2003-07-23 2005-02-17 Hamamatsu Photonics Kk 裏面入射型光検出素子
KR100537892B1 (ko) 2003-08-26 2005-12-21 삼성전자주식회사 칩 스택 패키지와 그 제조 방법
US7180149B2 (en) 2003-08-28 2007-02-20 Fujikura Ltd. Semiconductor package with through-hole
US7061085B2 (en) 2003-09-19 2006-06-13 Micron Technology, Inc. Semiconductor component and system having stiffener and circuit decal
KR100594229B1 (ko) 2003-09-19 2006-07-03 삼성전자주식회사 반도체 패키지 및 그 제조방법
JP2005101067A (ja) 2003-09-22 2005-04-14 Sharp Corp 基板の配線構造および配線形成方法
US20050095835A1 (en) 2003-09-26 2005-05-05 Tessera, Inc. Structure and method of making capped chips having vertical interconnects
KR100621992B1 (ko) 2003-11-19 2006-09-13 삼성전자주식회사 이종 소자들의 웨이퍼 레벨 적층 구조와 방법 및 이를이용한 시스템-인-패키지
US7049170B2 (en) 2003-12-17 2006-05-23 Tru-Si Technologies, Inc. Integrated circuits and packaging substrates with cavities, and attachment methods including insertion of protruding contact pads into cavities
US7060601B2 (en) 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US20050156330A1 (en) 2004-01-21 2005-07-21 Harris James M. Through-wafer contact to bonding pad
DE102004008135A1 (de) 2004-02-18 2005-09-22 Infineon Technologies Ag Halbleiterbauteil mit einem Stapel aus Halbleiterchips und Verfahren zur Herstellung desselben
US7160753B2 (en) 2004-03-16 2007-01-09 Voxtel, Inc. Silicon-on-insulator active pixel sensors
US7215018B2 (en) 2004-04-13 2007-05-08 Vertical Circuits, Inc. Stacked die BGA or LGA component assembly
JP4285309B2 (ja) 2004-04-13 2009-06-24 パナソニック株式会社 電子回路モジュールの製造方法と多層電子回路モジュールおよびその製造方法
US7952189B2 (en) 2004-05-27 2011-05-31 Chang-Feng Wan Hermetic packaging and method of manufacture and use therefore
US7232754B2 (en) 2004-06-29 2007-06-19 Micron Technology, Inc. Microelectronic devices and methods for forming interconnects in microelectronic devices
KR100587081B1 (ko) 2004-06-30 2006-06-08 주식회사 하이닉스반도체 개선된 열방출 특성을 갖는 반도체 패키지
JP4211696B2 (ja) 2004-06-30 2009-01-21 ソニー株式会社 固体撮像装置の製造方法
KR100605314B1 (ko) 2004-07-22 2006-07-28 삼성전자주식회사 재배선 보호 피막을 가지는 웨이퍼 레벨 패키지의 제조 방법
DE102004039906A1 (de) 2004-08-18 2005-08-18 Infineon Technologies Ag Verfahren zur Herstellung eines elektronischen Bauelements sowie ein elektronisches Bauelement mit mindestens zwei integrierten Bausteinen
US20060043556A1 (en) 2004-08-25 2006-03-02 Chao-Yuan Su Stacked packaging methods and structures
US7378342B2 (en) 2004-08-27 2008-05-27 Micron Technology, Inc. Methods for forming vias varying lateral dimensions
US7129567B2 (en) 2004-08-31 2006-10-31 Micron Technology, Inc. Substrate, semiconductor die, multichip module, and system including a via structure comprising a plurality of conductive elements
KR100604049B1 (ko) 2004-09-01 2006-07-24 동부일렉트로닉스 주식회사 반도체 칩 패키지 및 그 제조방법
JP2006073825A (ja) 2004-09-02 2006-03-16 Toshiba Corp 半導体装置及びその実装方法
US7768795B2 (en) 2004-09-08 2010-08-03 Panasonic Corporation Electronic circuit device, electronic device using the same, and method for manufacturing the same
TWI288448B (en) 2004-09-10 2007-10-11 Toshiba Corp Semiconductor device and method of manufacturing the same
JP4139803B2 (ja) 2004-09-28 2008-08-27 シャープ株式会社 半導体装置の製造方法
TWI273682B (en) 2004-10-08 2007-02-11 Epworks Co Ltd Method for manufacturing wafer level chip scale package using redistribution substrate
KR100855819B1 (ko) 2004-10-08 2008-09-01 삼성전기주식회사 금속 밀봉부재가 형성된 mems 패키지
JP4873517B2 (ja) 2004-10-28 2012-02-08 オンセミコンダクター・トレーディング・リミテッド 半導体装置及びその製造方法
DE102004052921A1 (de) * 2004-10-29 2006-05-11 Infineon Technologies Ag Verfahren zur Herstellung von Halbleiterbauelementen mit externen Kontaktierungen
FR2879347A1 (fr) 2004-12-14 2006-06-16 Commissariat Energie Atomique Dispositif electronique a deux composants assembles et procede de fabrication d'un tel dispositif
US20060138626A1 (en) 2004-12-29 2006-06-29 Tessera, Inc. Microelectronic packages using a ceramic substrate having a window and a conductive surface region
KR20060087273A (ko) 2005-01-28 2006-08-02 삼성전기주식회사 반도체 패키지및 그 제조방법
US7675153B2 (en) 2005-02-02 2010-03-09 Kabushiki Kaisha Toshiba Semiconductor device having semiconductor chips stacked and mounted thereon and manufacturing method thereof
US7538032B2 (en) 2005-06-23 2009-05-26 Teledyne Scientific & Imaging, Llc Low temperature method for fabricating high-aspect ratio vias and devices fabricated by said method
US7449779B2 (en) 2005-03-22 2008-11-11 Tessera, Inc. Wire bonded wafer level cavity package
US7326592B2 (en) 2005-04-04 2008-02-05 Infineon Technologies Ag Stacked die package
JP4237160B2 (ja) 2005-04-08 2009-03-11 エルピーダメモリ株式会社 積層型半導体装置
JP4308797B2 (ja) 2005-05-02 2009-08-05 株式会社アドバンストシステムズジャパン 半導体パッケージおよびソケット付き回路基板
US7208345B2 (en) 2005-05-11 2007-04-24 Infineon Technologies Ag Method of manufacturing a semiconductor device comprising stacked chips and a corresponding semiconductor device
JP2007019107A (ja) 2005-07-05 2007-01-25 Shinko Electric Ind Co Ltd 半導体装置および半導体装置の製造方法
KR100629498B1 (ko) 2005-07-15 2006-09-28 삼성전자주식회사 마이크로 패키지, 멀티―스택 마이크로 패키지 및 이들의제조방법
JP4551321B2 (ja) 2005-07-21 2010-09-29 新光電気工業株式会社 電子部品実装構造及びその製造方法
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
US7419853B2 (en) 2005-08-11 2008-09-02 Hymite A/S Method of fabrication for chip scale package for a micro component
SG130066A1 (en) 2005-08-26 2007-03-20 Micron Technology Inc Microelectronic device packages, stacked microelectronic device packages, and methods for manufacturing microelectronic devices
US7485969B2 (en) 2005-09-01 2009-02-03 Micron Technology, Inc. Stacked microelectronic devices and methods for manufacturing microelectronic devices
US20070052050A1 (en) 2005-09-07 2007-03-08 Bart Dierickx Backside thinned image sensor with integrated lens stack
KR20070048952A (ko) 2005-11-07 2007-05-10 삼성전자주식회사 내부 접속 단자를 갖는 멀티 칩 패키지
US20070126085A1 (en) 2005-12-02 2007-06-07 Nec Electronics Corporation Semiconductor device and method of manufacturing the same
JP4934053B2 (ja) 2005-12-09 2012-05-16 スパンション エルエルシー 半導体装置およびその製造方法
US7981726B2 (en) 2005-12-12 2011-07-19 Intel Corporation Copper plating connection for multi-die stack in substrate package
US7632708B2 (en) 2005-12-27 2009-12-15 Tessera, Inc. Microelectronic component with photo-imageable substrate
US20070158807A1 (en) * 2005-12-29 2007-07-12 Daoqiang Lu Edge interconnects for die stacking
US20070190747A1 (en) 2006-01-23 2007-08-16 Tessera Technologies Hungary Kft. Wafer level packaging to lidded chips
SG135074A1 (en) 2006-02-28 2007-09-28 Micron Technology Inc Microelectronic devices, stacked microelectronic devices, and methods for manufacturing such devices
US7741707B2 (en) 2006-02-27 2010-06-22 Stats Chippac Ltd. Stackable integrated circuit package system
US7510928B2 (en) 2006-05-05 2009-03-31 Tru-Si Technologies, Inc. Dielectric trenches, nickel/tantalum oxide structures, and chemical mechanical polishing techniques
US8102039B2 (en) 2006-08-11 2012-01-24 Sanyo Semiconductor Co., Ltd. Semiconductor device and manufacturing method thereof
US7888185B2 (en) 2006-08-17 2011-02-15 Micron Technology, Inc. Semiconductor device assemblies and systems including at least one conductive pathway extending around a side of at least one semiconductor device
US7531445B2 (en) 2006-09-26 2009-05-12 Hymite A/S Formation of through-wafer electrical interconnections and other structures using a thin dielectric membrane
US7901989B2 (en) 2006-10-10 2011-03-08 Tessera, Inc. Reconstituted wafer level stacking
US7829438B2 (en) 2006-10-10 2010-11-09 Tessera, Inc. Edge connect wafer level stacking
US8513789B2 (en) 2006-10-10 2013-08-20 Tessera, Inc. Edge connect wafer level stacking with leads extending along edges
US7759166B2 (en) 2006-10-17 2010-07-20 Tessera, Inc. Microelectronic packages fabricated at the wafer level and methods therefor
US7935568B2 (en) 2006-10-31 2011-05-03 Tessera Technologies Ireland Limited Wafer-level fabrication of lidded chips with electrodeposited dielectric coating
US7807508B2 (en) 2006-10-31 2010-10-05 Tessera Technologies Hungary Kft. Wafer-level fabrication of lidded chips with electrodeposited dielectric coating
US7663213B2 (en) 2006-11-13 2010-02-16 China Wafer Level Csp Ltd. Wafer level chip size packaged chip device with a double-layer lead structure and method of fabricating the same
US7394152B2 (en) 2006-11-13 2008-07-01 China Wafer Level Csp Ltd. Wafer level chip size packaged chip device with an N-shape junction inside and method of fabricating the same
US7791199B2 (en) 2006-11-22 2010-09-07 Tessera, Inc. Packaged semiconductor chips
US8569876B2 (en) 2006-11-22 2013-10-29 Tessera, Inc. Packaged semiconductor chips with array
US7952195B2 (en) 2006-12-28 2011-05-31 Tessera, Inc. Stacked packages with bridging traces
US20080157327A1 (en) 2007-01-03 2008-07-03 Advanced Chip Engineering Technology Inc. Package on package structure for semiconductor devices and method of the same
US8405196B2 (en) 2007-03-05 2013-03-26 DigitalOptics Corporation Europe Limited Chips having rear contacts connected by through vias to front contacts
US20080284041A1 (en) 2007-05-18 2008-11-20 Samsung Electronics Co., Ltd. Semiconductor package with through silicon via and related method of fabrication
KR100914977B1 (ko) 2007-06-18 2009-09-02 주식회사 하이닉스반도체 스택 패키지의 제조 방법
US20080315407A1 (en) 2007-06-20 2008-12-25 Vertical Circuits, Inc. Three-dimensional circuitry formed on integrated circuit device using two-dimensional fabrication
US20110024890A1 (en) 2007-06-29 2011-02-03 Stats Chippac, Ltd. Stackable Package By Using Internal Stacking Modules
US8766910B2 (en) 2007-07-04 2014-07-01 Cypress Semiconductor Corporation Capacitive sensing control knob
US8461672B2 (en) 2007-07-27 2013-06-11 Tessera, Inc. Reconstituted wafer stack packaging with after-applied pad extensions
JP2009032929A (ja) 2007-07-27 2009-02-12 Sanyo Electric Co Ltd 半導体装置及びその製造方法
KR101538648B1 (ko) 2007-07-31 2015-07-22 인벤사스 코포레이션 실리콘 쓰루 비아를 사용하는 반도체 패키지 공정
KR101387701B1 (ko) 2007-08-01 2014-04-23 삼성전자주식회사 반도체 패키지 및 이의 제조방법
KR101533663B1 (ko) 2007-08-03 2015-07-03 테세라, 인코포레이티드 재구성된 웨이퍼를 이용한 스택 패키지
US8043895B2 (en) 2007-08-09 2011-10-25 Tessera, Inc. Method of fabricating stacked assembly including plurality of stacked microelectronic elements
WO2009023462A1 (en) 2007-08-10 2009-02-19 Spansion Llc Semiconductor device and method for manufacturing thereof
KR100905784B1 (ko) 2007-08-16 2009-07-02 주식회사 하이닉스반도체 반도체 패키지용 관통 전극 및 이를 갖는 반도체 패키지
KR20090047776A (ko) 2007-11-08 2009-05-13 삼성전자주식회사 반도체 소자 및 그 형성 방법
KR101465948B1 (ko) 2007-12-27 2014-12-10 삼성전자주식회사 웨이퍼 레벨 스택 패키지 및 웨이퍼 레벨 스택 패키지 제조방법
US8084854B2 (en) 2007-12-28 2011-12-27 Micron Technology, Inc. Pass-through 3D interconnect for microelectronic dies and associated systems and methods
US20090212381A1 (en) 2008-02-26 2009-08-27 Tessera, Inc. Wafer level packages for rear-face illuminated solid state image sensors
US20100053407A1 (en) 2008-02-26 2010-03-04 Tessera, Inc. Wafer level compliant packages for rear-face illuminated solid state image sensors
US7973416B2 (en) 2008-05-12 2011-07-05 Texas Instruments Incorporated Thru silicon enabled die stacking scheme
US7863721B2 (en) 2008-06-11 2011-01-04 Stats Chippac, Ltd. Method and apparatus for wafer level integration using tapered vias
EP2308087B1 (en) 2008-06-16 2020-08-12 Tessera, Inc. Stacking of wafer-level chip scale packages having edge contacts
US20100065949A1 (en) 2008-09-17 2010-03-18 Andreas Thies Stacked Semiconductor Chips with Through Substrate Vias
KR100990943B1 (ko) 2008-11-07 2010-11-01 주식회사 하이닉스반도체 반도체 패키지
KR101187214B1 (ko) 2009-03-13 2012-10-02 테세라, 인코포레이티드 본드 패드를 통과하여 연장된 비아를 갖는 마이크로전자 소자를 포함하는 적층형 마이크로전자 어셈블리

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115986B2 (en) * 2001-05-02 2006-10-03 Micron Technology, Inc. Flexible ball grid array chip scale packages
US20040142509A1 (en) * 2002-09-24 2004-07-22 Seiko Epson Corporation Semiconductor device and method of manufacturing the same, circuit board, and electronic instrument
US20050067680A1 (en) * 2003-09-30 2005-03-31 Boon Suan Jeung Castellated chip-scale packages and methods for fabricating the same

Also Published As

Publication number Publication date
JP2011524647A (ja) 2011-09-01
JP5639052B2 (ja) 2014-12-10
WO2009154761A1 (en) 2009-12-23
CN102067310B (zh) 2013-08-21
CN102067310A (zh) 2011-05-18
TW201005918A (en) 2010-02-01
KR20110027690A (ko) 2011-03-16
US20090316378A1 (en) 2009-12-24
EP2308087A1 (en) 2011-04-13
US8680662B2 (en) 2014-03-25
KR101655897B1 (ko) 2016-09-08
WO2009154761A9 (en) 2010-03-11
EP2308087B1 (en) 2020-08-12

Similar Documents

Publication Publication Date Title
TWI425611B (zh) 微電子總成及製造一經堆疊微電子總成之方法
US10510659B2 (en) Substrate-less stackable package with wire-bond interconnect
US8786070B2 (en) Microelectronic package with stacked microelectronic elements and method for manufacture thereof
KR100938970B1 (ko) 반도체 장치 및 그 제조 방법
KR101245928B1 (ko) 극박 적층 칩 패키징
JP2004140037A (ja) 半導体装置、及びその製造方法
CN101728348B (zh) 半导体装置及其制造方法
US20060081976A1 (en) Fabrication of semiconductor dies with micro-pins and structures produced therewith
TWI445468B (zh) 具有小厚度之電腦模組及其製造方法
US20220208714A1 (en) Integrated circuit package structure, integrated circuit package unit and associated packaging method
TWI662677B (zh) 堆疊式封裝結構及其製造方法
US20220344300A1 (en) Electronic device and manufacturing method thereof
JP4046568B2 (ja) 半導体装置、積層型半導体装置およびそれらの製造方法
CN110858582A (zh) 半导体封装件及其制造方法
US20150179557A1 (en) Semiconductor chips having heat conductive layer with vias
US11024603B2 (en) Manufacturing method and a related stackable chip package
JP6722371B2 (ja) 半導体装置および半導体装置の製造方法
KR20130042938A (ko) 반도체 칩, 이를 포함하는 반도체 패키지 및 적층형 반도체 패키지 제조방법
KR20140088756A (ko) 고집적의 다층 인터포저 및 그 제조방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees