TW437017B - Silicone polymer insulation film on semiconductor substrate and method for formation thereof - Google Patents

Silicone polymer insulation film on semiconductor substrate and method for formation thereof Download PDF

Info

Publication number
TW437017B
TW437017B TW088101644A TW88101644A TW437017B TW 437017 B TW437017 B TW 437017B TW 088101644 A TW088101644 A TW 088101644A TW 88101644 A TW88101644 A TW 88101644A TW 437017 B TW437017 B TW 437017B
Authority
TW
Taiwan
Prior art keywords
gas
silicon
reaction
dielectric constant
film
Prior art date
Application number
TW088101644A
Other languages
English (en)
Inventor
Nobuo Matsuki
Original Assignee
Asm Japan Kk
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm Japan Kk filed Critical Asm Japan Kk
Application granted granted Critical
Publication of TW437017B publication Critical patent/TW437017B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/62Plasma-deposition of organic layers
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D4/00Coating compositions, e.g. paints, varnishes or lacquers, based on organic non-macromolecular compounds having at least one polymerisable carbon-to-carbon unsaturated bond ; Coating compositions, based on monomers of macromolecular compounds of groups C09D183/00 - C09D183/16
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/293Organic, e.g. plastic
    • H01L23/296Organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/914Polysilicon containing oxygen, nitrogen, or carbon, e.g. sipos

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

」,Λ3701 7 五、發明說明α) ’且特別是有關於一 基底上形成一發酮聚 本發明是有關於一種半導體技術 種利用電漿化學氣相沈積法於半導.體 合物之方法。 發明背景: 由於目前大型積體電路的需求逐漸增加,故多; 許多注意。然而,在這些多層結構中;些個 別導線間的電容將會阻礙高速操作。為了降低這些 些絕緣膜之介電常數是必㈣。因A,具有各種相 對低電阻常數之絕緣膜乃被開發出來。 習知的氧化矽膜Si0x的製造方法是在含矽材料氣 =Α Γ如石夕甲焼或四乙氧基砍化⑯’加人氧氣或—氧化氮 氧化i 2劑盆f後再藉由加熱或電漿能量來製備所需要的 乳化夕膜’其相對電阻常數約為4. 0。 電漿緣膜則是使用CxFA作為材料氣體,利用 常數約為2'〜t 4法製造就化的非晶發碳膜,其相對介電 矽-氡另;=低絕緣膜之相對介電常數之方法’則是利用 在低芦/的良好穩定度而達成。其中,含矽的有機膜是 借。^二件下,利用電漿化學氣相沈積法由材料氣體製 c I=料^氣體是利用babbling方法蒸發式1}之P-TM0S 土二甲氧基矽甲烷,其乃一種笨和矽_的化 膜之相對介電常數可低至3.1。 0CH3
第4頁 ϊ 43701 7 五、發明說明(2) 絕 對 此外,更有一種以此犋所製成之孔狀結構。丈中 由旋塗方法所形成之無卿G材料所;其 介電常數可低至2. 3。 然而,士述之方法均有如下所述之缺點。 首先,IL化的非晶石夕後膜具有較低的熱穩定度(37〇 二Λ含的附著性較差,且其機械強度較差。較 ϊ的熱穩疋度在间溫時,例如大於40(rc,將導致損壞。 車又差的附著&則會使薄膜容易剝離。此外,較低的機械強 度將會危害導線材料。
一 P-TM0S分子聚合而成的募聚合物,由於p —TM〇s分子具 有=個氧-曱基鍵,故在氣相時不會形成線狀結構,例如 矽氧烷結構。不具有線狀結構之寡聚合物無法在矽基底上 形成孔狀結構,亦即沈積膜之密度無法被降低。因此,薄 膜之相對介電常數無法被降低至想要的程度。
至於babbling法則代表一種蒸發液態材料之方法,其 中’藉由使載體氣體(例如氬氣)通過材料,便可獲得所需 要的材料氣體。然後,再以載體氣體將所得到的材料氣體 f入反應室内。此方法一般均需要大量的載體氣體,以運 功所獲得之材料氣體。因此,材料氣體在反應室内所停留 的時間,並不足以在氣相產生聚合反應。 β 此外’利用旋塗法所形成之SOG絕緣膜所面臨的問題 $材料無法應用到矽基底上,且另一個問題是在塗佈步驟 完成後尚需一道固化的動作,因此成本花費也較高。 本發明之特徵
^ 437 0 1 7 * ____ < 五、發明說明(3) 一 本發明之一特徵是提出一種改善的絕緣膜以及形成此 絕緣膜之方法。 " 本發明之另一特徵是提出一種具有低相對介電常數' 高熱穩定度、高机濕乱性以及向附著強度的絕緣膜,以及 形成此絕緣膜之方法,。 本發明之另一特徵是提供—種材料,可用以形成具有-低相對介電常數、高熱穩定度、高抗濕氣性以及高附著強 度的絕緣膜。 本發明之另一特徵是提出一種可輕易形成具有低相對 介電常數之絕緣膜且不需昂貴裝置的方法。 發明概要 本發明之一特徵是揭示一種利用包含有反應室之電漿 化學氣相沈積裝置以在半導體基底上形成絕緣膜之方法, ,方法之步驟包括真接蒸發通式Si β〇βχΗ〆α,石,X和y 是整數)之含硬碳氫化合物,並且導入電漿化學氣相沈積 農置之反應室内’然後將可實質降低流量之添加氣體導入 反應室内’接著藉由電漿聚合反應於半導體基底上形成一 ,緣膜。其中,混 '合氣^是由作為材料氣體之蒸發含破碳 氣化合物和作為反應氣體之添茄氣體所構成。要注意的是 ^力σ氣體流量之降低也會導致反應氣體之總流量的實質降 低。根據本發明,便可製造出具有相當低介電常數之孔狀 石夕聚合物膜。 本發明之上述特徵,將於下列圖示中說明在半導體基 底上形成一絕緣膜,以及在此絕緣膜上形成一材料之製
第6頁 紅’ 43701 7 五、發明說明(4) 程。 圖示之簡單說明 第1圖顯示的是一種用以形成本發明之絕緣膜的電漿 化學沉積裝置。 第2圖顯示的是相對介電常數和反應氣體之總流量間 之關係,以及殘留時間和反應氣體之總流量間之關係,其 中此二實驗均以PM-DMS0作為材料氣體。 第3圖顯示的是使用PM-DMS0作為材料氣體之實驗的殘 留時間和相對介電常數間的關係。 第4圖是根據本發明之熱去吸附光譜,其中顯示分子 量16之曱烷自薄膜(PM-DM0S,DM-DM0S)中熱去吸附出來。 第5圖顯示的是在改變真空度情況下,自薄膜 (PM-DM0S,DM-M0S)中熱去吸附出來的總分子數量。亦 即,壓力上升導致氣體自薄膜中熱去吸附出來的熱去吸附 測試。 本發明之較佳實施例的詳細說明 基本方向 在本發明中,通式Si a,y3,x和y是整數)表示 之含石夕碳氫化合物,其較佳的是一種具有至少一梦-氧 鍵,兩個或小於兩個的〇-CnH2n+1鍵,以及至少兩個與矽鍵 結的碳氫自由基的化合物。更特別的是,此含矽碳氫化合 物包括至少一種以式2表示的化合物:
第7頁 1 Λ^7 Q 1 7 五、發明說明(5)
C„H
2η+ι— Ο - Si 〜〇 一 CmH 2m +1 (2) R2 其中,R1 和R2 是CH3、C2H3、C2H5、(:3H7 和C6H5 其中之一,且m 和η是任何整數。 除上述的種類以外,含矽碳氫化合物可包括至少一種 以式3表示的化合物: R3 - Si - Ο - CnH2n+1 i R2 其中,R1、R2和R3是CH3、 一,且η是任何整數。 除了上述的種類外, 以式4表示的化合物: R1 R3 (3) C2H3、C2H5、C3H7 和C6H5 其中之 含砍礙氫化合物可包括至少一種 (4)
CnH2n+i — Ο — Si — 〇 ~ Si — O — CnnH2m+i R2 R4 其中,R1 、R2 、R3 和R4 是CH3 、C2H3 、C2H5 、C3H7 和(:6115 其中 之一,且m和n是任何整數。 此外,除上述的種類外,含矽碳氫化合物可包括至少 一種以式5表示的化合物:
第8頁 ί ' 43701 7 五、發明說明(6) R6 R2 - Si - Ο - Si - R5 (5) R3 R4 其中,R1、R2、R3、R4 ' R5 和R6 是CH3、C2H3、C2H5、C3H7 和 C6H5其中之一,且添加氣體是氬氣、氦氣和氮氣、笑氣或 氧氣其中之一。 另外,除上述的種類外,含矽碳氫化合物可包括至少 —種以式6表示的化合物: R1 (6) R2 - Si - R4
I R3 其中,Rl、R2、R3 和R4 是CH3、C2H3、C2H5、C3H7 和C6H5 其中 之一,且添加氣體是氬氣、氦氣和氮氣、笑氣或氧氣其中 之一。 另外,此材料氣體可包括至少一種上述的含矽碳氫化 合物。 根據本發明上述的另一特徵,一形成於基底上之絕緣 膜是在電漿化學氣相沈積裝置内,藉由電漿能量使包括式 2表示之含石夕碳氫化合物的材料氣體聚合而成。 另外,此形成於基底上之絕緣膜是在電漿化學氣相沈 積裝置内,籍由電漿能量使包括式3表示之含矽碳氫化合 物的材料氣體聚合而成。
437017
五、發明說明(7) 另外’此形成於基底上 積裝置内,藉由電漿能量使 物的材料氣體聚合而成。 另外.,此形成於基底上 積裝置内,藉由電漿能量使 物的材料氣體聚合而成。 之絕緣膜是在電漿化學氣相沈 包括式4表示之含石夕碳氫化合 之絕緣膜是在電漿化學氣相沈 包括式5表示之含;ε夕碳氫化合 另外,此形成於基底上之絕緣膜是在電漿化學氣相沈 ^裝置内’藉由電毁能量使包括式6表示之含矽碳氫化合 物的材料氣體聚合而成。
根據本發明之另一特徵,形成絕緣膜之材料是由一位 基質周圍的式2表示之氣相供應,並且以電漿化學氣相 I!*積裝置處理之,藉由化學反應以在基底上形成一絕緣 3 另外,形成絕緣膜之材料是由一位在基質周圍,以式 示之氣相供應並且以電漿化學氣相沈積裝置處理之, 由化學反應以在基底上形成一絕緣膜。 表—另外’形成絕緣膜之材料是由—位在基質周圍的式4 不之氣相供應,並且以電漿化學氣相沈積裝置處理之, 由化學反應以在基底上形成一絕緣膜。 另外,形成絕緣膜之材料是由位在基質周圍的笑氣或 ) 氣作為氧化劑之氣相供應,並且以電漿化學氣相沈積裝 處理之’藉由化學反應以在基底上形成一絕緣膜。此材 广可為式5表示的化合物。 此外’形成絕緣膜之材料是由位在基質周圍的笑氣或
• 43701 7 五、發明說明 (8) ' " ' ' 氧氣作為氧化劑之氣相供應,並且以電漿化學氣相沈積裝 τΡ I® jw ^ 相' 由化學反應以在基底上形成一絕緣膜。此材 料可為式6表示的化合物。 殘留時間和氣體流量 胃 反應氣體之殘留時間是決定於反應用的反應室之容 I、反應的壓力以及反應氣體之總流量。反應的壓力一般 是1 - lOTorr ’較佳地是3_7Torr ’用以保持穩定的電漿。 為了延長反應氣體的殘留時間,反應的壓力是相當高。反 應氣體之總流量對於降低所形成之薄膜的相對介電常數是 相富重要的。材料氣體和添加氣體間之比例並不需要控 制。一般而言’殘留時間越長,相對介電常數會越低β用 以形成薄膜之之材料氣體流,其乃決定於所形成之薄膜的 組成比例和形成薄膜處之基底面積《例如,為了在基底 [半徑= 100mm]上以300nm/inin的沈積速率形成—薄膜,反 應氣體中預期將需要至少50sccm材料氣體,亦即每平方公 尺的基底表面積將近1.6xl02sccm ^總流量可由殘留時間 (Rt)來定義。當Rt是如下定義時’Rt之較佳範圍為大於等 於100msec,且更佳地是200msec SRtg5sec。在習知的電 漿TE0S中,Rt—般的範圍是介於1〇〜3〇msec間。
Rt[s]=9.42 X l〇7(Pr-Ts/Ps-Tr)r 2d/F 其中: W Ρ,:反應室壓力(Pa)
Ps :標準大氣壓(Pa)
Tr :反應氣體之平均溫度(K )
第11頁 437017 五、發明說明(9)
Ts :標準溫度(K) L :矽基底之半徑(m) d :石夕基底和上電極間之間隔(m) F ·反應氣體之總流量(s c c m) 如上所述’殘留時間代表的是氣體分子停留在反應室 内的平均時間α殘留時間(Rt)可由Rt= α v/s來計算,其 中乂是反應室的容量(cc),s是反應氣體之體積(cc/s),且 α是由反應室之形狀以及氣體入口和廢氣出口間之位置關 係所決定的係數。反應室内所需要的反應空間是由基底之
表面積(m2)以及上電極和下電極間之空間來決定。考慮流 向反應空間之氣體時,a可被假設為丨/2。在上式中,α 是 1/2。 基本影響 在此方法中,材料氣體簡而言之是一種含矽的碳氫化 合物,其包括至少一矽-氧鍵,至多兩個0-CnH2n+1鍵,以及 至少兩個鍵結於矽上的碳氫自由基。此外,此材料氣體可 直接利用蒸發法而被蒸發。此方法將造成具有低相對介電 常數、高熱穩定度以及高抗濕氣性之絕緣膜。 更特別地是,利用直接蒸發法而被蒸發的此材料氣 體’可在電漿中停留足夠的時間。因此’將可在氣相形成 一具有基本結構(式7)之線形聚合物,其中” η”是2或更大 的值。此聚合物可沈積在半導體基底上,並且形成一具有 微孔結構之絕緣膜。
第12頁 ί ' 4 3 7 0 17 五、 發明說明(ίο)
R1
XI —
Si——Ο — Χ2I R2 (7)
其中,XI和Χ2是0nCraHp,而η是0或1,m和ρ則是包括0在内 的整數。 此根據本發明之絕緣膜具有相對高穩定度,因為S i 1 鰱結的基本結構間具有高鍵結能量。此外,由於此絕緣_ 具有微孔結構,故其相對介電常數較低。另外,(-Si 的基本結構具有兩端,懸宕的鍵是以具有疏水性的峻氣^ 由基終止,故此性質將賦予絕緣膜具有抗水氣特性。此 外,碳氫自由基和石夕之間的鍵結是穩定的。例如,石夕與甲 基自由基鍵結的鍵(例如S i - C H3)和與苯鍵結的鍵(例如 si-CeH5)之解離溫度為500 °C或者更高。由於上述的半導體 製程均需要大於450 °C的熱穩定度,故此膜之特性將有_ 於半導體的製造。 此外,本發明之優點和特徵將以本發明之較佳實施 詳細說明於下。 圖式之簡單說明: 第1圖顯示的是本發明所使用的電漿化學氣相沈積裳 置。此裝置包含一反應氣體供應元件12,以及一電漿化學 氣相沈積元件1。反應氣體供應元件1 2包括有複數條線路 13,設置於線路中的控制閥8,以及氣體入口 14、15和
第13頁 43701 7 五、發明說明(11) 1 6。流量控制器7是與個別的控制閥8連接,用以控制預定 體積之材料氣體的流量。一用以容納液體反應材料1 8之容 器是與一可直接將液體蒸發的蒸發器(vaporizer) 17連 接。電漿化學氣相沈積元件1包括一反應室6、一氣體入口 5、一susceptor 3以及一加熱器2。循環氣體擴散板1〇是 設置在氣體入口下方。氣體擴散板10之下表面具有許多微 小開口,且可經此開口使反應氣體被注入半導體基底4 上。反應室6之底部具有一廢氣排氣口u。此廢氣排氣口 11是與一外部的真空幫浦(未顯示)連接,用以抽出反應室 内部之氣體。Susceptor 3是平行面向氣體擴散板1〇置 放,susceptor並擁有一位於其上的半導體基底4,且以加 熱器2加熱之。氣體入口 5是與反應室6互相隔離,並且鱼 一位在外面的高頻率電源供應器9連接。另一個選擇是^ susceptor 3可與電源供應器g連接。因此,氣體擴散板 ^susceptor 3可作為高頻率電極,並在鄰近 體 表面產生電漿反應場。 & 根,本發明利用t聚化帛氣相沈積裝置以在半導體基 底上形成一絕緣膜之方法,盆牛锁 η Γ 0 万法,、步驟包括:直接將以通式Si a ^ yS 'v CX-, 卢,X和y是替金〇矣+ — A “山於 恭并道_ λ + , )表不之含矽碳氫化合物蒸 發’並V入電漿化學氣相沈積元件i之 將可實質降低氣體流量之添加氣接J 利用作為材料氣體之含砂墟 汉應至内接者, 氣體,並藉由電漿聚合反應而在半ΐ體 基底上形成—絕緣膜。值得注意的是添加氣體流量
43701 7 五、發明說明(12) 也會實質賦予反應氣體流量之降低。此特徵將於以下詳細 討論。 材料和添加氣體 在此發明中,以通式S i α 0 Θ Cx Hy ( α, β , χ和y是整數) 表示之含矽碳氫化合物較佳的是具有至少一矽-氧鍵,兩 個或小於兩個的〇-CnH2n+1鍵,以及至少兩個與矽鍵結之碳 氫自由基。更特別地是,此化合物可以化學式(A )表示: (2)
CnH2n+1_0 —Si-0_CmH2m+1
I R2 其中,R1 和R2 是CH3、C2H3、C2H5、C3H7 和C6H5 其中之一,且m 和ri是任何整數; 一以化學式(Β )表示的化合物: (3) R3 — Si — Ο — CnH2n+i R2 其中,Rl、R2 和R3 是CH3、C2H3、(:2H5、(:3H7 和(:6115 其中之 一,且m和η是任何整數; 一以化學式(C)表示的化合物:
第15頁 i ' 4370 17 , 五、發明說明(13) ' R1 R3
! I
CnH2n+1 - Ο - Si - 〇 - Si - 〇 - CmH2m+1 ⑷ ! ! R2 R4 其中,R1、R2、R3 和R4 可為CH3、C2H3、C2H5、C3H7 和C6H5 其 中之一,而m和n可為任何整數; 一以化學式(D )表示的化合物: R1 R6
ί I R2 - Si - Ο - Si - R5 ! I ⑴ R3 R4 其中,R1、R2、R3、R4、R5 和R6 可為CH3、C2H3、C2H5 和C3H7 和C6H5其中之一,以及此化合物與笑氣和氧氣構成氧化劑 混合而成之混合物;或者 一以化學式(E)表示的化合物: R1
I R2 - Si - R4 , (6) 1 R3 其中,Rl、R2、R3 和R4 可為CH3、C2H3、C2H5 和C3H7 和C6H5 其 中之一,以及此化合物與作為氧化劑之笑氣和氧氣混合而 成之混合物。 此外,要注意的是含石夕破氫化合物可為這些化合物和 混合物之任意組合。
第16頁 Γ, 4370 1 7 , ______________ 五、發明說明(14) 在此實施例中所使用的添加氣體,較特別地是氬氣和 氦氣。氬氣主要是用來穩定電漿’而氦氣則是用來改善電 漿之均勻度,以及絕緣膜之均勻厚度。
在如上所述之方法中’第一步驟的直接蒸發法是使流 量受到控制之液體材料,在一預熱的蒸發器内立即被蒸 發。此直接蒸發法並不需要載體氣體,例如氬氣,以獲得 預定量的材料氣體。此方法與babbling法有極大的差異。 由於不再需要大量的氬氣或氦氣’故將可降低總反應氣體 之流量’進而延長材料氣體停留在電聚中的時間。因此, 氣相中將有足夠的聚合反應發生,故可形成一種線形聚合 物,並獲得一種具有微孔結構的膜。 在第1圖中純氣是經由氣體入口 1 4供應,以將含石夕 碳氫化合物構成的液體反應材料1 8經管線1 3推到控制閥 8。控制閥8乃以流量控制器7控制液體反應材料丨8之流 量,故將不會超過預定的體積。減量的含矽碳氫化合物18 到達蒸發器1 7後’將直接被如上所述之方法蒸發。氬氣和 氦氣則是分別經由入口 1 5和1 6供應,並且以閥8控制氣體 的流量。材料氣體和添加氣體所構成作為材料氣體之混合 物’則係經由電漿化學氣相沈積元件1之入口 5供應。將位 在反應室内部之氣體擴散板1〇和半導體基底4間之空間抽 乾淨後’再通以高頻率的RF電壓,其較佳地是13 4ΜΗζ和 43 0kHz。此空間乃被用來作為電漿場。Suscept〇;r 3連續 地以加熱器2加熱半導體基底4,並且使此基底4保持在預 定的350〜450 °C之間。經由氣體擴散板1〇之微小開口供應
4370 五、發明說明(15) 為時一段時 的反應氣體乃保持於接近半導體基底*表面 間。 ~ 假使殘留時間較短,蔣 取八从 ^ rrf ,, I…、法在基底上沈積足夠的線形 水5物,並形成一微孔狀沾碰 ^ ^ '# Λ ^ 狀的…構。由於殘留時間與反應氣 體之λϊ丨L遺》成反比,及靡裔jg*、占θ 汉應乳體流量之降低將可延長殘留時 間。 反應氣體總體精之下隊i i % 積义下降可被添加氣體有效地降低,故 可延長反應氣體之務毯歧問 ^ 殘留時間’並且沈積足夠多的線形聚合 物,而形成一具有微孔結構的絕緣膜。 為了調氣相的反應,添力口少量的鈍氣、氧化劑、還 原劑至反應室内是有效地。氦氣和氬氣是鈍氣,且具有不 同的第二游離能,分別為24. 56eV和15. 76eV。因此,藉由 加入^量的氦氣或氬氣或者此二者以預定比例組合者,將 可使氣相中的材料氣體受到控制。氣相中的分子經過聚合 反應後’將會形成募聚合物β .此寡聚合物内之氧:矽比例 預期為1 .1。然而,當此寡聚合物在基底上形成一膜後, 此寡聚合物將會進一步地進行聚合反應,造成較高的含氧 比例、比例可視基底上之薄膜的相對介電常數或其他特 性來決定(例如在以下將描述的例5中,此比例為3 : 2 )。 由材料氣體中去除且未被併入薄膜中的殘餘氧氣將會 自材料化合物中分解出來,並且飄移到電漿内。材料氣體 内之石夕:氧比是由化合物來決定,例如上述之通式2_6的 化合物’其氣:矽比分別是2 : 1、1 : 1、3 : 2、1 : 2和0 : 1。 假使具有較高氧:矽(例如3 : 2或更高)比值的材料氣體被
第18頁 43701 7 >、發明說明(16) 使用,電漿中漂浮的氧氣量將會增加。當氧氣的量增加 時,與矽直接鍵結並形成薄膜的官能基將會被氣化,進而 町能使薄膜損壞。據上所述’電聚中之氧氣比例可藉由在 反應室内添加還原氣體(例如氫氣和’烧)而被降低,以防 ;有機官能基發師如上所述的氧化反應。相反地,當氧: 矽比例較低時(例如3/2或更低),形成薄膜將需要補充氧 氟,例如可添加笑氣或氧氣等氧化劑。還原劑或氧化劑的 適當用量可由事先的實驗來預測’其中所形成之薄膜並以 FT- IR或XRS分析其組成,旅且分析其介電常數。因此,藉 由選擇適當種類的添加氣體,例如氦氣、氬氣,還原劑以 .) 及氧化劑,並且控制每一種氣體的添加量’即可獲得一具厂 有預定特性之薄膜。 其他特徵 如上所述,構成用以產生矽酮聚合物之材料氣體的含 石夕碳氫化合物,其較佳的是具有兩個或少於兩個院氧基, 或者不具烷氧基。使用具有三個或更多烷氧基之材料氣體 將會干擾線形石夕酮聚合物的形成,導致具有相對高介電常 數之薄膜。如上所述,儘管石夕原子的數目不受限制,不過 每個化合物分子較佳的是具有一個、二個或三個;s夕原子 (矽原子越多,氣化將變的越困難,且化合物合成之成本 } 將會越高)。烷氧基一般是含有卜3個碳原子,較佳地是含 1個或2個破原子。其中’與梦鍵結的碳氫化合物一般具有 1 -1 2個碳原子’較佳地是1 - 6個碳原子。較佳的含砍碳氣 化合物具有如下所示之通式:
4370 1 五、發明說明(17) 苴由 ΐ1α〇^1^α-β^〇^2αη) β /、中,α疋卜3間的整數,沒是〇 ' α、或 = 是與〜結机^氫化合物%二=3二的 :使=疋決定於矽酮聚合物膜之相對介電戈原:! ;,較佳地是3·10或更低’更佳地是2.⑻,以及= 性,例如介電常數和熱穩定度。材料氣體,之氧,他特 在挑選氧化劑或還原劑時亦必須加以考慮。比例 3 : 2時’較佳地是選擇氧化劑,然而假使比例= 例,於 時,則使用還原劑。此外,鈍氣例如氬氣和氦氣 控制電漿反應,但在形成矽聚合物膜時是不可缺少=。來 外,材料氣體和添加氣體之流速也可根據電漿化學氣此 (CVD)沈積裝置加以變化。其中,適當的流速 ^目 取曰物膜之相對介電常數以及反應氣體(材料氣體和添加 氣體)之殘留時間之間的關係來決定。殘留時間越長1 ^ 電常數變得越低。每延長的殘留時間長度之介電常數之降 低比例是可改變的,且在一段殘留時間後,介電常數之降 低比例將會顯著的增加,也就是說介電常數在一段反應氣 體之殘留時間後將會迅速地下降。在經過介電常數之迅迷 下降範圍後,介電常數的下降將會緩和下來。此現象極為 有趣。在本發明中,藉由延長殘留時間直到介電常數到達 迅速下降範圍的依據是建立薄膜之介電常數和反應氣體之 殘留時間之間的關係,因此矽酮聚合物之相對介電常數將 可顯著地被降低。 實施例:
第20頁 五、發明說明(18) 根據本發明之貫驗所得到之較佳結果將於以下詳細說 明之。在該些實驗中’所使用的材料氣體有pM_M〇s(苯曱 基二甲氧基碎曱院’式1),DM_DM〇s(二甲基二甲氧基矽甲 烧’式8)以及P-TM0S。實驗的裝置則是使用一般的電漿化 學氣相裝置(EAGLE-10TM,ASM Japan K.K.)。形成薄膜之 條件則如下所示: 添加氣體:复氣和和氦氣 RF能源供應:250W(藉由頻率丨3. 4MHz以及430MHz兩者 彼此合成而得) 基底溫度:4 0 0 °C 反應壓力:7 Torr 蒸發方法:直接蒸發 殘留時間(R t)則是以下式定義之:
Rt[s] = 9.42 X 1 〇7(Pr-Ts/Ps-Tr )rw2d/F 在此式中’每個縮寫代表的是如下所示之意義:
Pr :反應式壓力(Pa)
Ps :標準大氣壓(Pa)
Tr :反應氣體之平均溫度(K)
Ts :標準溫度00 rw :矽基底之半徑(m ) d :矽基底和上層電極間之距離(m) F :反應氣體總流量(s c c m ) 上述之該些參數是根據下列的數值混合,惟反應氣體 總流量是改變的,用以找出總流量與相對介電常數之間的
第21頁
私 3 "7 ο 1 y I ' 43701 τ 五、發明說明(20) 表一 材料氣體流速 (seem) 氬氣 (seem) 氦氣 (seem) 反應氣體總流量 (seem) 殘留時間 (msec) 相對介電常 數ε 比較例1 (P-TMOS) 100 1000 1000 2100 24 3.38 比較例2 (P-TMOS) 100 10 10 120 412 3‘42 比較例3 (PM-DMOS) 100 775 775 1650 30 3.41 比較例4 (PM-DMOS) 100 550 550 1200 41 3.41 比較例5 (PM-DMOS) 100 430 430 960 51 3.40 比較例6 (PM-DMOS) 100 310 310 720 68 335 實施例1 (PM-DMOS) 100 140 140 480 103 3.10 實施例2 (PM-DMOS) 100 100 100 300 165 2.76 實施例3 (PM-DMOS) 100 70 70 240 206 2.64 實施例4 (PM-DMOS) 100 10 10 120 412 2.45 實施例5 (DM-DMOS) 100 10 10 120 412 2.58 實施例6 (DM-DMOS) 25 3 0 28 1764 2.51 實施例7 (DM-DMOS) 25 0 5 30 1647 2.50 添加氣體改 變 氫氣 (seem) 曱烷 (seem) 實施例8 (DM-DMOS) 100 20 0 120 412 2.52 實施例9 (DM-DMOS) 25 5 0 30 1647 2.49 實施例10 (DM-DMOS) 25 0 5 30 1647 2.67 ΙΗΙΙΙΙΙ 第23頁 437017 五、發明說明(21) 比較例1 : 材料氣體:P - Τ Μ 0 S ( 1 0 0 s c c m ) ' 添加氣體:氬氣(lOOsccm)以及氦氣(i〇〇〇sccm) 反應氣體之總流量:21 0 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是2 4 m s e c,且根據此例之條件所獲得之薄膜,其 相對介電常數ε為3.38。 比較例2 : 材料氣體:P-TMOS (lOOsccm) 添加氣體:氛氣(lOsccm)以及氣氣(lOsccm) 反應氣體之總流量:120sccm 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是41 2 m s e c ’且根據此例之條件所獲得之薄膜, 其相對介電常數ε為3. 42。 比較例3 : 材料氣體:PM-MOS (lOOsccm) 添加氣體氣氣(775sccm)以及氮氣(775sccin) 反應氣體之總流量:1 6 5 0 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是3 0 m s e c,且根據此例之條件所獲得之薄膜,其 相對介電常數ε為3.41。 比較例4 : 材料氣體:PM-DM0S (lOOsccm) 添加氣體:氬氣(550sccm)以及氦氣(I2〇〇sccm)
第24頁 卜 ' 437 LM 7 五、發明說明(22) 反應氣體之總流量:1200sccm 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是41 m s e c,且根據此例之條件所獲得之薄膜,其 相對介電常數ε為3.41。 比較例5 : 材料氣體:PM-DMOS (lOOsccm) 添加氣體:氬氣(430sccm)以及氦氣( 430sccm) 反應氣體之總流量:9 6 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是5 1 m s e c ’且根據此例之條件所獲得之薄膜,其 相對介電常數ε為3. 40。 比較例6 : 材料氣體:PM-DMOS (lOOsccm) 添加氣體氣氣(310sccm)以及乳氣(31 Osccm) 反應氣體之總流量:7 2 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是6 8 m s e c,且根據此例之條件所獲得之薄膜,其 相對介電常數ε為3. 3 5。 實施例1 : 材料氣體:PM-DMOS (lOOsccm) 添加氣體:氬氣(140sccm)以及氦氣(i4〇sccm) 反應氣體之總流量:4 8 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是1 〇 3 m s e c,且根據此例之條件所獲得之薄膜,
第25頁 43701 1
五、發明說明(23) 其相對介電常數e為3. 1 0 實施例2 : 材料氣體:PM-DMOS (lOOsccm) 添加氣體:轰1氣(10〇5<^111)以及氦氣(i〇〇sccm) 反應氣體之總流量:300sccm 其他用以形成薄膜之條件乃如上所示,計算出來之 留時間R t是1 6 5 m s e c ’且根據此例之條件所獲得之薄膜, 其相對介電常數e為2.76。 ' 實施例3 : 材料氣體:PM-DMOS (lOOsccm)
添加氣體:氬氣(70sccm)以及氦氣(7〇sccm) 反應氣體之總流量:240sccm 其他用以形成薄膜之條件乃如上所示,計算出 留時間Rt是206msec ’且根據此例之條件所獲得之 其相對介電常數e為2. 64。 ' 實施例4 : 材料氣體:PM-DMOS (lOOsccm) 添加氣體:氬氣(lOsccm)以及氦氣) 反應氣體之總流量:120sccm 留 其 其他用以形成薄膜之條件乃如上所示, 時間Rt是4 1 2msec,且根據此例之條杜私松1奸®木^ 相對介電常數ε為2.45。 條件所獲得之薄膜, 以下,將根據上述結果以及第2 第2圖顯示的是使用PM-DMOS做為材 圖和第3圖加以檢視( 料氣體時,相對介電
第26頁
-437 01 7_____ 五、發明說明(24) 常數e和反應氣體總流量之間的關係以及殘留時間R t和反 應氣體總流量之間的關係。第3圖顯示的是使用PM-DM0S 做為材料氣體時,殘留時間Rt和相對介電常數e之間的關 係。 首先,將檢視PM-DM0S氣體之總流量和絕緣膜之相對 介電常數ε之間的關係。如第2圖所示,當氣體流量接近 7〇〇sccm時,相對介電常數e幾乎固定為3.4。然而,相對 介電常數ε —開始是隨氣體流量減少而下降(亦即再接近 或小於7 0 0 s c c m時)。此外,當氣體流量低於5 0 0 s c c m時, 殘留時間Rt將劇烈地上升,而相對介電常數ε則劇烈下 降。同時,第3圖顯示當殘留時間Rt由約70msec開始增加 時’相對介電常數ε則開始下降。其中,當殘留時間大於 400msec時,相對介電常數£則下降至2.45。 因此,本發明之時施例顯示假使可藉由控制PM-DMOS 和添加氣體構成之反應氣體的總流量使得殘留時間Rt大於 1 00msec,則相對介電常數ε將可被控制在低於3. 1。 實施例5 : 此實施例將以DM-DMOS(式8)作為材料氣體。 材料氣體:])M-DM0S (lOOsccm) 添加氣體:氬氣(l〇sc cm)以及氦氣(l〇 seem ) 反應氣體之.總流量:1 2 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是4 1 2 m s e c,且根據此例之4件所獲得之薄臈, 其相對介電常數e為2.58。
第27頁
實施例6 : 材料氣體:DM_DM0S (25sccm)' 添加氣體:氬氣(3sccm)以及氦氣(Osccm) 反應氣體之總流量:2 8 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之歹篆 留時間Rt是1 764msec,且根據此例之條件所獲得之薄膜, 其相對介電常數ε為2. 51。 ' 實施例7 : 材料氣體:DM-DMOS (25sccm) 添加氣體:氬氣(Osccm)以及氦氣(5sccm) 反應氣體之總流量:3 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是1 6 4 7 m s e c,且根據此例之條件所獲得之薄膜, 其相對介電常數e為2.50。 實施例8 : 材料氣體:DM-DMOS (lOOsccm) 添加氣體:氫氣(20sccm)以及甲烧(Osccm) 反應氣體之總流量:1 2 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間Rt是41 2msec,且根據此例之條件所獲得之薄臈, 其相對介電常數ε為2.52。 實施例9 : 材料氣體:DM-DMOS (25sccm) 添加氣體:氫氣(5sccm)以及曱烧(Osccm)
第28頁 i 、 437 ϋ1 7 五、發明說明(26) - 反應氣體之總流量:3 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來&歹免 留時間Rt是1 647msec,且根據此例之條件所獲得之薄膜, 其相對介電常數ε為2. 49。 丨、 實施例1 0 : 材料氣體:DM_MOS (25sccm) 添加氣體:氫氣(Osccm)以及曱院(5sccm) 反應氣體之總流量:3 0 s c c m 其他用以形成薄膜之條件乃如上所示,計算出來之殘 留時間R t是1 6 4 7msec,且根據此例之條件所獲得之薄膜, 其相對介電常數ε為2.67。 '、 因此’如上結杲所示,在式2的材料氣體中,兩種氣 體(R1 為C6H5 且R2 為CH32PM-DMOS,以及R1 為ch3AR2 為CH3 之DM-DMOS)均可製造非常低相對介電常數之絕緣膜(e ^ 3, 1 )。 、 以下將檢視若以P-TMOS取代PM-DMOS時能否賦予相同 的結果。比較例1和2均是以P-TMOS做為材料氣體之結果, 此結果顯示即使在反應氣體總流量降低至1 %時,相對介電 常數仍不會被降低。因此,存在於PM-DMOS中之氣體總流 量和相對介電常數之間的關係,不適用於P-TMOS。 另外’以下將檢視使用不同材料氣體時之相對介電常 數的差異。比較例2和本發明實施例4之氣體流量和其他條 件雖然相同,然P-TMOS做為反應氣體之相對介電常數辱 42,而PM-DMOS作為反應氣體之相對介電常數則為2.45。
第29頁 ί ' 43?υ1 7 五'發明說明(27) 此二相對介電常數值之間的差異乃在於材料氣體間的分q 結構。亦即’ PM-MOS具有一對相對而言較不穩定且易分 開的0-CH3鍵,故在聚合反應發生時,氣態中所存在的是 線形的聚合物(式7 )。此聚合物沈積在半導體基底上時, 將會形成一微孔結構,進而降低絕緣膜之相對介電常數。 袓反地,由於P-TMOS具有3個0-CH3鍵,即使殘留時間延 長’其聚合物仍不會線性地沈積。因此,沈積的薄膜不會 具有微孔結構,故相對介電常束也不會被降低。 上述的實驗已經證實使用具有含矽的碳氫化合物作為 材料氣體時,其較佳的是不僅具有矽-氧鍵,也具有至多'2 個◦ - Cn 鍵’以及至少2個與碎鍵結的碳氣自由基。 根據本發明所形成之低介電常數薄膜的穩定度,可藉 由測量例4中以PM-DMOS做為反應氣體所獲得之薄膜和例/ 中以DM-DMOS做為反應氣體所獲得之薄膜來估計,並且估 計相對介電常數之穩定度和其熱穩定度。 表2 :栢對介電t數 材料氣艏 剛形成時 在高溫以及高滿度環境 中1小時後 例4 PM-DMOS 2.45 2.45 例5 DM-DMOS 2.58 2.58 (2)熱穩定度 薄膜結構之熱穩定度乃是以熱去吸附測試加以測量, 也就是說分別形成於矽晶圓上之DM-DM〇S樣品和dm_dm〇s樣 品被置放在真空中,並且以每分鐘升1〇。(3之速率升高溫
第30頁 ^ 4370 ϊ 7 五、發明說明(28) 度’然後測罝由薄胺6 * 是在溫度上升過中去吸附的分子數量。第4圖顯示的 附光譜。第5圖’薄膜成分令分子量16的甲烧之去吸 的總分子數量不的是真空度改變下’自薄膜中去吸附 並未偵測到有去%此二實驗中’於400 °C或較低的溫度不 PM-DM0S是開始^的現象。其中,去吸附反應在 低相對介電常數:t ,而M_DM〇S則是開始於5〇〇°C。 °C。因此, 、,、斤需要的熱穩定度一般是介於400 °C至450 必’上迷的結果證實PM-DMOS和DM-DMOS薄膜呈右离 熱穩定度。 ' ^ ^ ^ 如上所述’本發明之方法使用含矽碳氫化合物作為材 料氣體’以產生具有高熱穩定度、高抗濕性和低相對介電 長樹枝絕緣膜。此外,控制反應氣體之殘留時間可有效且 建議地控制薄膜之相對介電常數。另外,本發明之方法可 確實簡易地產生絕緣膜而不必昂貴的裝置。 雖然本發明已以較佳實施例揭露如上,然其並非用以 限定本發明,任何熟習此技藝者’在不脫離本發明之精神 和範圍内’所作之各種更動與潤飾’均落在本發明的專利 範圍内。此外,本發明之保護範圍當視後附之申請專利 圍所界定者為準。 &

Claims (1)

  1. 六、申請專利範圍 1 · 一種藉由 合物之方法,其 直接蒸發一 聚合物之材料氣 兩個的烷氧基, 將該材料氣 内’其内並置有 將一種控制 加氣體是用以提 在反應室内 料氣體和添加氣 成一具有低相對 控制該反應 内之殘留時間, 預定值。 2. 如申請專 間是決定於相對 3. 如申請專 含石夕鲷之碳氫化 4 _如申請專 含矽鲷之碳氫化 5. 如申請專 之碳氫化合物内 6. 如申請專 電漿處 步釋包 含石夕的 體,該 或不具 理以在半 括: 碳·氫化合 含石夕的石炭 烧氧基; 導體基底上形成一;5夕_聚 物,以產生一種生產;5夕酿| 氫化合物具有兩個或小於 體導入一電漿化學氣相沈積製程之反應室 一半導 電漿反 供形成 活化電 體之反 介電常 氣體之 直到該 體基底 應的添加 矽酮薄膜 槳·聚合反 應氣體, 數之石夕酮 流速以延 矽酮聚合 氣體導入反應室内,該添 内預定的氧:矽比例; 應’其中並存在有含該材 用以在該半導體基底上形 聚合物薄膜;以及 長該反應氣體在該反應室 物膜之相對介電常數低於 利範圍第1項所述之方法,其中該殘留時 介電常數和殘留時間之間的關係。 利範圍第1項所述之方法,其中存在於該 合物内的烷氧基具有1至3個碳。 利範圍第1項所述之方法,其中存在於該 合物内的碳氫化合物具有1至6個碳。 利範圍第1項所述之方法,其中該含矽酮 具有1至3個發原子。 利範圍第1項所述之方法,其中該含矽之
    _麵I
    第32頁 437017 六、申請專利範圍 其中α 而R則 碳氫化合物具有3匕(^-11^_1}+2(0(:[11^+1)冷之通式 是卜3間的整數,召是0、1或2,η是卜3間的整數 是接在矽上的CV6碳氫化合物。 7. 如申請專利範圍第1項所述之方法,其中該添加氣 體包括有至少氬氣或氦氣。 8. 如申請專利範圍第1項所述之方法,其中該添加氣 體包括有一種氧化劑或還原劑,用以決定該材料氣體内之 矽:氧比例,以形成一具有預定特性之矽酮聚合物膜。 9. 如申請專利範圍第7項所述之方法,其中該添加氣 體包括有一種氧化劑或還原劑,用以決定該材料氣體内之 矽:氧比例,以形成一具有預定特性之矽酮聚合物膜。 1 0.如申請專利範圍第1項所述之方法,其中該含矽之 碳氫化合物是選自下列族群: Si - ° - CnH;n+1 R.2 CnH2n+1- 〇 — Si - Ο — CmH2(1 I R2 R1 R3 I CnH2n + 1 - O-Si-O-Si- O - CmH I ί R2 R4 2m+ 1 R1 R6 I i R2 — Si —〇一Si - R5 i I R3 R4 I -Si - R4 i R3
    第33頁 I ' 43Ία1 1: 六、申請專利範圍 其中,R1、R2、R3、R4、R5 和R6 是獨立地CH3、C2H3、 C2H5、C3H7或C6H5,且m和η是1 - 6間的-整數。 11 ·如申請專利範圍第1項所述之方法,其中該反應氣 體之流速是控制在可提供相對介電常數低於3. 3 0之矽酮聚 合物膜。 1 2.如申請專利範圍第1項所述之方法,其中該反應氣 體之殘餘時間的預測值是決定於薄膜之相對介電常數和殘 留時間之間的關係。
    第34頁
TW088101644A 1998-02-05 1999-02-03 Silicone polymer insulation film on semiconductor substrate and method for formation thereof TW437017B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3792998 1998-02-05

Publications (1)

Publication Number Publication Date
TW437017B true TW437017B (en) 2001-05-28

Family

ID=12511254

Family Applications (1)

Application Number Title Priority Date Filing Date
TW088101644A TW437017B (en) 1998-02-05 1999-02-03 Silicone polymer insulation film on semiconductor substrate and method for formation thereof

Country Status (4)

Country Link
US (3) US6352945B1 (zh)
EP (1) EP0935283A3 (zh)
KR (1) KR100364053B1 (zh)
TW (1) TW437017B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109922952A (zh) * 2016-08-30 2019-06-21 康宁股份有限公司 用于片材结合的硅氧烷等离子体聚合物

Families Citing this family (502)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6881683B2 (en) * 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7354873B2 (en) * 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US7582575B2 (en) * 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6852650B2 (en) * 1998-02-05 2005-02-08 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US20060258176A1 (en) * 1998-02-05 2006-11-16 Asm Japan K.K. Method for forming insulation film
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6340435B1 (en) 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6156743A (en) * 1999-10-18 2000-12-05 Whitcomb; John E. Method of decreasing fatigue
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
JP3668404B2 (ja) 2000-02-16 2005-07-06 シャープ株式会社 半導体装置およびその製造方法
EP1128421A3 (en) * 2000-02-28 2002-03-06 Canon Sales Co., Inc. Method of fabricating an interlayer insulating film comprising Si, O, C and H for semiconductor devices
JP3419745B2 (ja) 2000-02-28 2003-06-23 キヤノン販売株式会社 半導体装置及びその製造方法
US6573196B1 (en) 2000-08-12 2003-06-03 Applied Materials Inc. Method of depositing organosilicate layers
DE60127973T2 (de) * 2000-08-18 2008-01-17 Tokyo Electron Ltd. Herstellungsprozess eines halbleiterbauelements mit einem zwischenfilm aus siliziumnitrid mit niedriger dielektrizitätskonstante
EP1355864A2 (en) * 2000-08-28 2003-10-29 Applied Materials, Inc. Pre-polycoating of glass substrates
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6905981B1 (en) 2000-11-24 2005-06-14 Asm Japan K.K. Low-k dielectric materials and processes
US6500773B1 (en) * 2000-11-27 2002-12-31 Applied Materials, Inc. Method of depositing organosilicate layers
JP3516941B2 (ja) 2000-11-30 2004-04-05 キヤノン販売株式会社 半導体装置及びその製造方法
KR20020051456A (ko) * 2000-12-22 2002-06-29 황 철 주 저온환경의 화학기상증착 방법
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6709721B2 (en) 2001-03-28 2004-03-23 Applied Materials Inc. Purge heater design and process development for the improvement of low k film properties
JP2002305242A (ja) * 2001-04-05 2002-10-18 Canon Sales Co Inc 半導体装置の製造方法
KR100416596B1 (ko) * 2001-05-10 2004-02-05 삼성전자주식회사 반도체 소자의 연결 배선 형성 방법
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6759344B2 (en) * 2002-01-29 2004-07-06 Asm Japan K.K. Method for forming low dielectric constant interlayer insulation film
US6855629B2 (en) 2002-07-24 2005-02-15 Samsung Electronics Co., Ltd. Method for forming a dual damascene wiring pattern in a semiconductor device
US7183195B2 (en) 2002-02-22 2007-02-27 Samsung Electronics, Co., Ltd. Method of fabricating dual damascene interconnections of microelectronic device using hybrid low k-dielectric and carbon-free inorganic filler
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US20030194496A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US20030194495A1 (en) * 2002-04-11 2003-10-16 Applied Materials, Inc. Crosslink cyclo-siloxane compound with linear bridging group to form ultra low k dielectric
US20030211244A1 (en) * 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US20030206337A1 (en) * 2002-05-06 2003-11-06 Eastman Kodak Company Exposure apparatus for irradiating a sensitized substrate
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6927178B2 (en) * 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
JP2004146798A (ja) * 2002-09-30 2004-05-20 Sanyo Electric Co Ltd 半導体装置およびその製造方法
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6932092B2 (en) * 2002-11-22 2005-08-23 Applied Materials, Inc. Method for cleaning plasma enhanced chemical vapor deposition chamber using very high frequency energy
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
JP4651076B2 (ja) * 2003-01-24 2011-03-16 日本エー・エス・エム株式会社 半導体基板上の絶縁膜の形成方法
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US20050244337A1 (en) * 2003-04-08 2005-11-03 Xingwu Wang Medical device with a marker
US20050261763A1 (en) * 2003-04-08 2005-11-24 Xingwu Wang Medical device
US20050278020A1 (en) * 2003-04-08 2005-12-15 Xingwu Wang Medical device
US20050240100A1 (en) * 2003-04-08 2005-10-27 Xingwu Wang MRI imageable medical device
US8137764B2 (en) 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
CN1826391B (zh) 2003-06-23 2012-12-12 苏黎世大学 超疏水涂层
JP2007516599A (ja) * 2003-08-04 2007-06-21 エーエスエム アメリカ インコーポレイテッド ゲルマニウム上の堆積前の表面調製
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7148154B2 (en) * 2003-08-20 2006-12-12 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and low film stress
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
TW200527536A (en) * 2004-02-13 2005-08-16 Matsushita Electric Ind Co Ltd Method for forming organic/inorganic hybrid insulation film
US20050178333A1 (en) * 2004-02-18 2005-08-18 Asm Japan K.K. System and method of CVD chamber cleaning
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
DE102004020328A1 (de) * 2004-04-26 2005-11-03 Infineon Technologies Ag Verfahren zur Abscheidung einer mit Kohlenstoff dotierten siliziumhaltigen dielektrischen Schicht
US20050277302A1 (en) * 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7229041B2 (en) * 2004-06-30 2007-06-12 Ohio Central Steel Company Lifting lid crusher
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
KR100632473B1 (ko) * 2004-08-03 2006-10-09 삼성전자주식회사 염기성 물질 확산 장벽막을 사용하는 미세 전자 소자의듀얼 다마신 배선의 제조 방법
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
TW200634982A (en) * 2005-02-22 2006-10-01 Asm Inc Plasma pre-treating surfaces for atomic layer deposition
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060286306A1 (en) * 2005-06-17 2006-12-21 Asm Japan K.K. Method of producing advanced low dielectric constant film by UV light emission
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
FR2887891B1 (fr) * 2005-07-01 2007-09-21 Commissariat Energie Atomique Materiau a base de polysiloxane et a faible hysteresis de mouillage et procede de depot d'un tel materiau.
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US20070287849A1 (en) 2006-06-13 2007-12-13 Air Products And Chemicals, Inc. Low-Impurity Organosilicon Product As Precursor For CVD
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8029620B2 (en) * 2006-07-31 2011-10-04 Applied Materials, Inc. Methods of forming carbon-containing silicon epitaxial layers
US7588980B2 (en) * 2006-07-31 2009-09-15 Applied Materials, Inc. Methods of controlling morphology during epitaxial layer formation
US8080282B2 (en) 2006-08-08 2011-12-20 Asm Japan K.K. Method for forming silicon carbide film containing oxygen
US7789965B2 (en) 2006-09-19 2010-09-07 Asm Japan K.K. Method of cleaning UV irradiation chamber
KR100880874B1 (ko) * 2006-09-20 2009-01-30 에이에스엠 저펜 가부시기가이샤 플라즈마 cvd를 사용하여 탄소 중합체 막을 형성하는방법
US7718553B2 (en) * 2006-09-21 2010-05-18 Asm Japan K.K. Method for forming insulation film having high density
JP5170445B2 (ja) * 2007-02-14 2013-03-27 Jsr株式会社 ケイ素含有膜形成用材料、ならびにケイ素含有絶縁膜およびその形成方法
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
JP2009016672A (ja) * 2007-07-06 2009-01-22 Tokyo Electron Ltd 半導体装置の製造方法、半導体装置、半導体製造装置及び記憶媒体。
US20100261925A1 (en) * 2007-07-10 2010-10-14 Jsr Corporation Method for producing silicon compound
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US20090090382A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K. Method of self-cleaning of carbon-based film
US20090093134A1 (en) * 2007-10-05 2009-04-09 Asm Japan K.K Semiconductor manufacturing apparatus and method for curing materials with uv light
US20090098741A1 (en) * 2007-10-15 2009-04-16 Asm Japan K.K. Method for forming ultra-thin boron-containing nitride films and related apparatus
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7474540B1 (en) * 2008-01-10 2009-01-06 International Business Machines Corporation Silicon carrier including an integrated heater for die rework and wafer probe
US7993462B2 (en) 2008-03-19 2011-08-09 Asm Japan K.K. Substrate-supporting device having continuous concavity
US20110042789A1 (en) * 2008-03-26 2011-02-24 Jsr Corporation Material for chemical vapor deposition, silicon-containing insulating film and method for production of the silicon-containing insulating film
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090297731A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Apparatus and method for improving production throughput in cvd chamber
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9142393B2 (en) 2013-05-23 2015-09-22 Asm Ip Holding B.V. Method for cleaning reaction chamber using pre-cleaning process
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102624608B1 (ko) 2016-01-19 2024-01-16 삼성전자주식회사 저유전막의 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR101663039B1 (ko) * 2016-06-16 2016-10-14 에스피오주식회사 반구프리즘 빔 스프리터를 갖는 내부동축 광학계
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA1204527A (en) * 1982-08-13 1986-05-13 Theodore F. Retajczyk, Jr. Polymeric films for electronic circuits
US4826733A (en) * 1986-12-03 1989-05-02 Dow Corning Corporation Sin-containing coatings for electronic devices
JPH01199678A (ja) * 1988-02-03 1989-08-11 Mitsubishi Electric Corp 高純度SiO↓2薄膜の形成方法
JP2695198B2 (ja) * 1988-10-07 1997-12-24 昭和電工株式会社 集積回路
US5314724A (en) 1991-01-08 1994-05-24 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) * 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JP3190745B2 (ja) * 1992-10-27 2001-07-23 株式会社東芝 気相成長方法
US5380555A (en) 1993-02-09 1995-01-10 Dow Corning Toray Silicone Co., Ltd. Methods for the formation of a silicon oxide film
US5433786A (en) * 1993-08-27 1995-07-18 The Dow Chemical Company Apparatus for plasma enhanced chemical vapor deposition comprising shower head electrode with magnet disposed therein
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US6037274A (en) * 1995-02-17 2000-03-14 Fujitsu Limited Method for forming insulating film
JPH09237785A (ja) * 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP3226479B2 (ja) 1996-08-29 2001-11-05 松下電器産業株式会社 層間絶縁膜の形成方法
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6051321A (en) 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
JP3305251B2 (ja) * 1998-02-26 2002-07-22 松下電器産業株式会社 配線構造体の形成方法
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109922952A (zh) * 2016-08-30 2019-06-21 康宁股份有限公司 用于片材结合的硅氧烷等离子体聚合物

Also Published As

Publication number Publication date
KR100364053B1 (ko) 2003-02-07
US6352945B1 (en) 2002-03-05
US20030054666A1 (en) 2003-03-20
US6455445B2 (en) 2002-09-24
US20010021590A1 (en) 2001-09-13
US6653719B2 (en) 2003-11-25
KR19990072427A (ko) 1999-09-27
EP0935283A2 (en) 1999-08-11
EP0935283A3 (en) 2005-06-15

Similar Documents

Publication Publication Date Title
TW437017B (en) Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
JP3726226B2 (ja) 絶縁膜及びその製造方法
US6432846B1 (en) Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
CN100594259C (zh) 改善低k叠层之间粘附性的界面工程
US6440876B1 (en) Low-K dielectric constant CVD precursors formed of cyclic siloxanes having in-ring SI—O—C, and uses thereof
JP4272424B2 (ja) 半導体素子のレベル内またはレベル間誘電体としての超低誘電率材料、その製造方法、およびそれを含む電子デバイス
KR100453612B1 (ko) 유전율이 낮은 수소화된 옥시탄화규소 막의 제조방법
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
US20060110931A1 (en) Method for forming insulation film
US6852650B2 (en) Insulation film on semiconductor substrate and method for forming same
US20070004204A1 (en) Method for forming insulation film
US20060258176A1 (en) Method for forming insulation film
KR20140016203A (ko) 무산소 함유 실리콘계 막 및 이의 형성 방법
TW201022466A (en) Dielectric barrier deposition using oxygen containing precursor
TW201026880A (en) Method for depositing Si-containing film, insulator film, and semiconductor device
JP2001274153A (ja) 絶縁膜の製造方法
JPH05102040A (ja) 成膜方法
Seo et al. Organic and organic–inorganic hybrid polymer thin films deposited by PECVD using TEOS and cyclohexene for ULSI interlayer-dielectric application
JP4117768B2 (ja) 半導体基板上のシロキサン重合体膜及びその製造方法
JP3197008B2 (ja) 半導体基板上のシリコン重合体絶縁膜及びその膜を形成する方法
JP3814797B2 (ja) 半導体基板上のシリコン重合体絶縁膜を形成する方法
JP3197007B2 (ja) 半導体基板上のシリコン重合体絶縁膜及びその膜を形成する方法
Li et al. Structural and electronic properties of low dielectric constant carbon rich amorphous silicon carbide

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MK4A Expiration of patent term of an invention patent