TW201344786A - 裝載閘結構中之除污及剝除處理腔室 - Google Patents

裝載閘結構中之除污及剝除處理腔室 Download PDF

Info

Publication number
TW201344786A
TW201344786A TW102105282A TW102105282A TW201344786A TW 201344786 A TW201344786 A TW 201344786A TW 102105282 A TW102105282 A TW 102105282A TW 102105282 A TW102105282 A TW 102105282A TW 201344786 A TW201344786 A TW 201344786A
Authority
TW
Taiwan
Prior art keywords
chamber
substrate
chamber volume
load lock
volume
Prior art date
Application number
TW102105282A
Other languages
English (en)
Other versions
TWI564954B (zh
Inventor
Martin Jeffrey Salinas
Paul B Reuter
Andrew Nguyen
Jared Ahmad Lee
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201344786A publication Critical patent/TW201344786A/zh
Application granted granted Critical
Publication of TWI564954B publication Critical patent/TWI564954B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本發明之實施例提供了包括二或更多隔離腔室容積的一種裝載閘腔室,其中一腔室容積是配置來用於處理一基板,且另一腔室容積是配置來提供冷卻給一基板。本發明之一實施例提供了一種裝載閘腔室,該裝載閘腔室具有形成在一腔室主體組件中的至少兩隔離腔室容積。該等至少兩隔離腔室容積可垂直堆疊。一第一腔室容積可用於使用反應種來處理設置於其中的一基板。一第二腔室容積可包括一冷卻基板支撐。

Description

裝載閘結構中之除污及剝除處理腔室
本發明之實施例一般係關於用於製造半導體基板上之裝置的方法與設備。更具體地,本發明之實施例係關於一種裝載閘腔室,該裝載閘腔室包括了配置來用於處理基板的一腔室容積。
超大型積體電路(Ultra-large-scale integrated(ULSI)circuits)可包括超過一百萬的電子裝置(例如電晶體),該等電子裝置形成於半導體基板上(例如矽(Si)基板)並且共同配合來執行裝置內的各種功能。通常,ULSI電路中所用的電晶體是互補金屬氧化半導體(Metal-Oxide-semiconductor(CMOS))場效電晶體。
電漿蝕刻通常使用於電晶體與其他電子裝置的製造中。在用於形成電晶體結構的電漿蝕刻處理期間,一或更多層膜堆疊(例如多層的矽、多晶矽、二氧化鉿(HfO2)、二氧化矽(SiO2)、金屬材料、與類似者)通常曝露至包含至少一含有鹵素的氣體(例如溴化氫(HBr)、氯氣(Cl2)、 四氟化碳(CF4)、與類似者)的蝕刻劑。此種處理導致含有鹵素的殘餘物生成在所蝕刻部件、蝕刻遮罩、與基板上其他位置的表面上。
當曝露至非真空環境(例如生產介面或基板儲存盒內)及/或在連續處理期間,氣體鹵素與鹵素型反應物(例如溴氣(Br2)、氯氣(Cl2)、氯化氫(HCl)、與類似者)可能從蝕刻期間所沉積之含有鹵素的殘餘物釋放出來。所釋放的鹵素與鹵素型反應物產生粒子汙染且導致處理系統與生產介面內部的腐蝕,以及基板上面金屬層的曝露部分的腐蝕。處理系統與生產介面的清洗以及腐蝕部分的汰換是耗時且昂貴的程序。
已經發展出數種處理來移除所蝕刻基板上之含有鹵素的殘餘物。例如,所蝕刻基板可轉移至遠端電漿反應器,以曝露所蝕刻基板至氣體混合物,氣體混合物將含有鹵素的殘餘物轉換成非腐蝕之揮發性化合物,該揮發性化合物可被加熱除去氣體且抽出反應器外。但是,此種處理需要專屬的處理室以及額外的步驟,導致增加的機台花費、降低的製造產率與產量、導致高的製造成本。
因此,需要有改良的方法與設備,用於從基板移除含有鹵素的殘餘物。
本發明之實施例通常提供用於處理基板的設備與方法。具體地,本發明之實施例提供能夠處理基板的一種裝載 閘腔室,例如藉由曝露位於其內的該基板至一反應種(reactive species)。
本發明之一實施例提供一種裝載閘腔室。該裝載閘腔室包括一腔室主體組件,該腔室主體組件界定了彼此隔離的一第一腔室容積與一第二腔室容積。該第一腔室容積透過兩個通道而選擇性地可連接至兩環境,該等兩個通道係配置來用於基板轉移,且該第二腔室容積選擇性地連接至該等兩環境之至少一者。該裝載閘腔室另包括一冷卻基板支撐組件,該冷卻基板支撐組件設置在該第一腔室容積中並且配置來支撐且冷卻其上的一基板;一加熱基板支撐組件,該加熱基板支撐組件設置在該第二腔室容積中並且配置來支撐其上的一基板;以及一氣體分配組件,該氣體分配組件設置在該第二腔室容積中並且配置來提供一處理氣體到該第二腔室容積,以用於處理設置在其中的該基板。
本發明之一實施例提供一種雙裝載閘腔室。該雙裝載閘腔室包括一第一裝載閘腔室與一第二裝載閘腔室,相鄰地設置在一單一腔室主體組件中。該等第一裝載閘腔室與第二裝載閘腔室之每一者包括彼此隔離的一第一腔室容積與一第二腔室容積。該第一腔室容積透過兩個通道而選擇性地可連接至兩環境,該等兩個通道係配置來用於基板轉移,且該第二腔室容積選擇性地連接至該等兩處理環境之至少一者。每一裝載閘腔室亦包括一冷卻基板支撐組件,該冷卻基板支撐組件設置在該第一腔室容積中並且配置來支撐且冷卻其上的一基板;一加熱基板支撐組件,該加熱基板支撐組件設置 在該第二腔室容積中並且配置來支撐其上的一基板;以及一氣體分配組件,該氣體分配組件設置在該第二腔室容積中並且配置來提供一處理氣體到該第二腔室容積,以用於處理設置在其中的該基板。
本發明之又另一實施例提供一種方法,用於從一基板移除含有鹵素的殘餘物。該方法包括:透過一裝載閘腔室的一第一腔室容積來轉移一基板到一基板處理系統,該裝載閘腔室的該第一腔室容積耦接至該基板處理系統的一轉移室;利用含有鹵素的化學物來在一或更多個處理室中蝕刻該基板,該一或更多個處理室耦接至該基板處理室的該轉移室;在該裝載閘腔室的一第二腔室容積中從該已蝕刻基板移除含有鹵素的殘餘物;以及在移除含有鹵素的該殘餘物之後,在該裝載閘腔室的一冷卻基板支撐組件中冷卻一基板。
100‧‧‧裝載閘腔室
101、102‧‧‧氣體控制板
103‧‧‧電漿源
104‧‧‧基板
110‧‧‧腔室主體組件
111、112‧‧‧側壁
113、114‧‧‧內壁
115‧‧‧腔室底部
116‧‧‧腔室蓋
120、130、140‧‧‧腔室容積
121‧‧‧通道
122‧‧‧流量閥
123‧‧‧氣體分配噴頭
124‧‧‧升舉環組件
125‧‧‧加熱基板支撐組件
126‧‧‧熱絕緣體
127‧‧‧加熱元件
131、132‧‧‧通道
133、134‧‧‧流量閥
135‧‧‧基板支撐銷
141、142‧‧‧通道
143、144‧‧‧流量閥
145‧‧‧碟形主體
146‧‧‧冷卻通道
147‧‧‧基板支撐表面
148‧‧‧冷卻流體源
149‧‧‧升舉銷
150‧‧‧板材
151‧‧‧致動器
152‧‧‧冷卻基板支撐組件
300‧‧‧裝載閘腔室
310‧‧‧腔室主體組件
323‧‧‧通道
324‧‧‧流量閥
400‧‧‧裝載閘腔室
410‧‧‧腔室主體組件
430‧‧‧腔室容積
450‧‧‧升舉組件
451‧‧‧環
452‧‧‧環
453‧‧‧柱
454‧‧‧基板支撐隔板
502‧‧‧馬達
504‧‧‧軸
506、508‧‧‧螺紋部
510、514‧‧‧螺紋構件
512‧‧‧軸
516‧‧‧軸
600‧‧‧雙裝載閘腔室
602A、602B‧‧‧真空幫浦
604A、604B‧‧‧控制閥
606‧‧‧真空幫浦
608A、610A、608B、610B‧‧‧控制閥
100A、100B‧‧‧裝載閘腔室
120A、130A、140A‧‧‧腔室容積
120B、130B、140B‧‧‧腔室容積
610‧‧‧腔室主體組件
700‧‧‧叢集工具系統
702‧‧‧機座
704‧‧‧生產介面
706‧‧‧生產介面機器人
708‧‧‧轉移室
710‧‧‧真空機器人
712‧‧‧處理室
738‧‧‧中央處理單元(CPU)
740‧‧‧記憶體
742‧‧‧支援電路
744‧‧‧系統控制器
800‧‧‧方法
810~880‧‧‧盒
900‧‧‧方法
910~970‧‧‧盒
924‧‧‧基板
所以其中本發明之上述特徵可被詳細了解、本發明之較具體敘述的方法係簡短總結於上,其可參照至實施例,一些實施例係例示在所附圖式中。但是,注意到,所附圖式僅例示本發明之一般實施例,且因此不視為限制其範圍,因為本發明可承認其他均等有效的實施例。
第1圖是根據本發明之一實施例之裝載閘腔室的示意剖面視圖。
第2圖是第1圖的裝載閘腔室的示意剖面視圖,該裝載閘腔室是處於與第1圖不同的狀態。
第3圖是根據本發明之另一實施例之裝載閘腔室的示意剖面視圖。
第4圖是根據本發明之另一實施例之裝載閘腔室的示意剖面視圖。
第5A圖是第4圖的裝載閘腔室的示意剖面視圖,顯示了升舉組件。
第5B圖是根據本發明之一實施例之升舉組件的示意立體視圖。
第6圖是根據本發明之一實施例之雙裝載閘腔室結構的示意剖面視圖。
第7圖是根據本發明之一實施例之叢集工具系統的平面視圖,叢集工具系統包括裝載閘腔室。
第8圖是流程圖,說明根據本發明之一實施例之用於處理基板的方法。
第9圖是流程圖,說明根據本發明之另一實施例之用於處理基板的方法。
為了促進了解,已經在任何可能的地方使用相同的元件符號來表示圖式中共同的相同元件。可了解到,在一實施例中所揭露的元件可有利地利用在其他實施例上,而不用具體詳述。
本發明之實施例提供設備與方法,用於製造半導體基板上的裝置。更具體地,本發明之實施例提供了包括二或 更多隔離腔室容積的一種裝載閘腔室,其中一腔室容積是配置來用於處理一基板,且另一腔室容積是配置來提供冷卻給一基板。
本發明之一實施例提供了一種裝載閘腔室,該裝載閘腔室具有形成在一腔室主體組件中的至少兩隔離腔室容積。該等至少兩隔離腔室容積可垂直堆疊。該等兩隔離腔室容積可獨立操作來增加產量。一第一腔室容積可用於使用反應種來處理設置於其中的一基板,例如從該基板移除鹵素殘餘物或從該基板移除光阻。一第二腔室容積具有兩個通道,用於在相鄰的環境之間進行基板交換,例如生產介面的周遭環境與轉移室的真空環境。在一實施例中,冷卻基板支撐可設置在第二腔室容積中。冷卻基板支撐允許所處理的基板在離開真空環境之前被冷卻,因此,防止非所欲的反應,例如矽的氧化,這可能是因為將熱基板曝露至周遭大氣而導致。在一實施例中,基板支撐隔板可設置在第二腔室容積中,以容納額外的基板於第二腔室容積中,使得進來與出去的基板可具有不同的槽,以減少交互汙染且改善產量。藉由在裝載閘腔室中包括用於處理基板的腔室容積,額外的位置在處理系統中變成可用,以容納額外的處理室,因此增加產量而不會增加處理系統的佔地面積。因此,藉由減少當所處理基板曝露至大氣時的非所欲反應,在裝載閘腔室中使用冷卻基板支撐可以改善處理品質。
本發明之另一實施例包括具有三個腔室容積的裝載閘腔室。第三腔室容積可一起堆疊在用於處理基板的第一腔 室容積與具有冷卻基板支撐的第二腔室容積之間。類似於第二腔室容積,第三腔室容積具有兩個通道,用於在相鄰的隔離環境之間進行基板交換,例如生產介面的周遭環境與轉移室的真空環境。例如,第三腔室容積可用於將進來的基板從生產介面轉移至轉移室,同時第二腔室容積可用於將出去的基板從轉移室轉移至生產介面。因為進來與出去的基板不共享相同的腔室容積,交互汙染的可能性實質上被消除。此外,使用不同的腔室容積來用於進來與出去的基板也提供了系統的彈性。
第1圖是根據本發明之一實施例之裝載閘腔室100的示意剖面視圖。裝載閘腔室100具有腔室主體組件110,腔室主體組件110界定了三個腔室容積110、120與130。三個腔室容積110、120與130垂直堆疊在一起,且彼此隔離。腔室容積110與120配置來用於轉移基板104,且腔室容積120配置來用於處理基板104。
在一實施例中,腔室主體組件110包括側壁111與側壁112。側壁111與側壁112面向相反方向,以介接於兩環境。側壁111可適於連接至周遭環境(例如存在於生產介面中),而側壁112可適於連接至真空環境(例如存在於轉移室中的真空環境)。裝載閘腔室100可用於在連接至側壁111、112的兩環境之間交換基板。腔室主體組件110可另包括腔室蓋116、腔室底部115與內壁113、114。內壁113、114將裝載閘腔室100的內部分成三個腔室容積120、130與140。腔室容積130、140作用為基板交換的裝載閘,且腔室容積120 配置來用於處理基板。
腔室容積120界定在側壁111、112、腔室蓋116與內壁113之間。通道121形成通過側壁112,以允許基板被轉移進與出腔室容積120。流量閥122設置來選擇性密封該通道121。在第1圖所示的實施例中,腔室容積120僅具有一個通道121來用於基板交換,因此,腔室容積120不能作用為在兩環境之間交換基板的裝載閘。在操作期間,腔室容積120可透過通道121而被選擇連接至真空處理環境。或者,額外的基板交換通道可形成通過側壁111,以使基板可以在腔室容積120與生產介面的環境之間交換。
加熱基板支撐組件125設置在腔室容積120中,用於支撐且加熱基板104。根據一實施例,加熱基板支撐組件125包括嵌入的加熱元件127。熱絕緣體126可設置在加熱基板支撐組件125與內壁113之間,以減少腔室主體組件110與加熱基板支撐組件125之間的熱交換。氣體分配噴頭123設置在加熱基板支撐組件125之上的腔室容積120中。升舉環組件124可移動地設置在加熱基板支撐組件125與氣體分配噴頭123周圍。升舉環組件124配置來限制一處理環境就在腔室容積120中的基板支撐組件125周圍之內,且升舉環組件124可操作來從加熱基板支撐組件125與基板轉移機器人(未示)裝載與卸下基板。
氣體控制板101、102可用於提供處理氣體至腔室容積120,透過氣體分配噴頭123到腔室容積120中。在一實施例中,遠端電漿源103可設置在氣體控制板101、102與氣體 分配噴頭123之間,使得處理氣體的分離種類可提供至腔室容積120。替代地,RF電源可施加在氣體分配噴頭123與加熱基板支撐組件125之間,以在腔室容積120內產生電漿。在一實施例中,氣體控制板101可提供處理氣體來用於除污處理,以移除蝕刻之後的殘餘物質,且氣體控制板102可提供處理氣體來用於灰化處理,以移除光阻。
用於在裝載閘腔室的腔室容積中處理基板的設備與方法的更詳細敘述可在美國專利先行申請號第61/448,027號中找到,其申請於2011年3月1日,標題為“Abatement and Strip Process Chamber in a Dual Loadlock Configuration”。
腔室容積130是由內壁113、114與側壁111、112來界定。腔室容積130垂直堆疊於腔室容積120與腔室容積140之間的腔室主體組件110內。通道131、132形成通過側壁112、111,以允許在腔室容積130與腔室主體組件110外的兩環境之間的基板交換。流量閥133設置來選擇性密封該通道131。流量閥134設置來選擇性密封該通道132。腔室容積130可包括基板支撐組件,基板支撐組件具有至少一個基板槽來固持或儲存其上的基板。在一實施例中,腔室容積130包括三個或更多的基板支撐銷135,基板支撐銷135用於支撐其上的基板104。三個或更多的基板支撐銷135可固定地位於腔室容積130中。其他合適的基板支撐(例如隔板、邊緣環、托架)可位於腔室容積130中,用於支撐其上的基板。
腔室容積130可作為裝載閘腔室,且腔室容積130可用於在連接至側壁111、112的兩環境之間交換基板。腔室 容積130亦可用於儲存虛擬基板,以用於測試或腔室清洗。
腔室容積140是由側壁111、112、內壁114與腔室底部115來界定。腔室容積140位於腔室容積130之下。通道141、142形成通過側壁112、111,以允許在腔室容積140與腔室主體組件110外的兩環境之間的基板交換。流量閥143選擇性地密封該通道141。流量閥144選擇性地密封該通道142。當流量閥133是定位成密封該通道131時,流量閥133是設計成不阻塞該通道141,如同第1圖所示。通道131、141可獨立地打開與關閉,不會彼此影響。在一實施例中,流量閥133可包括閥門,該閥門透過位於遠離通道141的兩個柱而耦接至致動器。流量閥133的閥門在打開與關閉的期間通過通道141的前方。但是,當流量閥133在關閉位置與打開位置時,通道141未被阻塞。應注意,其他合適的設計可用於使流量閥133、143可以獨立操作。
冷卻基板支撐組件152配置來支撐且冷卻腔室容積140中的基板104。冷卻基板支撐組件152包括碟形主體145,碟形主體145具有基板支撐表面147。複數冷卻通道146形成於碟形主體145中。冷卻流體源148可耦接至冷卻通道146,以控制碟形主體145與設置於其上的基板104的溫度。升舉銷149可用於從碟形主體145升舉基板104。升舉銷149可附接至板材150,板材150耦接至致動器151。
腔室容積140可作為裝載閘腔室,且腔室容積140可用於在連接至側壁111、112的兩環境之間交換基板。冷卻基板支撐組件152在基板104通過腔室容積140時提供冷卻 給基板104。
第2圖是裝載閘腔室100的示意剖面視圖,其中每一腔室容積120、130、140是處於與第1圖所示不同的狀態。在第1圖中,腔室容積120是處於基板裝載/卸下狀態,其中升舉環組件124已經升舉且流量閥122已經打開。在第2圖中,腔室容積120是處於處理位置,其中升舉環組件124降低來限制基板104周圍的處理容積而且流量閥122關閉。在第1圖中,腔室容積130對連接至側壁111的周遭環境開啟,其中流量閥134打開且流量閥133關閉。在第2圖中,腔室容積130對連接至側壁112的真空環境開啟,其中流量閥134關閉且流量閥133打開。在第1圖中,腔室容積140對連接至側壁112的真空環境開啟,其中流量閥143關閉且流量閥144關閉。基板104停止在冷卻基板支撐組件152上,以被冷卻。在第2圖中,腔室容積140對連接至側壁111的周遭環境開啟,其中流量閥143打開且流量閥144關閉。升舉銷149升舉來定位基板104於對準通道141的裝載/卸下位置中。
裝載閘腔室100可用於基板處理系統中,以提供處理環境與生產介面之間的介面。相較於傳統的裝載閘腔室,裝載閘腔室100可提供數種改良給基板處理系統。首先,藉由使基板處理腔室容積堆疊於用於裝載閘的腔室容積之上,裝載閘腔室100釋放出空間來允許額外的處理工具耦接至真空轉移室,因此改善系統產量而不會增加處理系統的佔地面積。藉由將腔室容積120專屬用於處理,消除了將腔室容積120從大氣抽成真空狀態的需要,因此改善處理產量。第二, 藉由使兩腔室容積作為裝載閘,裝載閘腔室100可提供不同的路徑給進來與出去的基板,因此,實質上避免處理前與處理後的基板之間的交互汙染。第三,藉由提供冷卻基板支撐組件在腔室容積中,裝載閘腔室100可在已處理基板離開處理系統之前提供冷卻給已處理基板。裝載閘腔室100減少了已處理基板上的非所欲反應,因為已冷卻基板在離開處理系統之後比較不可能會跟大氣環境反應。
第3圖是根據本發明之另一實施例之裝載閘腔室300的示意剖面視圖。裝載閘腔室300類似於第1與2圖的裝載閘腔室100,除了裝載閘腔室300的腔室主體組件310不包括設置於腔室容積120與140之間的腔室容積130。在裝載閘腔室300中,腔室容積140可使用作為用於進來與出去之基板的裝載閘。替代地,腔室容積120可使用作為裝載閘,其中使用形成通過側壁111的第二通道323以及配置來選擇性密封該通道323的流量閥324。相較於裝載閘腔室100,裝載閘腔室300具有較少的組件,因此,成本較少且較容易維護。
第4圖是根據本發明之另一實施例之裝載閘腔室400的示意剖面視圖。類似於裝載閘腔室300,裝載閘腔室400的腔室主體組件410界定了兩個腔室容積,腔室容積430位於腔室容積120之下。腔室容積120可專屬用於基板處理,且腔室容積120可透過通道121而僅對裝載閘腔室400的一側開啟,因為腔室容積120總是維持在真空之下。
腔室容積430可包括基板支撐隔板454,基板支撐隔板454設置在冷卻基板支撐組件152之上並且配置來支撐 其上的基板104。腔室容積430可用於固持在基板支撐隔板454上的一基板104,且用於固持及/或冷卻在冷卻基板支撐組件152上的另一基板104。在一實施例中,基板支撐隔板454可專屬用於進來的基板,且冷卻基板支撐組件152用於出去的基板,所以實質上消除了進來與出去的基板之間的直接汙染的可能性。替代地,腔室容積430可用於同時轉移兩基板。
在一實施例中,基板支撐隔板454可移動地設置在冷卻基板支撐組件152之上,以使基板可以交換。如同第4圖所示,基板支撐隔板454可包括一或更多個柱453從環452延伸。柱453配置來提供支撐給基板104。環452可耦接於升舉組件450,以在腔室容積430中垂直地移動一或更多個柱453。在一實施例中,升舉組件450亦可耦接於連接至升舉銷149的環451,以從冷卻基板支撐組件152升舉基板或降低基板至冷卻基板支撐組件152。在一實施例中,升舉組件450可配置來同時移動基板支撐隔板454與升舉銷149。當升舉銷149升舉來擷取設置於冷卻基板支撐組件152上的基板104時,基板支撐隔板454亦向上移動,以確保升舉銷149上的基板104與基板支撐隔板454之間的足夠間距,來用於裝載或卸下。
第5A圖是第4圖的裝載閘腔室400的示意剖面視圖,顯示了升舉組件450,且第5B圖是升舉組件450的示意立體視圖。升舉組件450可包括馬達502,馬達502耦接於軸504並且配置來旋轉該軸504。軸504可具有螺紋部506與508,用於分別驅動基板支撐隔板454與升舉銷149。螺紋構 件510耦接於螺紋部506,使得軸504的旋轉將螺紋構件510沿著軸504移動。軸512可固定地耦接於螺紋構件510與環452之間,以將螺紋構件510的垂直移動轉移給環452與柱453。相似地,螺紋構件514耦接於螺紋部508,使得軸504的旋轉將螺紋構件514沿著軸504移動。軸516可固定地耦接於螺紋構件514與環451之間,以將螺紋構件514的垂直移動轉移給環451與升舉銷149。在一實施例中,軸512、516可如同第5A圖所示地共中心設置。替代地,軸512、516可彼此分開來設置。
在一實施例中,螺紋部506與508可具有不同的螺距,使得當軸504被馬達502旋轉時,螺紋構件510、514以不同的速度(以及因此不同的距離)移動。在一實施例中,螺紋部506與508的螺距可設定成使得升舉銷149移動得比基板支撐隔板454還快,因此,基板支撐隔板454比升舉銷149具有較小範圍的移動。藉由以盡可能短的距離來移動基板支撐隔板454與升舉銷149,可以最小化腔室容積430的高度,藉此減少幫浦抽取時間與需求。在一實施例中,升舉銷149移動得比基板支撐隔板454快大約兩倍。
裝載閘腔室400可提供專屬用於處理基板的腔室容積120(亦即,沒有到周遭環境的直接路徑),同時提供冷卻與不同路徑給進來與出去的基板,以減少交互汙染。因此,裝載閘腔室400可用於增加產量、減少汙染、且減少熱基板上的非所欲反應。
根據本發明之實施例的裝載閘腔室可成對使用,來 雙倍化產量。第6圖是根據本發明之一實施例之雙裝載閘腔室600結構的示意剖面視圖。雙裝載閘腔室600包括兩個裝載閘腔室100A、100B,相鄰地設置在一單一腔室主體組件610中。如同第6圖所示,兩個裝載閘腔室100A、100B可為彼此的鏡像。裝載閘腔室100A、100B可彼此獨立地或同步地操作。
裝載閘腔室100A、100B類似於第1圖的裝載閘腔室100。裝載閘腔室100A包括腔室容積120A、130A、140A,且裝載閘腔室100B包括腔室容積120B、130B、140B。裝載閘腔室100A、100B可共享用於處理腔室容積120A、120B中之基板的氣體源101、102。每一腔室容積120A、120B可透過控制閥604A、604B來耦接於真空幫浦602A、602B。真空幫浦602A、602B配置來維持腔室容積120A、120B中的真空環境。腔室容積130A、140A、130B、140B作用為用於基板交換的裝載閘容積。在一實施例中,腔室容積130A、140A、130B、140B可共享一真空幫浦606。控制閥608A、610A、608B、610B可耦接於真空幫浦606與腔室容積130A、140A、130B、140B之間,以促成獨立控制。
根據本發明之實施例的裝載閘腔室可用於提供基板處理系統與叢集工具中之生產介面之間的介面。第7圖是根據本發明之一實施例之叢集工具系統700的平面視圖,叢集工具系統700包括裝載閘腔室。叢集工具系統700包括根據本發明之實施例的一或更多個裝載閘腔室。第7圖的叢集工具系統700是顯示為併入該雙裝載閘腔室600。但是,應注意,亦可利用裝載閘腔室100、300與400。
叢集工具系統700包括耦接於真空基板轉移室708的系統控制器744、複數處理室712與雙裝載閘腔室600。在一實施例中,轉移室708可具有多個側面,且每一側面是配置來連接於雙處理室712或雙裝載閘腔室600。如同第7圖所示,三個雙處理室712耦接於轉移室708。雙裝載閘腔室600耦接於轉移室708。生產介面704藉由雙裝載閘腔室600的裝載閘腔室100A、100B而選擇性耦接至轉移室708。
生產介面704可包括至少一機座702與至少一生產介面機器人706,以促進基板的轉移。雙裝載閘腔室600的每一裝載閘腔室100A、100B具有耦接至生產介面704的兩個埠以及耦接至轉移室708的三個埠。裝載閘腔室100A、100B耦接至壓力控制系統(未示),壓力控制系統抽低且減壓裝載閘腔室100A、100B中的腔室容積,以促進在轉移室708的真空環境與生產介面704的實質上周遭環境(例如大氣)之間的基板交換。
轉移室708具有真空機器人710設置於其中,用於在裝載閘腔室100A、100B與處理室712之間轉移基板。在一實施例中,真空機器人710具有兩個葉片並且能夠在裝載閘腔室100A、100B與處理室712之間同時轉移兩個基板。
在一實施例中,至少一個處理室712是蝕刻腔室。例如,蝕刻腔室可為可從應用材料公司(Applied Materials,Inc)取得的去耦電漿源(DPS,Decoupled Plasma Source)腔室。DPS蝕刻腔室使用感應源來產生高密度電漿,且DPS蝕刻腔室包含射頻(RF)電源來偏壓基板。替代地,至少一個 處理室712可為亦可從應用材料公司取得的HARTTM、E-MAX®、DPS®、DPS II、PRODUCER E或ENABLER®蝕刻腔室之一者。可利用其他蝕刻腔室,包括來自其他製造商的那些。蝕刻腔室可使用含有鹵素的氣體來蝕刻其中的基板924。含有鹵素的氣體的範例包括溴化氫(HBr)、氯氣(Cl2)、四氟化碳(CF4)、與類似者。在蝕刻基板924之後,含有鹵素的殘餘物會留在基板表面上。
含有鹵素的殘餘物可在裝載閘腔室100A、100B之至少一者中藉由熱除污處理來移除。例如,熱處理製程可被執行於裝載閘腔室100A、100B的腔室容積120A、120B之一者或兩者中。替代地或除了除污處理之外,灰化處理可被執行於裝載閘腔室100A、100B的腔室容積120A、120B之一者或兩者中。
系統控制器744耦接至叢集工具系統700。系統控制器744使用處理室712的直接控制或者替代地藉由控制與處理室712及叢集工具系統700相關的電腦(或控制器)來控制叢集工具系統700的操作。在操作中,系統控制器744可以促成來自個別腔室與系統控制器744的資料收集與反饋,以最佳化叢集工具系統700的性能。系統控制器744通常包括中央處理單元(CPU)738、記憶體740與支援電路742。
第8圖是流程圖,說明根據本發明之一實施例之用於處理基板的方法800。方法800可實施於第7圖中的具有裝載閘腔室100A、100B(具有三個腔室容積)的叢集工具系統700中。可理解到,方法800可實施於其他合適的處理系統, 包括來自其他製造商的那些。
方法800開始於盒810,其中從生產介面(例如第7圖中的生產介面704)接收基板(具有一層設置在其上)至耦接於生產介面的裝載閘腔室的第一腔室容積中,例如裝載閘腔室100A或100B的腔室容積130A或130B。
在盒820中,包含基板的第一腔室容積可被抽低至真空位準,該真空位準等於耦接至裝載閘腔室的轉移室的真空位準。基板之後從裝載閘腔室轉移到轉移室。在一實施例中,裝載閘腔室的第一腔室容積可專屬用於僅提供路徑給進來的基板。
在盒830中,基板被轉移到耦接於轉移室的一或多個處理室,以進行一或多個處理。該等處理可包括使用含有鹵素的氣體來蝕刻在圖案化遮罩之下的基板上的一或多個膜(例如聚合物膜)。圖案化遮罩可包括光阻及/或硬遮罩。含有鹵素的氣體的合適範例包括(但不限於)溴化氫(HBr)、氯氣(Cl2)、四氟化碳(CF4)、與類似者。蝕刻處理會留下含有鹵素的殘餘物在基板上。
或者,在處理室中被處理之前,基板可透過轉移室而從裝載閘腔室的第一腔室容積轉移到裝載閘腔室的第二腔室容積,以進行預熱。例如,基板可從腔室容積130轉移到腔室容積120,以在加熱基板支撐125上預熱。在一實施例中,基板可預熱至大約攝氏20度與大約攝氏400度之間的溫度。
在盒840中,在連接於轉移室的一或更多個處理室 中被處理之後,基板轉移到裝載閘腔室的第二腔室容積。第二腔室容積(例如裝載閘腔室100的腔室容積120)可專屬用於基板處理。取決於處理方法,裝載閘腔室的第二腔室容積可配置給不同的處理。
在盒850中,熱處理製程可實施在基板上,以在曝露至生產介面或其他位置的大氣狀態之前,從基板移除在盒830的處理期間所產生之含有鹵素的殘餘物。例如,基板可轉移到裝載閘腔室100的腔室容積120,以移除含有鹵素的殘餘物。
在一實施例中,可實施熱處理至在裝載閘腔室的第二腔室容積中的已蝕刻基板,以移除含有鹵素的殘餘物。例如,基板可設置於裝載閘腔室100的腔室容積120的加熱基板支撐組件125上。加熱基板支撐組件125在大約5秒與大約30秒之間將基板加熱到大約攝氏20度與大約攝氏1000度之間的溫度,例如大約攝氏150度與大約攝氏300度之間,例如大約攝氏250度。加熱基板支撐組件125對基板的快速加熱允許已蝕刻基板上之含有鹵素的殘餘物被移除,而不會增加處理循環時間,如果殘餘物的移除是在一個處理室中,則可能發生處理循環時間的增加。在一實施例中,加熱基板支撐組件125可在預定時間週期對基板加熱,直到含有鹵素的殘餘物從已蝕刻基板上移除。
在另一實施例中,混合氣體的電漿可用於促進含有鹵素的殘餘物被轉換成非腐蝕之揮發性化合物,藉此增加從已蝕刻基板表面移除含有鹵素的殘餘物的效率。混合氣體可 包括含有氧的氣體(例如O2、O3、水蒸氣(H2O))、含有氫的氣體(例如H2、合成氣體(forming gas)、水蒸氣(H2O)、烷、烯、與類似者)、或者惰性氣體(例如氮氣(N2)、氬(Ar)、氦(He)、與類似者)。例如,混合氣體可包括氧氣、氮氣與含有氫的氣體。在一實施例中,含有氫的氣體是氫氣(H2)與水蒸氣(H2O)的至少一者。
在另一實施例中,在基板已經在叢集工具系統中被蝕刻之後,熱處理製程可用灰化製程的形式實施在裝載閘腔室的腔室容積中,以從基板移除遮罩層或光阻層。在灰化製程的期間,氧氣類型的電漿可被提供至裝載閘腔室的腔室容積中,同時基板的溫度可維持在攝氏15至300度。可使用各種氧化氣體,包括(但不限於)O2、O3、N2O、H2O、CO、CO2、醇、與這些氣體的各種組合。在本發明之其他實施例中,可使用非氧化氣體,包括(但不限於)N2、H2O、H2、合成氣體(forming gas)、NH3、CH4、C2H6、各種鹵化氣體(CF4、NF3、C2F6、C4F8、CH3F、CH2F2、CHF3)、這些氣體的組合、與類似者。在另一實施例中,在盒850,遮罩及/或光阻層可同時剝除。
在盒860中,基板可透過轉移室而從裝載閘腔室的第二腔室容積轉移到裝載閘腔室的第三腔室容積。裝載閘腔室的第三腔室容積可專屬用於提供路徑給出去的基板。第三腔室容積可為裝載閘腔室100的腔室容積140。
在盒870中,基板在裝載閘腔室的第三腔室容積中冷卻。基板可降低至冷卻基板支撐組件,例如裝載閘腔室100 的冷卻基板支撐組件152,以被冷卻。
在盒880中,第三腔室容積被減壓至大氣壓力,且已冷卻基板回送至生產介面。因為基板在曝露至大氣之前被冷卻,可以減少非所欲的反應,例如矽的氧化。
第9圖是流程圖,說明根據本發明之另一實施例之用於處理基板的方法900。方法900類似於方法800,除了方法900是實施於具有裝載閘腔室(具有兩個腔室容積)的叢集工具中,例如上述的裝載閘腔室300、400。
在盒910中,從生產介面(例如第7圖中的生產介面704)轉移基板(具有一層設置在其上)到耦接至生產介面的裝載閘腔室的第一腔室容積中。在一實施例中,當使用裝載閘腔室300時,基板可轉移至腔室容積140,使得腔室容積120可專屬用於處理基板。在另一實施例中,當使用裝載閘腔室400時,基板可轉移至腔室容積430的基板支撐隔板454。
在盒920中,包含基板的第一腔室容積可被抽低至真空位準,該真空位準等於耦接至裝載閘腔室的轉移室的真空位準。基板之後從裝載閘腔室轉移到轉移室。
在盒930中,類似於方法800的盒830,基板被轉移到耦接於轉移室的一或更多個處理室,以進行一或更多個處理。該等處理可包括使用含有鹵素的氣體來蝕刻在圖案化遮罩之下的基板上的一或更多個膜(例如聚合物膜)。
在盒940中,在連接於轉移室的一或更多個處理室中被處理之後,基板轉移到裝載閘腔室的第二腔室容積,以移除殘餘物及/或硬遮罩或光阻。第二腔室容積(例如裝載閘 腔室300或裝載閘腔室400的腔室容積120)可專屬用於基板處理。取決於處理方法,裝載閘腔室的第二腔室容積可配置給不同的處理。類似於在盒850所述的處理,剝除處理、灰化處理、或剝除與灰化處理兩者可實施至基板,以移除含有鹵素的殘餘物、硬遮罩、及光阻的任何所欲組合。
在盒950中,基板可透過轉移室而從裝載閘腔室的第二腔室容積轉移回到裝載閘腔室的腔室容積,以被冷卻。
在盒960中,基板在裝載閘腔室的第一腔室容積中冷卻。基板可降低至冷卻基板支撐組件,例如裝載閘腔室300或400的冷卻基板支撐組件152,以被冷卻。
在盒970中,第一腔室容積被減壓至大氣壓力,且已冷卻基板回送至生產介面。
雖然前述是關於本發明之實施例,本發明之其他與進一步實施例可被設想出而無偏離其基本範圍,且其範圍是由下面的申請專利範圍來決定。
100‧‧‧裝載閘腔室
101、102‧‧‧氣體控制板
103‧‧‧電漿源
104‧‧‧基板
110‧‧‧腔室主體組件
111、112‧‧‧側壁
113、114‧‧‧內壁
115‧‧‧腔室底部
116‧‧‧腔室蓋
120、130、140‧‧‧腔室容積
121‧‧‧通道
122‧‧‧流量閥
123‧‧‧氣體分配噴頭
124‧‧‧升舉環組件
125‧‧‧加熱基板支撐組件
126‧‧‧熱絕緣體
127‧‧‧加熱元件
131、132‧‧‧通道
133、134‧‧‧流量閥
135‧‧‧基板支撐銷
141、142‧‧‧通道
143、144‧‧‧流量閥
145‧‧‧碟形主體
146‧‧‧冷卻通道
147‧‧‧基板支撐表面
148‧‧‧冷卻流體源
149‧‧‧升舉銷
150‧‧‧板材
151‧‧‧致動器
152‧‧‧冷卻基板支撐組件

Claims (20)

  1. 一種裝載閘腔室,包括:一腔室主體組件,該腔室主體組件界定了彼此隔離的一第一腔室容積與一第二腔室容積,其中該第一腔室容積透過兩個通道而選擇性地可連接至兩環境,該等兩個通道係配置來用於基板轉移,且該第二腔室容積選擇性地連接至該等兩環境之至少一者;一冷卻基板支撐組件,該冷卻基板支撐組件設置在該第一腔室容積中並且配置來支撐且冷卻其上的一基板;一加熱基板支撐組件,該加熱基板支撐組件設置在該第二腔室容積中並且配置來支撐其上的一基板;及一氣體分配組件,該氣體分配組件設置在該第二腔室容積中並且配置來提供一處理氣體到該第二腔室容積,以用於處理設置在其中的該基板。
  2. 如請求項1所述之裝載閘腔室,進一步包括一升舉銷組件,該升舉銷組件可相對於該冷卻基板支撐組件而移動,其中該升舉銷組件係配置來在該冷卻基板支撐組件與一外部基板處理裝置之間轉移一基板。
  3. 如請求項2所述之裝載閘腔室,其中該腔室主體組件另外界定了一第三腔室容積,該第三腔室容積透過兩個通道而選擇性地可連接至該等兩環境,且該第二腔室容積係垂直堆疊於該等第一與第二腔室容積之間。
  4. 如請求項3所述之裝載閘腔室,進一步包括一基板支撐組件,該基板支撐組件設置在該第三腔室容積中。
  5. 如請求項4所述之裝載閘腔室,其中該第二腔室容積僅具有一個通道,該通道配置來選擇性地連接該第二腔室容積至該等兩環境之一者。
  6. 如請求項2所述之裝載閘腔室,進一步包括一基板支撐隔板,該基板支撐隔板係可移動地設置在該第一腔室容積中的該冷卻基板支撐組件之上。
  7. 如請求項6所述之裝載閘腔室,進一步包括一升舉組件,該升舉組件同時配置至該升舉銷組件與該基板支撐隔板。
  8. 如請求項7所述之裝載閘腔室,其中該升舉組件包括:一軸,該軸適於被一馬達旋轉;一第一螺紋構件,該第一螺紋構件耦接於該軸與該升舉銷組件之間;及一第二螺紋構件,該第二螺紋構件耦接於該軸與該基板支撐隔板之間,其中該軸的旋轉使該等第一與第二螺紋構件垂直移動。
  9. 如請求項8所述之裝載閘腔室,其中該升舉組件以不同的速度來移動該基板支撐隔板與該升舉銷組件。
  10. 如請求項8所述之裝載閘腔室,其中該基板支撐隔板包括:一環;及一柱,該柱附接至該環,其中該柱耦接至該第二螺紋構件。
  11. 如請求項1所述之裝載閘腔室,進一步包括:一第一真空幫浦,該第一真空幫浦連接至該第一腔室容 積;及一第二真空幫浦,該第二真空幫浦連接至該第二腔室容積,其中該等第一與第二真空幫浦獨立地控制該等第一與第二腔室容積中的壓力。
  12. 如請求項3所述之裝載閘腔室,進一步包括:一第一真空幫浦,該第一真空幫浦選擇性地連接至該第一腔室容積與該第三腔室容積;及一第二真空幫浦,該第二真空幫浦連接至該第二腔室容積。
  13. 如請求項4所述之裝載閘腔室,其中該基板支撐組件包括三個或更多的基板支撐銷。
  14. 如請求項所1述之裝載閘腔室,進一步包括一熱絕緣體,該熱絕緣體設置在該加熱基板支撐組件與該腔室主體之間的該第二腔室容積內,其中該加熱基板支撐組件不直接接觸於該腔室主體。
  15. 一種雙裝載閘腔室,包括:一第一裝載閘腔室與一第二裝載閘腔室,相鄰地設置在一單一腔室主體組件中,其中該等第一裝載閘腔室與第二裝載閘腔室之每一者包括:彼此隔離的一第一腔室容積與一第二腔室容積,其中該第一腔室容積透過兩個通道而選擇性地可連接至兩環境,該等兩個通道係配置來用於基板轉移,且該第二腔室容積選擇性地連接至該等兩處理環境之至少一者; 一冷卻基板支撐組件,該冷卻基板支撐組件設置在該第一腔室容積中並且配置來支撐且冷卻其上的一基板;一加熱基板支撐組件,該加熱基板支撐組件設置在該第二腔室容積中並且配置來支撐其上的一基板;及一氣體分配組件,該氣體分配組件設置在該第二腔室容積中並且配置來提供一處理氣體到該第二腔室容積,以用於處理設置在其中的該基板。
  16. 如請求項15所述之雙裝載閘腔室,其中該等第一與第二裝載閘腔室之每一者具有一第三腔室容積,該第三腔室容積透過兩個通道而選擇性地可連接至該等兩環境,且該第二腔室容積係垂直堆疊於該等第一與第二腔室容積之間。
  17. 如請求項15所述之雙裝載閘腔室,其中該等第一與第二裝載閘腔室之每一者進一步包括一基板支撐隔板,該基板支撐隔板係可移動地設置在該第一腔室容積中的該冷卻基板支撐組件之上。
  18. 如請求項16所述之雙裝載閘腔室,進一步包括一真空幫浦,該真空幫浦耦接至該等第一與第二裝載閘腔室的該等第二腔室容積與該等第三腔室容積。
  19. 一種方法,用於從一基板移除含有鹵素的殘餘物,該方法包括:透過一裝載閘腔室的一第一腔室容積來轉移一基板到一基板處理系統,該裝載閘腔室的該第一腔室容積耦接至該基板處理系統的一轉移室; 利用含有鹵素的化學物來在一或更多個處理室中蝕刻該基板,該一或更多個處理室耦接至該基板處理室的該轉移室;在該裝載閘腔室的一第二腔室容積中從該已蝕刻基板移除含有鹵素的殘餘物;及在移除含有鹵素的該殘餘物之後,在該裝載閘腔室的一冷卻基板支撐組件中冷卻一基板。
  20. 如請求項19所述之方法,其中該冷卻基板支撐組件設置在該裝載閘腔室的該第一腔室容積或一第三腔室容積中,且冷卻該基板包括透過該轉移室而從該第二腔室容積轉移該基板到該第一腔室容積或該第三腔室容積。
TW102105282A 2012-02-29 2013-02-08 裝載閘腔室與從基板移除含鹵素殘餘物的方法 TWI564954B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261604990P 2012-02-29 2012-02-29

Publications (2)

Publication Number Publication Date
TW201344786A true TW201344786A (zh) 2013-11-01
TWI564954B TWI564954B (zh) 2017-01-01

Family

ID=49003318

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102105282A TWI564954B (zh) 2012-02-29 2013-02-08 裝載閘腔室與從基板移除含鹵素殘餘物的方法

Country Status (6)

Country Link
US (4) US10566205B2 (zh)
JP (2) JP6545460B2 (zh)
KR (1) KR102068186B1 (zh)
CN (2) CN104137248B (zh)
TW (1) TWI564954B (zh)
WO (1) WO2013130191A1 (zh)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6114698B2 (ja) * 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
ES2841067T3 (es) * 2012-02-16 2021-07-07 Cnbm Bengbu Design & Res Institute For Glass Industry Co Ltd Caja de procesamiento, disposiciones y procedimientos para procesar sustratos revestidos
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6178488B2 (ja) * 2013-03-15 2017-08-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子デバイス製造における基板の処理に適合される処理システム、装置、及び方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
CN106373907B (zh) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 一种真空锁系统及其对基片的处理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
CN116435172A (zh) * 2015-11-09 2023-07-14 应用材料公司 底部处理
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11145495B2 (en) * 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
KR20220086578A (ko) * 2019-09-22 2022-06-23 어플라이드 머티어리얼스, 인코포레이티드 멀티-웨이퍼 볼륨 단일 이송 챔버 패싯
JP7418301B2 (ja) * 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统
CN117116728B (zh) * 2023-10-20 2023-12-19 江苏邑文微电子科技有限公司 刻蚀设备
CN117253773B (zh) * 2023-11-10 2024-01-23 雅安宇焜芯材材料科技有限公司 一种用于半导体制造的加热制备系统

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US20020104206A1 (en) 1996-03-08 2002-08-08 Mitsuhiro Hirano Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) * 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
DE60142320D1 (de) 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP2003282462A (ja) * 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
FR2847376B1 (fr) 2002-11-19 2005-02-04 France Telecom Procede de traitement de donnees sonores et dispositif d'acquisition sonore mettant en oeuvre ce procede
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (ja) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7575220B2 (en) 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR101123624B1 (ko) * 2004-07-15 2012-03-20 주성엔지니어링(주) 반도체 제조 장치
US9576824B2 (en) 2005-02-22 2017-02-21 Spts Technologies Limited Etching chamber with subchamber
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4619854B2 (ja) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 ロードロック装置及び処理方法
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
JP5349341B2 (ja) 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
JP4927623B2 (ja) 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP5214743B2 (ja) 2008-02-08 2013-06-19 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
CN103337453B (zh) * 2008-10-07 2017-10-24 应用材料公司 用于从蚀刻基板有效地移除卤素残余物的设备
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
JP5545803B2 (ja) * 2009-06-30 2014-07-09 太平洋セメント株式会社 セラミックス多孔質焼結体の製造方法
KR101071344B1 (ko) * 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
DE102009036180A1 (de) 2009-08-09 2011-02-10 Cfso Gmbh Photokatalysatorsystem für die Erzeugung von Strom
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP2011174108A (ja) * 2010-02-23 2011-09-08 Tokyo Electron Ltd 冷却装置及びその冷却装置を備えた基板処理装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
CN103370768B (zh) 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室

Also Published As

Publication number Publication date
JP2019110325A (ja) 2019-07-04
US10943788B2 (en) 2021-03-09
JP6545460B2 (ja) 2019-07-17
CN104137248A (zh) 2014-11-05
CN104137248B (zh) 2017-03-22
JP2015515742A (ja) 2015-05-28
US20200051825A1 (en) 2020-02-13
KR102068186B1 (ko) 2020-02-11
CN106847737B (zh) 2020-11-13
CN106847737A (zh) 2017-06-13
US20130224953A1 (en) 2013-08-29
WO2013130191A1 (en) 2013-09-06
US20200144067A1 (en) 2020-05-07
TWI564954B (zh) 2017-01-01
US10566205B2 (en) 2020-02-18
US20230162984A1 (en) 2023-05-25
KR20150044421A (ko) 2015-04-24

Similar Documents

Publication Publication Date Title
TWI564954B (zh) 裝載閘腔室與從基板移除含鹵素殘餘物的方法
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
KR101955829B1 (ko) 부착물의 제거 방법 및 드라이 에칭 방법
US6461801B1 (en) Rapid heating and cooling of workpiece chucks
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
TWI623055B (zh) 適用於電子元件製造中處理基材的處理系統、設備及方法
US10790138B2 (en) Method and system for selectively forming film
US20120285621A1 (en) Semiconductor chamber apparatus for dielectric processing
JP6262333B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TWI496203B (zh) Semiconductor device manufacturing method and manufacturing device
KR20190034277A (ko) 기상 에칭 장치 및 기상 에칭 설비
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
TW202315685A (zh) 控制製程飄移的製程系統與方法