JP2015515742A - ロードロック構成内の除害・剥離処理チャンバ - Google Patents

ロードロック構成内の除害・剥離処理チャンバ Download PDF

Info

Publication number
JP2015515742A
JP2015515742A JP2014559893A JP2014559893A JP2015515742A JP 2015515742 A JP2015515742 A JP 2015515742A JP 2014559893 A JP2014559893 A JP 2014559893A JP 2014559893 A JP2014559893 A JP 2014559893A JP 2015515742 A JP2015515742 A JP 2015515742A
Authority
JP
Japan
Prior art keywords
chamber
substrate
load lock
chamber volume
volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014559893A
Other languages
English (en)
Other versions
JP6545460B2 (ja
Inventor
マーティン ジェフリー サリナス
マーティン ジェフリー サリナス
ポール ビー ロイター
ポール ビー ロイター
アンドリュー グエン
アンドリュー グエン
ジャレッド アーマド リー
ジャレッド アーマド リー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015515742A publication Critical patent/JP2015515742A/ja
Application granted granted Critical
Publication of JP6545460B2 publication Critical patent/JP6545460B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Abstract

本発明の実施形態は、2以上の分離したチャンバ容積を含むロードロックチャンバであって、一方のチャンバ容積は基板を処理するために構成され、もう一方のチャンバ容積は基板に冷却を提供するために構成されるロードロックチャンバを提供する。本発明の一実施形態は、チャンバ本体アセンブリ内に形成された少なくとも2つの分離したチャンバ容積を有するロードロックチャンバを提供する。少なくとも2つの分離したチャンバ容積は、鉛直方向に積み重ねられることができる。第1チャンバ容積は、反応種を用いて内部に配置された基板を処理するために使用することができる。第2チャンバ容積は、冷却された基板支持体を含むことができる。

Description

背景
(分野)
本発明の実施形態は、概して、半導体基板上にデバイスを製造するための方法及び装置に関する。より具体的には、本発明の実施形態は、基板を処理するために構成された1つのチャンバ容積を含むロードロックチャンバに関する。
(関連技術の説明)
極超大規模集積(ULSI)回路は、半導体基板(例えば、シリコン(Si)基板)上に形成された百万個を超える電子デバイス(例えば、トランジスタ)を含み、デバイス内で様々な機能を実行するために協働することができる。典型的には、ULSI回路内で用いられるトランジスタは、相補型金属酸化膜半導体(CMOS)電界効果トランジスタである。
プラズマエッチングは、一般的にトランジスタ及び他の電子デバイスの製造に使用される。トランジスタ構造を形成するために使用されるプラズマエッチング処理の間、膜スタック(例えば、シリコン、ポリシリコン、二酸化ハフニウム(HfO)、二酸化ケイ素(SiO)、金属材料等の層)の1以上の層は、典型的には、少なくとも1つのハロゲン含有ガス(例えば、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等)を含むエッチャントに曝露される。このような処理は、ハロゲン含有残留物がエッチングされた構造、エッチングマスク、及び基板上の他の場所の表面上に蓄積する原因となる。
非真空環境(例えば、ファクトリインタフェース又は基板収納カセット内)に曝露されるとき、及び/又は連続処理の間、ガス状のハロゲン及びハロゲン系の反応物(例えば、臭素(Br)、塩素(Cl)、塩化水素(HCl)等)は、エッチング中に堆積されたハロゲン含有残留物から解放される可能性がある。解放されたハロゲン及びハロゲン系の反応物は、粒子汚染を作り、処理システム及びファクトリインタフェースの内部の腐食、ならびに基板上の金属層の露出部分の腐食を引き起こす。処理システム及びファクトリインタフェースの洗浄及び腐食部分の交換は時間がかかり、高価な手順である。
エッチングされた基板上のハロゲン含有残留物を除去するために、いくつかの処理が開発されている。例えば、エッチングされた基板をリモートプラズマリアクタ内に搬送し、これによってハロゲン含有残留物を、脱気してリアクタから排出することができる非腐食性揮発性化合物に変換するガス混合物にエッチングされた基板を曝露させることができる。しかしながら、このような処理は、追加の工程と共に、専用の処理チャンバを必要とし、ツール費用を増大させ、製造の生産性及びスループットを減少させ、その結果高い製造コストを引き起こす。
したがって、基板からハロゲン含有残留物を除去するための改良された方法及び装置が必要である。
概要
本発明の実施形態は、概して、基板を処理するための装置及び方法を提供する。特に、本発明の実施形態は、例えば、内部に配置された基板を反応種に曝露することによって、基板を処理することができるロードロックチャンバを提供する。
本発明の一実施形態は、ロードロックチャンバを提供する。ロードロックチャンバは、互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体アセンブリを含む。第1チャンバ容積は、基板を搬送するために構成された2つの開口部を介して2つの環境に選択的に接続可能であり、第2チャンバ容積は、2つの環境のうちの少なくとも1つに選択的に接続される。ロードロックチャンバは、第1チャンバ容積内に配置され、基板を上で支持して冷却するように構成された冷却基板支持アセンブリと、第2チャンバ容積内に配置され、基板を上で支持するように構成された加熱基板支持アセンブリと、第2チャンバ容積内に配置され、内部に配置された基板を処理するための第2チャンバ容積に処理ガスを供給するように構成されたガス分配アセンブリを更に含む。
本発明の一実施形態は、デュアルロードロックチャンバを提供する。デュアルロードロックチャンバは、単一のチャンバ本体アセンブリ内に並んで配置された第1ロードロックチャンバ及び第2ロードロックチャンバを含む。第1ロードロックチャンバ及び第2ロードロックチャンバの各々は、互いに分離した第1チャンバ容積及び第2チャンバ容積を含む。第1チャンバ容積は、基板を搬送するために構成された2つの開口部を介して2つの環境に選択的に接続可能であり、第2チャンバ容積は、2つの処理環境のうちの少なくとも1つに選択的に接続される。各々のロードロックチャンバはまた、第1チャンバ容積内に配置され、基板を上で支持して冷却するように構成された冷却基板支持アセンブリと、第2チャンバ容積内に配置され、基板を上で支持するように構成された加熱基板支持アセンブリと、第2チャンバ容積内に配置され、内部に配置された基板を処理するための第2チャンバ容積に処理ガスを供給するように構成されたガス分配アセンブリを含む。
本発明の更に別の一実施形態は、基板からハロゲン含有残留物を除去するための方法を提供する。方法は、基板処理システムの搬送チャンバに結合されたロードロックチャンバの第1チャンバ容積を通って基板処理システムへ基板を搬送する工程と、ハロゲンを含む化学物質によって、基板処理チャンバの搬送チャンバに結合された1以上の処理チャンバ内で基板をエッチングする工程と、ロードロックチャンバの第2チャンバ容積内でエッチングされた基板からハロゲン含有残留物を除去する工程と、ハロゲン含有残留物を除去した後、ロードロックチャンバの冷却基板支持アセンブリ内で基板を冷却する工程を含む。
本発明の上述した構成を詳細に理解することができるように、上記に簡単に要約した本発明のより具体的な説明を、実施形態を参照して行う。実施形態のいくつかは添付図面に示されている。しかしながら、添付図面は本発明の典型的な実施形態を示しているに過ぎず、したがってこの範囲を制限していると解釈されるべきではなく、本発明は他の等しく有効な実施形態を含み得ることに留意すべきである。
本発明の一実施形態に係るロードロックチャンバの概略断面図である。 図1とは異なる状態の図1のロードロックチャンバの概略断面図である。 本発明の別の一実施形態に係るロードロックチャンバの概略断面図である。 本発明の別の一実施形態に係るロードロックチャンバの概略断面図である。 リフトアセンブリを示す図4のロードロックチャンバの概略断面図である。 本発明の一実施形態に係るリフトアセンブリの概略斜視図である。 本発明の一実施形態に係るツインロードロックチャンバ構成の概略断面図である。 本発明の一実施形態に係るロードロックチャンバを含むクラスタツールシステムの平面図である。 本発明の一実施形態に係る基板処理方法を示すフロー図である。 本発明の別の一実施形態に係る基板処理方法を示すフロー図である。
理解を促進するために、図面に共通する同一の要素を示す際には可能な限り同一の参照番号を使用している。一実施形態で開示された要素を、特に説明することなく、他の実施形態で有益に利用してもよいと理解される。
詳細な説明
本発明の実施形態は、半導体基板上にデバイスを製造するための装置及び方法を提供する。より具体的には、本発明の実施形態は、2以上の分離したチャンバ容積を含むロードロックチャンバであって、一方のチャンバ容積は基板を処理するために構成され、もう一方のチャンバ容積は基板に冷却を提供するために構成されるロードロックチャンバを提供する。
本発明の一実施形態は、チャンバ本体アセンブリ内に形成された少なくとも2つの分離したチャンバ容積を有するロードロックチャンバを提供する。少なくとも2つの分離したチャンバ容積は、鉛直方向に積み重ねられることができる。2つのチャンバ容積は、スループットを増加させるために独立して操作可能である。第1チャンバ容積は、反応種を用いて中に配置された基板を処理するために使用して、例えば、基板からハロゲン残留物を除去する、又は基板からフォトレジストを除去することができる。第2チャンバ容積は、隣接する環境(例えば、ファクトリインタフェースの周囲環境と搬送チャンバの真空環境)間における基板交換のための2つの開口部を有する。一実施形態では、冷却基板支持体は、第2チャンバ容積内に配置することができる。冷却基板支持体は、処理された基板を、真空環境を出る前に冷却することを可能にし、したがって周囲の雰囲気に温かい基板を曝露することによって引き起こる可能性のある望ましくない反応(例えば、シリコンの酸化)を防止する。一実施形態では、基板支持棚は、第2チャンバ容積内で追加の基板を受け取るために第2チャンバ容積内に配置され、これによって搬入及び搬出される基板は、相互汚染を低減し、スループットを向上させるために、別々のスロットを有することができる。ロードロックチャンバ内に基板を処理するためのチャンバ容積を含むことによって、追加の場所が処理システム内で利用可能となり、これによって追加の処理チャンバを収容し、こうして処理システムの設置面積を増加させることなく、スループットを向上させる。また、ロードロックチャンバ内での冷却基板支持体の使用は、処理された基板が大気中に曝露されたときの望ましくない反応を低減させることによって、処理品質を向上させる。
本発明の別の一実施形態は、3つのチャンバ容積を有するロードロックチャンバを含む。第3チャンバ容積は、基板を処理するための第1チャンバ容積と冷却基板支持体を有する第2チャンバ容積の間に共に積み重ねることができる。第2チャンバ容積と同様に、第3チャンバ容積は、隣接する分離した環境(例えば、ファクトリインタフェースの周囲環境と搬送チャンバの真空環境)間における基板交換のための2つの開口部を有する。例えば、第3チャンバ容積は、搬入される基板をファクトリインタフェースから搬送チャンバまで搬送するために使用することができ、同時に、第2チャンバ容積は、搬出される基板を搬送チャンバからファクトリインタフェースまで搬送するために使用することができる。搬入及び搬出される基板は、同じチャンバ容積を共有しないので、相互汚染の可能性は実質的に除去される。更に、搬入及び搬出される基板用に別々のチャンバ容積を使用することはまた、システムに対して柔軟性を提供する。
図1は、本発明の一実施形態に係るロードロックチャンバ100の概略断面図である。ロードロックチャンバ100は、3つのチャンバ容積110、120及び130を画定するチャンバ本体アセンブリ110を有する。3つのチャンバ容積110、120及び130は、鉛直方向に共に積み重ねられ、互いに分離している。チャンバ容積110及び120は、基板104を搬送するために構成されており、チャンバ容積120は、基板104を処理するために構成されている。
一実施形態では、チャンバ本体アセンブリ110は、側壁111及び側壁112を含む。側壁111及び側壁112は、2つの環境とインタフェース(接続)するように反対方向を向いている。側壁111は、周囲環境(例えば、ファクトリインタフェース内に存在する環境)に接続するように適合でき、一方、側壁112は真空環境(例えば、搬送チャンバ内に存在する真空環境)に接続するように適合できる。ロードロックチャンバ100は、側壁111、112に接続された2つの環境間で基板を交換するために使用することができる。チャンバ本体アセンブリ110は、チャンバ蓋116と、チャンバ底115と、内壁113、114を更に含むことができる。内壁113、114は、ロードロックチャンバ100の内部を3つのチャンバ容積120、130及び140に分割する。チャンバ容積130、140は、基板交換のためのロードロックとして機能し、チャンバ容積120は、基板を処理するように構成される。
チャンバ容積120は、側壁111、112と、チャンバ蓋116と、内壁113の間に画定される。開口部121が、側壁112を貫通して形成され、これによって基板をチャンバ容積120の内外に搬送可能となる。スリットバルブ122が、開口部121を選択的に封止するように配置される。図1に示される実施形態では、チャンバ容積120は、基板交換用に1つの開口部121のみを有し、したがってチャンバ容積120は2つの環境間で基板を交換するためのロードロックとして機能することはできない。動作中、チャンバ容積120は、開口部121を介して真空処理環境に選択的に接続されることができる。オプションで、側壁111を貫通して追加の基板交換用開口部を形成することができ、これによってチャンバ容積120とファクトリインタフェースの環境との間における基板交換を可能にする。
加熱基板支持体125は、基板104を支持し加熱するためにチャンバ容積120内に配置される。一実施形態によれば、加熱基板支持アセンブリ125は、埋設された加熱要素127を含む。断熱材126が加熱基板アセンブリ125と内壁113の間に配置され、これによってチャンバ本体アセンブリ110と加熱基板支持アセンブリ125の間の熱交換を低減できる。ガス分配シャワーヘッド123が、加熱基板支持アセンブリ125の上方のチャンバ容積120内に配置される。リフトフープアセンブリ124が、加熱基板支持アセンブリ125及びガス分配シャワーヘッド123の周囲に移動可能に配置される。リフトフープアセンブリ124は、チャンバ容積120内の基板支持アセンブリ125の隣接した周囲の内部に処理環境を閉じ込めるように構成され、ならびに加熱基板支持アセンブリ125及び基板搬送ロボット(図示せず)から基板をロード・アンロードするように操作可能である。
ガスパネル101、102は、チャンバ容積120内にガス分配シャワーヘッド123を通してチャンバ容積120に処理ガスを供給するために使用することができる。一実施形態では、リモートプラズマ源103を、ガスパネル101、102とガス分配シャワーヘッド123の間に配置することができ、これによって処理ガスの解離した種をチャンバ容積120に供給することができる。あるいはまた、RF電源が、ガス分配シャワーヘッド123と加熱基板支持アセンブリ125との間に印加され、これによってチャンバ容積120内にプラズマを生成することができる。一実施形態では、ガスパネル101は、除害処理用の処理ガスを供給し、これによってエッチング後の残留材料を除去することができる。ガスパネル102は、アッシング処理用の処理ガスを供給し、これによってフォトレジストを除去することができる。
ロードロックチャンバのチャンバ容積内で基板を処理するための装置及び方法のより詳細な説明は、「デュアルロードロック構成内の除害・剥離処理チャンバ」の名称で、2011年3月1日に出願された米国仮特許出願第61/448,027号に見出すことができる。
チャンバ容積130は、内壁113、114、及び側壁111、112によって画定される。チャンバ容積130は、チャンバ容積120とチャンバ容積140の間のチャンバ本体アセンブリ110内に鉛直方向に積み重ねられる。開口部131、132が側壁112、111を貫通して形成され、これによってチャンバ容積130とチャンバ本体アセンブリ110の外部の2つの環境との間の基板交換を可能にする。スリットバルブ133は、開口部131を選択的に封止するために配置される。スリットバルブ134は、開口部132を選択的に封止するために配置される。チャンバ容積130は、基板を上に保持して格納するための少なくとも1つの基板スロットを有する基板支持アセンブリを含むことができる。一実施形態では、チャンバ容積130は、基板104を上で支持するための3以上の基板支持ピン135を含む。3以上の基板支持ピン135は、チャンバ容積130内に固定して配置することができる。基板を上で支持するために、チャンバ容積130内に他の適切な基板支持体(例えば、棚、エッジリング、ブラケット)を配置してもよい。
チャンバ容積130は、ロードロックチャンバとしての機能を果たすことができ、側壁111、112に接続された2つの環境間で基板を交換するために使用することができる。チャンバ容積130はまた、試験又はチャンバ洗浄のためのダミー基板を格納するために使用することができる。
チャンバ容量140は、側壁111、112と、内壁114と、チャンバ底115によって画定される。チャンバ容積140は、チャンバ容積130の下方に位置する。開口部141、142が、側壁112、111を貫通して形成され、これによってチャンバ容積140と、チャンバ本体アセンブリ110の外部の2つの環境との間の基板交換を可能にする。スリットバルブ143は、開口部141を選択的に封止する。スリットバルブ144は、開口部142を選択的に封止する。図1に示されるように、スリットバルブ133は、開口部141を塞がないように設計され、一方、スリットバルブ133は、開口部131を封止するように配置される。開口部131、141は、互いに影響を与えることなく独立して開閉可能である。一実施形態では、スリットバルブ133は、開口部141から離れて配置された2つのポールを介してアクチュエータに結合されたドアを含むことができる。スリットバルブ133のドアは、開閉時に開口部141の前を通過する。しかしながら、スリットバルブ133が閉位置及び開位置にあるとき、開口部141は塞がれない。スリットバルブ133、143の独立操作を可能にするために、他の適切な設計を使用してもよいことに留意すべきである。
冷却基板支持アセンブリ152は、チャンバ容積140内で基板104を支持し冷却するように構成される。冷却基板支持アセンブリ152は、基板支持面147を有する円盤状本体145を含む。複数の冷却チャネル146が円盤状本体145内に形成される。冷却流体源148が冷却チャネル146に結合され、これによって円盤状本体145及びその上に配置された基板104の温度を制御することができる。円盤状本体145から基板104を持ち上げるためにリフトピン149を使用することができる。リフトピン149は、アクチュエータ151に結合されたプレート150に取り付けることができる。
チャンバ容積140は、ロードロックチャンバとしての機能を果たすことができ、側壁111、112に接続された2つの環境間で基板を交換するために使用することができる。冷却基板支持アセンブリ152は、チャンバ容積140を通過する間、基板104に冷却を提供する。
図2は、各チャンバ容積120、130、140が、図1に示されたものとは異なる状態にあるロードロックチャンバ100の概略断面図を示す。図1では、チャンバ容積120は、リフトフープアセンブリ124が上昇し、スリットバルブ122が開いた基板ロード・アンロード状態にある。図2では、チャンバ容積120は、リフトフープアセンブリ124が基板104の周囲に処理容積を閉じ込めるように下降し、スリットバルブ122は閉じられた処理位置にある。図1では、スリットバルブ134が開かれ、スリットバルブ133が閉じられ、チャンバ容積130は、側壁111に接続された周囲環境に開放されている。図2では、スリットバルブ134が閉じられ、スリットバルブ133が開かれ、チャンバ容積130は、側壁112に接続された真空環境に開放されている。図1では、スリットバルブ143が閉じられ、スリットバルブ144が閉じられ、チャンバ容積140は、側壁112に接続された真空環境に開放されている。基板104は、冷却するために冷却基板支持アセンブリ152の上に載っている。図2では、スリットバルブ143が開かれ、スリットバルブ144が閉じられ、チャンバ容積140は、側壁111に接続された周囲環境に開放されている。リフトピン149は、開口部141と整列されたロード/アンロード位置に基板104を位置決めするために上昇する。
ロードロックチャンバ100は、基板処理システム内で使用され、これによって処理環境とファクトリインタフェースの間のインタフェースを提供する。従来のロードロックチャンバと比べて、ロードロックチャンバ100は、基板処理システムに対していくつかの改良を提供することができる。まず、ロードロック用チャンバ容積の上方に積み重ねられた基板処理チャンバ容積を有することにより、ロードロックチャンバ100は、追加の処理ツールが真空搬送チャンバに結合されることを可能にする空間が解放され、こうして処理システムの設置面積を増加させることなくシステムスループットを改善する。チャンバ容積120を処理専用とすることにより、チャンバ容積120を大気圧から真空状態までポンピングする必要が無くなり、したがって処理スループットを向上させる。第2に、ロードロックとして2つのチャンバ容積を有することにより、ロードロックチャンバ100は、搬入及び搬出される基板用に別々の経路を提供することができ、こうして処理前の基板と処理後の基板の間の相互汚染が実質的に回避される。第3に、チャンバ容積内に冷却基板支持アセンブリを設けることにより、ロードロックチャンバ100は、処理された基板が処理システムを出る前に処理された基板に冷却を提供することができる。冷却された基板は、処理システムを出た後、大気環境と反応しにくいので、ロードロックチャンバ100は、処理された基板上の望ましくない反応を減少させる。
図3は、本発明の別の一実施形態に係るロードロックチャンバ300の概略断面図である。ロードロックチャンバ300のチャンバ本体アセンブリ310が、チャンバ容積120と140の間に配置されたチャンバ容積130を含まないことを除いて、ロードロックチャンバ300は、図1及び図2のロードロックチャンバ100と同様である。ロードロックチャンバ300では、チャンバ容積140は、搬入及び搬出基板の両方用のロードロックとして使用することができる。あるいはまた、チャンバ容積120は、側壁111を貫通して形成された第2開口部323と、開口部323を選択的に封止するように構成されたスリットバルブ324を用いてロードロックとして使用することができる。ロードロックチャンバ100と比較すると、ロードロックチャンバ300は、より少ないコンポーネントを有しており、したがって、より少ない費用で、メンテナンスをより簡単にすることができる。
図4は、本発明の別の一実施形態に係るロードロックチャンバ400の概略断面図である。ロードロックチャンバ300と同様に、ロードロックチャンバ400のチャンバ本体アセンブリ410は、2つのチャンバ容積、チャンバ容積120の下方に位置するチャンバ容積430を画定する。チャンバ容積120は、基板処理専用にすることができ、チャンバ容積120が常に真空下を維持するように、開口部121を介してロードロックチャンバ400の一面にのみ開放することができる。
チャンバ容積430は、冷却基板支持アセンブリ152の上方に配置され、上で基板104を支持するように構成された基板支持棚454を含むことができる。チャンバ容積430は、基板支持棚454上に1つの基板104を保持し、冷却基板支持アセンブリ152上で別の1つの基板104を保持及び/又は冷却するために使用することができる。一実施形態では、基板支持棚454は、搬入される基板専用とし、冷却基板支持アセンブリ152は搬出基板専用とすることができ、これによって搬入基板と搬出基板の間の直接汚染の可能性を実質的に排除することができる。あるいはまた、チャンバ容積430は、同時に2つの基板を搬送するために使用することができる。
一実施形態では、基板支持棚454は、冷却基板支持アセンブリ152の上方に移動可能に配置することができ、これによって基板交換が可能となる。図4に示されるように、基板支持棚454は、リング452から延びる1以上のポスト453を含むことができる。ポスト453は、基板104に支持を提供するように構成される。リング452は、リフトアセンブリ450に結合され、これによってチャンバ容積430内で1以上のポスト453を鉛直方向に移動させることができる。一実施形態では、リフトアセンブリ450はまた、冷却基板支持アセンブリ152から基板を持ち上げる、又は冷却基板支持アセンブリ152へ基板を下ろすためのリフトピン149に接続されたリング451にも結合することができる。一実施形態では、リフトアセンブリ450は、基板支持棚454とリフトピン140を同時に移動させるように構成することができる。リフトピン149が冷却基板支持体152上に配置された基板104を取り上げるために上昇するとき、基板支持棚454もまた上昇し、これによってロード又はアンロードのためにリフトピン149上の基板104と基板支持棚454との間に十分な間隔を確保する。
図5Aは、リフトアセンブリ450を示す図4のロードロックチャンバ400の概略断面図であり、図5Bは、リフトアセンブリ450の概略斜視図である。シャフト504に結合され、シャフト504を回転させるように構成されたモータ502を、リフトアセンブリ450は含むことができる。シャフト504は、基板支持棚454とリフトピン149を駆動するためのねじ部506及び508をそれぞれ有することができる。ねじ部材510がねじ部506に結合され、これによってシャフト504の回転がシャフト504に沿ってねじ部材510を動かす。シャフト512は、ねじ部材510とリング452の間に固定して結合され、これによってねじ部材510の鉛直動作をリング452及びポスト453に転換することができる。同様に、ねじ部材514がねじ部508に結合され、これによってシャフト504の回転がシャフト504に沿ってねじ部材514を動かす。シャフト516は、ねじ部材514とリング451の間に固定して結合され、これによってねじ部材514の鉛直動作をリング451及びリフトピン149に転換することができる。一実施形態では、シャフト512、516は、図5Aに図示されるように同心状に配置することができる。あるいはまた、シャフト512、516は、互いに離れて配置されてもよい。
一実施形態では、ねじ部506及び508は、異なるピッチを有し、これによってシャフト504がモータ502によって回転されると、ねじ部材510、514は異なる速度で(したがって、異なる距離)移動することができる。一実施形態では、ねじ部506及び508のピッチは、リフトピン149が基板支持棚454よりも速く移動するように、つまり基板支持棚454がリフトピン149よりも小さな動作範囲を有するように設定することができる。基板支持棚454及びリフトピン149をできるだけ短い距離移動させることによって、チャンバ容積430の高さを最小化し、これによってポンピング時間及びポンピングの要求を低減させることができる。一実施形態では、リフトピン149は、基板支持棚454の約2倍速く移動する。
ロードロックチャンバ400は、基板を処理する専用のチャンバ容積120を提供する(すなわち、周囲環境への直接的な経路は無い)ことができ、同時に搬入及び搬出される基板用の冷却・分離経路を提供し、これによって相互汚染を低減することができる。したがって、ロードロックチャンバ400は、スループットを向上させ、汚染を低減し、高温の基板上での望ましくない反応を低減するために使用することができる。
本発明の実施形態に係るロードロックチャンバは、生産性を倍増するためにペアで使用することができる。図6は、本発明の一実施形態に係るツインロードロックチャンバ600の構成の概略断面図である。ツインロードロックチャンバ600は、単一のチャンバ本体アセンブリ610内に並んで配置される2つのロードロックチャンバ100A、100Bを含む。図6に示されるように、2つのロードロックチャンバ100A、100Bは、互いに鏡像であることができる。ロードロックチャンバ100A、100Bは、互いに独立して、又は同期して動作させることができる。
ロードロックチャンバ100A、100Bは、図1のロードロックチャンバ100に似ている。ロードロックチャンバ100Aは、チャンバ容積120A、130A、140Aを含み、ロードロックチャンバ100Bは、チャンバ容積120B、130B、140Bを含む。ロードロックチャンバ100A、100Bは、チャンバ容積120A、120B内で基板を処理するためのガス源101、102を共有することができる。各チャンバ容積120A、120Bは、制御バルブ604A、604Bを介して、真空ポンプ602A、602Bに結合することができる。真空ポンプ602A、602Bは、チャンバ容積120A、120B内の真空環境を維持するように構成される。チャンバ容積130A、140A、130B、140Bは、基板交換のためのロードロック容積として機能する。一実施形態では、チャンバ容積130A、140A、130B、140Bは、1つの真空ポンプ606を共有することができる。制御バルブ608A、610A、608B、610Bは、真空ポンプ606とチャンバ容積130A、140A、130B、140Bの間に結合することができ、これによって独立した制御を可能にする。
本発明の実施形態に係るロードロックチャンバは、クラスタツール内で基板処理システムとファクトリインタフェースとの間のインタフェースを提供するために使用することができる。図7は、本発明の一実施形態に係るロードロックチャンバを含むクラスタツールシステム700の平面図である。クラスタツールシステム700は、本発明の実施形態に係る1以上のロードロックチャンバを含む。図7のクラスタツールシステム700は、ツインロードロックチャンバ600を組み込んで示されている。しかしながら、ロードロックチャンバ100、300及び400を使用することもできることに留意すべきである。
クラスタツールシステム700は、システムコントローラ744と、複数の処理チャンバ712と、真空基板搬送チャンバ708に結合されているツインロードロックチャンバ600を含む。一実施形態では、搬送チャンバ708は、複数の面を有し、各々の面はツイン処理チャンバ712又はツインロードロックチャンバ600に接続するように構成される。図7に示されるように、3つのツイン処理チャンバ712が、搬送チャンバ708に結合される。ツインロードロックチャンバ600は、搬送チャンバ708に結合される。ファクトリインタフェース704は、ツインロードロックチャンバ600のロードロックチャンバ100A、100Bによって搬送チャンバ708に選択的に結合される。
ファクトリインタフェース704は、少なくとも1つのドッキングステーション702と、少なくとも1つのファクトリインタフェースロボット706を含み、これによって基板の搬送を促進することができる。ツインロードロックチャンバ600のロードロックチャンバ100A、100Bの各々は、ファクトリインタフェース704に結合される2つのポートと、搬送チャンバ708に結合される3つのポートを有する。ロードロックチャンバ100A、100Bは、ロードロックチャンバ100A、100B内のチャンバ容積を排気(ポンプダウン)及び通気する圧力制御システム(図示せず)に結合され、これによって搬送チャンバ708の真空環境と、ファクトリインタフェース704の実質的周囲(例えば、大気)環境の間の基板交換を促進する。
搬送チャンバ708は、ロードロックチャンバ100A、100Bと処理チャンバ712の間で基板を搬送するために内部に配置された真空ロボット710を有する。一実施形態では、真空ロボット710は、2つのブレードを有しており、ロードロックチャンバ100A、100Bと処理チャンバ712の間で2つの基板を同時に搬送することが可能である。
一実施形態では、少なくとも1つの処理チャンバ712は、エッチングチャンバである。例えば、エッチングチャンバは、アプライドマテリアルズ社(Applied Materials, Inc.)から入手できる分離プラズマソース(DPS)チャンバであることができる。DPSエッチングチャンバは、高密度プラズマを生成するために誘導ソースを使用し、基板にバイアスを掛けるために高周波(RF)電力源を含む。あるいはまた、処理チャンバ712の少なくとも1つは、これらもまたアプライドマテリアルズ社から入手可能な、HART(商標名)、E−MAX(商標名)、DPS(商標名)、DPS II、PRODUCER E、又はENABLER(商標名)エッチチャンバのうちの1つであることが可能である。他のメーカー製のものを含むその他のエッチングチャンバを利用することもできる。エッチングチャンバは、内部で基板924をエッチングするためにハロゲン含有ガスを使用することができる。ハロゲン含有ガスの例としては、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)等が挙げられる。基板924をエッチングした後、ハロゲン含有残留物が、基板表面上に残される場合がある。
ハロゲン含有残留物は、ロードロックチャンバ100A、100Bの少なくとも一方の中で熱除害処理によって除去することができる。例えば、ロードロックチャンバ100A、100Bのチャンバ容積120A、120Bの一方又は両方の中で、熱処理プロセスを実行することができる。その代わりに、又は除害処理に加えて、ロードロックチャンバ100A、100Bのチャンバ容積120A、120Bの一方又は両方の中で、アッシング処理を実行することができる。
システムコントローラ744は、クラスタツールシステム700に結合される。システムコントローラ744は、処理チャンバ712の直接制御を使用して、又はその代わりに、処理チャンバ712及びクラスタツールシステム700と結合されるコンピュータ(又はコントローラ)を制御することによって、クラスタツールシステム700の動作を制御する。動作中、システムコントローラ744は、それぞれのチャンバ及びシステムコントローラ744からのデータ収集及びフィードバックを可能にし、これによってクラスタツールシステム700の性能を最適化する。システムコントローラ744は、一般的に、中央演算処理装置(CPU)738、メモリ740、及びサポート回路742を含む。
図8は、本発明の一実施形態に係る基板を処理するための方法800を示すフロー図である。方法800は、3つのチャンバ容積を有するロードロックチャンバ100A、100Bを有する図7のクラスタツールシステム700で実行することができる。方法800は、他のメーカー製のものを含む他の適切な処理システム内で実行されてもよいことが理解される。
方法800は、ファクトリインタフェースに結合されたロードロックチャンバの第1チャンバ容積(例えば、ロードロックチャンバ100A又は100Bのチャンバ容積130A又は130B)内で、ファクトリインタフェース(例えば、図7のファクトリインタフェース704)から、層が上に配置された基板を受け取ることによって、ボックス810で始まる。
ボックス820では、基板を含む第1チャンバ容積を、ロードロックチャンバに結合された搬送チャンバと同等の真空レベルまで排気することができる。次いで、基板は、ロードロックチャンバから搬送チャンバに搬送される。一実施形態では、ロードロックチャンバの第1チャンバ容積は、搬入される基板のみに経路を提供するように専用とすることができる。
ボックス830では、基板は、1以上の処理のために搬送チャンバに結合された1以上の処理チャンバに搬送される。処理は、ハロゲン含有ガスを用いてパターニングされたマスクの下の基板上の1以上の膜(例えば、高分子膜)をエッチングすることを含むことができる。パターニングされたマスクは、フォトレジスト及び/又はハードマスクを含むことができる。ハロゲン含有ガスの適切な例としては、臭化水素(HBr)、塩素(Cl)、四フッ化炭素(CF)などが挙げられるが、これらに限定されない。エッチング処理は、基板上にハロゲン含有残留物を残す可能性がある。
オプションで、基板は、ロードロックチャンバの第1チャンバ容積から、処理チャンバ内で処理される前に予備加熱するために搬送チャンバを介して、ロードロックチャンバの第2チャンバ容積に搬送することができる。例えば、基板は、加熱基板支持体125上で予備加熱を行うために、チャンバ容積130からチャンバ容積120に搬送することができる。一実施形態では、基板は、約20℃〜約400℃の間の温度に予備加熱することができる。
ボックス840では、搬送チャンバに接続された1以上の処理チャンバ内で処理された後、基板は、ロードロックチャンバの第2チャンバ容積に搬送される。第2チャンバ容積(例えば、ロードロックチャンバ100のチャンバ容積120)は、基板処理専用であってもよい。処理レシピに応じて、ロードロックチャンバの第2チャンバ容積は、異なる処理に構成することができる。
ボックス850では、熱処理プロセスが基板上で実行され、これによってファクトリインタフェース又は他の場所における大気条件に曝露する前に、ボックス830の処理中に発生したハロゲン含有残留物を基板から除去することができる。例えば、基板は、ロードロックチャンバ100のチャンバ容積120に搬送され、これによってハロゲン含有残留物を除去することができる。
一実施形態では、ハロゲン含有残留物を除去するために、ロードロックチャンバの第2チャンバ容積内でエッチングされた基板に熱処理を実行することができる。例えば、基板は、ロードロックチャンバ100のチャンバ容積120の加熱基板支持アセンブリ125上に配置することができる。加熱基板支持アセンブリ125は、基板を約20℃〜約1000℃の間(例えば、約150℃〜約300℃の間(例えば、約250℃))の温度に約5秒〜約30秒間加熱する。加熱基板支持アセンブリ125による基板の急速な加熱は、もしも残留物を処理チャンバのうちの1つの中で除去した場合に遭遇するであろう処理サイクルタイムの増加なしに、エッチングされた基板上のハロゲン含有残留物の除去を可能にする。一実施形態では、ハロゲン含有残留物が、エッチングされた基板から除去されるまで、基板は、所定の時間、加熱基板支持アセンブリ125によって加熱することができる。
別の一実施形態では、ハロゲン含有残留物の非腐食性揮発性化合物への転換を促進するために、ガス混合物のプラズマを使用することができ、これによってエッチングされた基板表面からのハロゲン含有残留物の除去効率を増大させる。ガス混合物は、酸素含有ガス(例えば、O、O、水蒸気(HO))、水素含有ガス(例えば、H、フォーミングガス、水蒸気(HO)、アルカン、アルケン等)、又は不活性ガス(例えば、窒素ガス(N)、アルゴン(Ar)、ヘリウム(He))などを含むことができる。例えば、ガス混合物は、酸素、窒素、及び水素含有ガスを含むことができる。一実施形態では、水素含有ガスは、水素(H)及び水蒸気(HO)のうちの少なくとも1つである。
別の一実施形態では、熱処理プロセスは、基板からマスク層又はフォトレジスト層を除去するために、基板がクラスタツールシステム内でエッチングされた後、ロードロックチャンバのチャンバ容積内で実行されるアッシング処理の形態であることができる。アッシング処理の間、酸素系プラズマをロードロックチャンバのチャンバ容積に供給することができ、同時に、基板の温度を15〜300℃に維持することができる。O、O、NO、HO、CO、CO、アルコール類、及びこれらのガスの様々な組み合わせが含まれるが、これらに限定されない各種の酸化性ガスを使用することができる。本発明の他の実施形態では、N、HO、H、フォーミングガス、NH、CH、C、各種のハロゲン化ガス(CF、NF、C、C、CHF、CH、CHF)、これらのガスの組み合わせなどを含むが、これらに限定されない非酸化性ガスを使用することができる。別の一実施形態では、マスク及び/又はフォトレジスト層は、ボックス850で同時に剥離することができる。
ボックス860では、基板は、ロードロックチャンバの第2チャンバ容積から搬送チャンバを介してロードロックチャンバの第3チャンバ容積まで搬送することができる。ロードロックチャンバの第3チャンバ容積は、搬出される基板に経路を提供するために専用とすることができる。第3チャンバ容積は、ロードロックチャンバ100のチャンバ容積140とすることができる。
ボックス870では、基板は、ロードロックチャンバの第3チャンバ容積内で冷却される。基板は、冷却のために、冷却基板支持アセンブリ(例えば、ロードロックチャンバ100の冷却基板支持アセンブリ152)まで下げることができる。
ボックス880では、第3チャンバ容積は大気圧に通気され、冷却された基板はファクトリインタフェースに戻される。基板は大気に曝露される前に冷却されるので、望ましくない反応(例えば、シリコンの酸化)が低減される。
図9は、本発明の別の一実施形態に係る基板を処理するための方法900を示すフロー図である。方法900が2つのチャンバ容積(例えば、前述のロードロックチャンバ300、400)を備えたロードロックチャンバを有するクラスタツール内で実行されることを除いて、方法900は方法800と同様である。
ボックス910では、層が上に配置された基板が、ファクトリインタフェース(例えば、図7のファクトリインタフェース704)から、ファクトリインタフェースに結合されたロードロックチャンバの第1チャンバ容積まで搬送される。一実施形態では、ロードロックチャンバ300が使用される場合、基板は、チャンバ容積140に搬送され、これによってチャンバ容積120は基板処理専用とすることができる。別の一実施形態では、ロードロックチャンバ400が使用される場合、基板は、チャンバ容積430の基板支持棚454に搬送させることができる。
ボックス920では、基板を含む第1チャンバ容積は、ロードロックチャンバに結合された搬送チャンバと同等の真空レベルまで排気することができる。次いで、基板がロードロックチャンバから搬送チャンバまで搬送される。
ボックス930では、方法800のボックス830と同様に、基板は、1以上の処理のために搬送チャンバに結合された1以上の処理チャンバに搬送される。処理は、ハロゲン含有ガスを用いて、パターニングされたマスクの下の基板上に、1以上の膜(例えば、高分子膜)をエッチングすることを含むことができる。
ボックス940では、搬送チャンバに接続された1以上の処理チャンバ内で処理された後、基板は、ロードロックチャンバの第2チャンバ容積に搬送され、これによって残留物及び/又はハードマスク又はフォトレジストが除去される。第2チャンバ容積(例えば、ロードロックチャンバ300又はロードロックチャンバ400のチャンバ容積120)は、基板処理専用とすることができる。処理レシピに応じて、ロードロックチャンバの第2チャンバ容積は、異なる処理に構成することができる。ボックス850で説明した処理と同様に、剥離処理、アッシング処理、又は剥離処理とアッシング処理の両方が基板に対して実行され、これによってハロゲン含有残留物、ハードマスク、及びフォトレジストの任意の所望の組み合わせを除去することができる。
ボックス950では、基板は、冷却するために、ロードロックチャンバの第2チャンバ容積から搬送チャンバを通ってロードロックチャンバのチャンバ容積まで搬送され戻すことができる。
ボックス960では、基板は、ロードロックチャンバの第1チャンバ容積内で冷却される。基板は、冷却のために、冷却基板支持アセンブリ(例えば、ロードロックチャンバ300又は400の冷却基板支持アセンブリ152)まで下げることができる。
ボックス970では、第1チャンバ容積が大気圧に通気され、冷却された基板が、ファクトリインタフェースに戻される。
上記は本発明の実施形態を対象としているが、本発明の他の及び更なる実施形態は本発明の基本的範囲を逸脱することなく創作することができ、その範囲は以下の特許請求の範囲に基づいて定められる。

Claims (15)

  1. 互いに分離した第1チャンバ容積及び第2チャンバ容積を画定するチャンバ本体アセンブリであって、第1チャンバ容積は、基板を搬送するために構成された2つの開口部を介して2つの環境に選択的に接続可能であり、第2チャンバ容積は、2つの環境のうちの少なくとも1つに選択的に接続されるチャンバ本体アセンブリと、
    第1チャンバ容積内に配置され、基板を上で支持して冷却するように構成された冷却基板支持アセンブリと、
    第2チャンバ容積内に配置され、基板を上で支持するように構成された加熱基板支持アセンブリと、
    第2チャンバ容積内に配置され、内部に配置された基板を処理するための第2チャンバ容積に処理ガスを供給するように構成されたガス分配アセンブリを含むロードロックチャンバ。
  2. 冷却基板支持アセンブリに対して移動可能なリフトピンアセンブリを含み、リフトピンアセンブリは、冷却基板支持アセンブリと外部基板ハンドリング装置の間で基板を搬送するように構成された請求項1記載のロードロックチャンバ。
  3. チャンバ本体アセンブリは、2つの開口部を介して2つの環境に選択的に接続可能な第3チャンバ容積を画定し、第2チャンバ容積は、第1及び第2チャンバ容積間に鉛直方向に積み重ねられている請求項2記載のロードロックチャンバ。
  4. 第3チャンバ容積内に配置された基板支持アセンブリを含む請求項3記載のロードロックチャンバ。
  5. 第1チャンバ容積内の冷却基板支持アセンブリの上方に移動可能に配置された基板支持棚を含む請求項2記載のロードロックチャンバ。
  6. リフトピンアセンブリと基板支持棚に同時に構成されたリフトアセンブリを含む請求項5記載のロードロックチャンバ。
  7. リフトアセンブリが、
    モータによって回転されるように適合されたシャフトと、
    シャフトとリフトピンアセンブリとの間に結合された第1ねじ部材と、
    シャフトと基板支持棚の間に結合された第2ねじ部材を含み、シャフトの回転は、第1及び第2ねじ部材を鉛直方向に移動させる請求項6記載のロードロックチャンバ。
  8. リフトアセンブリは、基板支持棚とリフトピンアセンブリを異なる速度で移動させる請求項7記載のロードロックチャンバ。
  9. 基板支持棚は、
    リングと、
    リングに取り付けられ、第2ねじ部材に結合されたポストを含む請求項7記載のロードロックチャンバ。
  10. 単一のチャンバ本体アセンブリ内に並んで配置された第1ロードロックチャンバ及び第2ロードロックチャンバであって、第1ロードロックチャンバ及び第2ロードロックチャンバの各々は、
    互いに分離した第1チャンバ容積及び第2チャンバ容積であって、第1チャンバ容積は、基板を搬送するために構成された2つの開口部を介して2つの環境に選択的に接続可能であり、第2チャンバ容積は、2つの処理環境のうちの少なくとも1つに選択的に接続される第1チャンバ容積及び第2チャンバ容積と、
    第1チャンバ容積内に配置され、基板を上で支持して冷却するように構成された冷却基板支持アセンブリと、
    第2チャンバ容積内に配置され、基板を上で支持するように構成された加熱基板支持アセンブリと、
    第2チャンバ容積内に配置され、内部に配置された基板を処理するために第2チャンバ容積に処理ガスを供給するように構成されたガス分配アセンブリを含む第1ロードロックチャンバ及び第2ロードロックチャンバを含むデュアルロードロックチャンバ。
  11. 第1及び第2ロードロックチャンバの各々が、2つの開口部を介して2つの環境に選択的に接続可能な第3チャンバ容積を有し、第2チャンバ容積は、第1及び第2チャンバ容積間に鉛直方向に積み重ねられている請求項10記載のデュアルロードロックチャンバ。
  12. 第1及び第2ロードロックチャンバの各々が、第1チャンバ容積内の冷却基板支持体の上方に移動可能に配置された基板支持棚を含む請求項10記載のデュアルロードロックチャンバ。
  13. 第1及び第2ロードロックチャンバの第2チャンバ容積及び第3チャンバ容積に結合された真空ポンプを含む請求項11記載のデュアルロードロックチャンバ。
  14. 基板からハロゲン含有残留物を除去するための方法であって、
    基板処理システムの搬送チャンバに結合されたロードロックチャンバの第1チャンバ容積を通って基板処理システムへ基板を搬送する工程と、
    ハロゲンを含む化学物質によって、基板処理チャンバの搬送チャンバに結合された1以上の処理チャンバ内で基板をエッチングする工程と、
    ロードロックチャンバの第2チャンバ容積内でエッチングされた基板からハロゲン含有残留物を除去する工程と、
    ハロゲン含有残留物を除去した後、ロードロックチャンバの冷却基板支持アセンブリ内で基板を冷却する工程を含む方法。
  15. 冷却基板支持アセンブリは、ロードロックチャンバの第1チャンバ容積又は第3チャンバ容積内に配置され、基板を冷却する工程は、第2チャンバ容積から搬送チャンバを通って第1チャンバ容積又は第3チャンバ容積まで基板を搬送する工程を含む請求項14記載の方法。
JP2014559893A 2012-02-29 2013-01-18 ロードロック構成内の除害・剥離処理チャンバ Active JP6545460B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261604990P 2012-02-29 2012-02-29
US61/604,990 2012-02-29
PCT/US2013/022228 WO2013130191A1 (en) 2012-02-29 2013-01-18 Abatement and strip process chamber in a load lock configuration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019038492A Division JP2019110325A (ja) 2012-02-29 2019-03-04 ロードロック構成内の除害・剥離処理チャンバ

Publications (2)

Publication Number Publication Date
JP2015515742A true JP2015515742A (ja) 2015-05-28
JP6545460B2 JP6545460B2 (ja) 2019-07-17

Family

ID=49003318

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014559893A Active JP6545460B2 (ja) 2012-02-29 2013-01-18 ロードロック構成内の除害・剥離処理チャンバ
JP2019038492A Pending JP2019110325A (ja) 2012-02-29 2019-03-04 ロードロック構成内の除害・剥離処理チャンバ

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019038492A Pending JP2019110325A (ja) 2012-02-29 2019-03-04 ロードロック構成内の除害・剥離処理チャンバ

Country Status (6)

Country Link
US (4) US10566205B2 (ja)
JP (2) JP6545460B2 (ja)
KR (1) KR102068186B1 (ja)
CN (2) CN104137248B (ja)
TW (1) TWI564954B (ja)
WO (1) WO2013130191A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016225625A (ja) * 2015-05-29 2016-12-28 ピーエスケー インコーポレイテッド 基板処理装置及び方法
JP2017079329A (ja) * 2015-10-20 2017-04-27 ラム リサーチ コーポレーションLam Research Corporation ロードロックインターフェースおよび統合された後処理モジュール
US11393705B2 (en) 2015-10-20 2022-07-19 Lam Research Corporation Wafer transport assembly with integrated buffers
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
BR112014008177A2 (pt) * 2012-02-16 2017-04-11 Saint Gobain caixa de processo, arranjos, e métodos para processar substratos revestidos
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9524889B2 (en) * 2013-03-15 2016-12-20 Applied Materials, Inc. Processing systems and apparatus adapted to process substrates in electronic device manufacturing
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
CN106373907B (zh) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 一种真空锁系统及其对基片的处理方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
CN116435172A (zh) * 2015-11-09 2023-07-14 应用材料公司 底部处理
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
JP6991164B2 (ja) * 2016-06-15 2022-01-12 エヴァテック・アーゲー 真空処理チャンバ及び真空処理された板状基板の製造方法
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
CN117936420A (zh) * 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN117116728B (zh) * 2023-10-20 2023-12-19 江苏邑文微电子科技有限公司 刻蚀设备
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统
CN117253773B (zh) * 2023-11-10 2024-01-23 雅安宇焜芯材材料科技有限公司 一种用于半导体制造的加热制备系统

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
JP2003282462A (ja) * 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
JP2006303013A (ja) * 2005-04-18 2006-11-02 Tokyo Electron Ltd ロードロック装置及び処理方法
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
JP2009540547A (ja) * 2006-06-02 2009-11-19 アプライド マテリアルズ インコーポレイテッド マルチスロットロードロックチャンバおよび操作方法
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
JP2011011929A (ja) * 2009-06-30 2011-01-20 Taiheiyo Cement Corp セラミックス多孔質焼結体、半導体製造装置用部品及びシャワープレート並びにセラミックス多孔質焼結体の製造方法
JP2011166107A (ja) * 2010-01-14 2011-08-25 Tokyo Electron Ltd 保持体機構、ロードロック装置、処理装置及び搬送機構
JP2011174108A (ja) * 2010-02-23 2011-09-08 Tokyo Electron Ltd 冷却装置及びその冷却装置を備えた基板処理装置

Family Cites Families (150)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
KR100238626B1 (ko) 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
TW275132B (en) 1994-08-31 1996-05-01 Tokyo Electron Co Ltd Treatment apparatus
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
TW332311B (en) 1996-03-08 1998-05-21 Nat Denki Kk The substrate treatment apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) * 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
EP1134303B1 (en) 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
FR2847376B1 (fr) 2002-11-19 2005-02-04 France Telecom Procede de traitement de donnees sonores et dispositif d'acquisition sonore mettant en oeuvre ce procede
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (ja) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7575220B2 (en) 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR101123624B1 (ko) * 2004-07-15 2012-03-20 주성엔지니어링(주) 반도체 제조 장치
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
WO2008114958A1 (en) 2007-03-16 2008-09-25 Sosul Co., Ltd. Apparatus for plasma processing and method for plasma processing
JP4927623B2 (ja) 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
KR101522324B1 (ko) 2007-05-18 2015-05-21 브룩스 오토메이션 인코퍼레이티드 로드 락 빠른 펌프 벤트
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
KR101625516B1 (ko) 2008-02-08 2016-05-30 램 리써치 코포레이션 플라즈마 프로세싱 장치 및 플라즈마 프로세싱 장치에서 반도체 기판을 처리하는 방법
WO2009099660A2 (en) 2008-02-08 2009-08-13 Lam Research Corporation Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010042410A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US20100273291A1 (en) 2009-04-28 2010-10-28 Applied Materials, Inc. Decontamination of mocvd chamber using nh3 purge after in-situ cleaning
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
KR101071344B1 (ko) * 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
DE102009036180A1 (de) 2009-08-09 2011-02-10 Cfso Gmbh Photokatalysatorsystem für die Erzeugung von Strom
KR20120090996A (ko) * 2009-08-27 2012-08-17 어플라이드 머티어리얼스, 인코포레이티드 인-시튜 챔버 세정 후 프로세스 챔버의 제염 방법
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
CN103370768B (zh) 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
WO2012118897A2 (en) * 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
JP2003282462A (ja) * 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
JP2006303013A (ja) * 2005-04-18 2006-11-02 Tokyo Electron Ltd ロードロック装置及び処理方法
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
JP2009540547A (ja) * 2006-06-02 2009-11-19 アプライド マテリアルズ インコーポレイテッド マルチスロットロードロックチャンバおよび操作方法
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
JP2011011929A (ja) * 2009-06-30 2011-01-20 Taiheiyo Cement Corp セラミックス多孔質焼結体、半導体製造装置用部品及びシャワープレート並びにセラミックス多孔質焼結体の製造方法
JP2011166107A (ja) * 2010-01-14 2011-08-25 Tokyo Electron Ltd 保持体機構、ロードロック装置、処理装置及び搬送機構
JP2011174108A (ja) * 2010-02-23 2011-09-08 Tokyo Electron Ltd 冷却装置及びその冷却装置を備えた基板処理装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016225625A (ja) * 2015-05-29 2016-12-28 ピーエスケー インコーポレイテッド 基板処理装置及び方法
JP2017079329A (ja) * 2015-10-20 2017-04-27 ラム リサーチ コーポレーションLam Research Corporation ロードロックインターフェースおよび統合された後処理モジュール
US11393705B2 (en) 2015-10-20 2022-07-19 Lam Research Corporation Wafer transport assembly with integrated buffers
US11764086B2 (en) 2015-10-20 2023-09-19 Lam Research Corporation Wafer transport assembly with integrated buffers
JP7418301B2 (ja) 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法

Also Published As

Publication number Publication date
CN104137248A (zh) 2014-11-05
US20230162984A1 (en) 2023-05-25
CN104137248B (zh) 2017-03-22
KR102068186B1 (ko) 2020-02-11
US10566205B2 (en) 2020-02-18
WO2013130191A1 (en) 2013-09-06
US20130224953A1 (en) 2013-08-29
TW201344786A (zh) 2013-11-01
CN106847737B (zh) 2020-11-13
KR20150044421A (ko) 2015-04-24
US20200051825A1 (en) 2020-02-13
TWI564954B (zh) 2017-01-01
CN106847737A (zh) 2017-06-13
JP6545460B2 (ja) 2019-07-17
US10943788B2 (en) 2021-03-09
JP2019110325A (ja) 2019-07-04
US20200144067A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
KR101955829B1 (ko) 부착물의 제거 방법 및 드라이 에칭 방법
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
US10790138B2 (en) Method and system for selectively forming film
JP5809144B2 (ja) 基板処理方法および基板処理装置
JP6262333B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP5881612B2 (ja) 半導体装置の製造方法および製造装置
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
JP6417916B2 (ja) 基板搬送方法、基板処理装置、及び記憶媒体
JP2020025070A (ja) エッチング方法およびエッチング装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20160115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161122

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170519

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20171003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171114

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20171124

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20180126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20181204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190103

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190304

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190619

R150 Certificate of patent or registration of utility model

Ref document number: 6545460

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250