KR20150044421A - 로드 록 구성의 저감 및 스트립 프로세스 챔버 - Google Patents

로드 록 구성의 저감 및 스트립 프로세스 챔버 Download PDF

Info

Publication number
KR20150044421A
KR20150044421A KR20147027253A KR20147027253A KR20150044421A KR 20150044421 A KR20150044421 A KR 20150044421A KR 20147027253 A KR20147027253 A KR 20147027253A KR 20147027253 A KR20147027253 A KR 20147027253A KR 20150044421 A KR20150044421 A KR 20150044421A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
load lock
chamber volume
volume
Prior art date
Application number
KR20147027253A
Other languages
English (en)
Other versions
KR102068186B1 (ko
Inventor
마틴 제프리 사리나스
폴 비. 로이터
앤드류 응구옌
자레드 아흐마드 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150044421A publication Critical patent/KR20150044421A/ko
Application granted granted Critical
Publication of KR102068186B1 publication Critical patent/KR102068186B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 실시예들은 둘 또는 셋 이상의 격리된 챔버 용적들을 포함하는 로드 록 챔버를 제공하고, 하나의 챔버 용적이 기판을 프로세싱하도록 구성되고 다른 챔버 용적은 기판에 대해서 냉각을 제공하도록 구성된다. 본 발명의 일 실시예는 챔버 본체 조립체 내에 형성된 적어도 2개의 격리된 챔버 용적들을 가지는 로드 록 챔버를 제공한다. 적어도 2개의 격리된 챔버 용적들이 수직으로 적층될 수 있을 것이다. 반응성 종들을 이용하여 내부에 배치된 기판을 프로세스하기 위해서 제 1 챔버 용적이 이용될 수 있을 것이다. 제 2 챔버 용적이 냉각형 기판 지지부를 포함할 수 있을 것이다.

Description

로드 록 구성의 저감 및 스트립 프로세스 챔버{ABATEMENT AND STRIP PROCESS CHAMBER IN A LOAD LOCK CONFIGURATION}
본 발명의 실시예들은 일반적으로 반도체 기판 상에 소자들을 제조하기 위한 방법 및 장치에 관한 것이다. 보다 특히, 본 발명의 실시예들은 기판을 프로세싱하도록 구성된 하나의 챔버 용적을 포함하는 로드 록 챔버에 관한 것이다.
초대규모 집적(ULSI) 회로들이, 실리콘(Si) 기판과 같은 반도체 기판 상에 형성되고 소자 내에서 여러 가지 기능들을 실시하기 위해서 협력하는 백만개 초과의 전자 소자들(예를 들어, 트랜지스터들)을 포함할 수 있을 것이다. 전형적으로, ULSI 회로들 내에서 사용되는 트랜지스터들은 상보적 금속-산화물-반도체(CMOS) 전계 효과 트랜지스터들이다.
트랜지스터들 및 다른 전자 소자들의 제조에 있어서, 플라즈마 에칭이 일반적으로 이용된다. 트랜지스터 구조물을 형성하기 위해서 이용되는 플라즈마 에칭 프로세스 중에, 필름 적층체(stack)의 하나 이상의 층들(예를 들어, 이산화 하프늄(HfO2), 이산화 실리콘(SiO2), 금속 재료들, 등의 층)이, 브롬화 수소(HBr), 염소(Cl2), 4불화 탄소(CF4), 등과 같은 적어도 하나의 할로겐-함유 가스를 포함하는 에칭제들에 전형적으로 노출된다. 그러한 프로세스들은 할로겐-함유 잔류물이 에칭된 피쳐들(features), 에칭 마스크들, 및 기판 상의 임의 개소의 표면들 상에 축적되도록 유도한다.
비-진공형 분위기(예를 들어, 팩토리 인터페이스들 또는 기판 저장 카셋트들 내)에 노출될 때 및/또는 연속적인 프로세싱 중에, 기체 할로겐들 및 할로겐-기반의 반응물들(예를 들어, 브롬(Br2), 염소(Cl2), 염화 수소(HCl), 등)이 에칭 중에 증착된 할로겐-함유 잔류물들로부터 방출될 수 있을 것이다. 방출된 할로겐들 및 할로겐-기반의 반응물들이 입자 오염을 생성하고 프로세싱 시스템들의 내부 및 팩토리 인터페이스들의 부식을 유발할 뿐만 아니라, 기판 상의 금속 층들의 노출된 부분들의 부식을 유발한다. 프로세싱 시스템들 및 팩토리 인터페이스들의 세정 및 부식된 부분들의 교체는 시간 소모적이고 고비용인 과정이다.
에칭된 기판들 상에서 할로겐-함유 잔류물들을 제거하기 위한 몇몇 프로세스들이 개발되었다. 예를 들어, 에칭된 기판이 원격 플라즈마 반응기 내로 이송되어 에칭된 기판을 가스 혼합물에 노출시킬 수 있을 것이고, 그러한 가스 혼합물은 할로겐-함유 잔류물들을 비-부식성의 휘발성 화합물들로 변환하고, 그러한 화합물들은 가스-배출될 수 있고 반응기의 외부로 펌핑될 수 있을 것이다. 그러나, 그러한 프로세스는 부가적인 단계와 함께 전용(dedicated) 프로세스 챔버를 필요로 하며, 그에 따라 툴 비용의 증가, 제조 생산성 및 처리량(throughput)의 감소를 유도하여, 높은 제조 비용을 초래한다.
그에 따라, 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 개선된 방법 및 장치가 요구되고 있다.
본 발명의 실시예들은 일반적으로 기판을 프로세싱하기 위한 장치들 및 방법들을 제공한다. 특히, 본 발명의 실시예들은, 예를 들어 내부에 위치된 기판을 반응성 종들에 노출시킴으로써, 기판을 프로세싱할 수 있는 로드 록 챔버를 제공한다.
본 발명의 일 실시예는 로드 록 챔버를 제공한다. 로드 록 챔버는 서로로부터 격리된 제 1 챔버 용적 및 제 2 챔버 용적을 형성하는 챔버 본체 조립체를 포함한다. 제 1 챔버 용적이 기판 이송을 위해서 구성된 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있고, 제 2 챔버 용적이 2개의 분위기들 중 적어도 하나에 선택적으로 연결된다. 로드 록 챔버는, 제 1 챔버 용적 내에 배치되고 상부에서 기판을 지지 및 냉각하도록 구성된 냉각형 기판 지지 조립체, 제 2 챔버 용적 내에 배치되고 기판을 상부에서 지지하도록 구성된 가열형 기판 지지 조립체, 및 상기 제 2 챔버 용적 내에 배치되고 내부에 배치된 기판을 프로세싱하기 위해서 프로세싱 가스를 제 2 챔버 용적으로 제공하도록 구성되는 가스 분배 조립체를 더 포함한다.
본 발명의 일 실시예는 이중 로드 록 챔버를 제공한다. 이중 로드 록 챔버는 일체형 챔버 본체 조립체 내에 나란히(side by side) 배치된 제 1 로드 록 챔버 및 제 2 로드 록 챔버를 포함한다. 제 1 로드 록 챔버 및 제 2 로드 록 챔버의 각각은 서로로부터 격리된 제 1 챔버 용적 및 제 2 챔버 용적을 포함한다. 제 1 챔버 용적은 기판 이송을 위해서 구성된 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있고, 제 2 챔버 용적은 2개의 프로세싱 분위기 중 적어도 하나에 선택적으로 연결된다. 각각의 로드 록 챔버는 또한 제 1 챔버 용적 내에 배치되고 기판을 상부에서 지지 및 냉각하도록 구성된 냉각형 기판 지지 조립체, 제 2 챔버 용적 내에 배치되고 기판을 상부에서 지지하도록 구성된 가열형 기판 지지 조립체, 및 상기 제 2 챔버 용적 내에 배치되고 내부에 배치된 기판을 프로세싱하기 위해서 프로세싱 가스를 제 2 챔버 용적으로 제공하도록 구성되는 가스 분배 조립체를 포함한다.
본 발명의 또 다른 실시예는 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법을 제공한다. 그러한 방법은 기판 프로세싱 시스템의 이송 챔버에 커플링된 로드 록 챔버의 제 1 챔버 용적을 통해서 기판 프로세싱 시스템으로 기판을 이송하는 단계, 할로겐을 포함하는 화학물질로 상기 기판 프로세싱 챔버의 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세싱 챔버들 내에서 기판을 에칭하는 단계, 상기 로드 록 챔버의 제 2 챔버 용적 내에서 에칭된 기판으로부터 할로겐-함유 잔류물들을 제거하는 단계, 및 할로겐-함유 잔류물을 제거한 후에 로드 록 챔버의 냉각형 기판 지지 조립체 내에서 기판을 냉각시키는 단계를 포함한다.
본 발명의 앞서 인용한 특징들이 구체적으로 이해될 수 있는 방식으로, 앞서서 간략하게 요약한 본 발명의 보다 특별한 설명은 첨부된 도면들에 일부가 도시된 실시예들을 참조하여 이루어질 수 있을 것이다. 그러나, 본 발명이 다른 동일한 효과의 실시예들에 대해서도 인정되기 때문에, 첨부 도면들이 본 발명의 전형적인 실시예들만을 도시한 것이고 그에 따라 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것을 주지하여야 할 것이다.
도 1은 본 발명의 일 실시예에 따른 로드 록 챔버의 개략적인 단면도이다.
도 2는 도 1과 상이한 상황(status)에서의 도 1의 로드 록 챔버의 개략적인 단면도이다.
도 3은 본 발명의 다른 실시예에 따른 로드 록 챔버의 개략적인 단면도이다.
도 4는 본 발명의 다른 실시예에 따른 로드 록 챔버의 개략적인 단면도이다.
도 5a는 상승(lift) 조립체를 보여주는 도 4의 로드 록 챔버의 개략적인 단면도이다.
도 5b는 본 발명의 일 실시예에 따른 상승 조립체의 개략적인 사시도이다.
도 6은 본 발명의 일 실시예에 따른 트윈(twin) 로드 록 챔버 구성의 개략적인 단면도이다.
도 7은 본 발명의 일 실시예에 따른 로드 록 챔버들을 포함하는 클러스터 툴 시스템의 평면도이다.
도 8은 본 발명의 일 실시예에 따른 기판 프로세싱 방법을 도시한 흐름도이다.
도 9는 본 발명의 다른 실시예에 따른 기판 프로세싱을 위한 방법을 도시한 흐름도이다.
이해를 돕기 위해서, 가능한 경우에, 도면들에서 공통되는 동일한 구성요소들에 대해서는 동일한 참조 부호를 사용하여 표시하였다. 구체적인 언급이 없어도, 하나의 실시예에서 개시된 요소들이 다른 실시예들에서 유리하게 이용될 수 있다는 것을 생각할 수 있을 것이다.
본 발명의 실시예들은 반도체 기판 상에서 소자들을 제조하기 위한 장치들 및 방법들을 제공한다. 보다 특히, 본 발명의 실시예들은 둘 또는 셋 이상의 격리된 챔버 용적들을 포함하는 로드 록 챔버가 제공하고, 하나의 챔버 용적이 기판을 프로세싱하도록 구성되고 다른 챔버 용적이 기판으로 냉각을 제공하도록 구성된다.
본 발명의 일 실시예는 챔버 본체 조립체 내에 형성된 적어도 2개의 격리된 챔버 용적들을 가지는 로드 록 챔버를 제공한다. 적어도 2개의 격리된 챔버 용적들이 수직으로 적층될 수 있을 것이다. 2개의 챔버 용적들이 처리량을 증가시키기 위해서 독립적으로 동작될 수 있다. 반응성 종들을 이용하여 내부에 배치된 기판을 프로세스하기 위해서, 예를 들어 기판으로부터 할로겐 잔류물을 제거하기 위해서 또는 기판으로부터 포토레지스트를 제거하기 위해서 제 1 챔버 용적이 이용될 수 있을 것이다. 제 2 챔버 용적이 팩토리 인터페이스의 주변 분위기와 이송 챔버의 진공 분위기와 같은 인접한 분위기들 사이의 기판 교환을 위한 2개의 개구부들을 가진다. 일 실시예에서, 냉각형 기판 지지부가 제 2 챔버 용적 내에 배치될 수 있을 것이다. 냉각형 기판 지지부는 프로세스된 기판들이 진공 분위기를 빠져나가기 전에 냉각될 수 있게 하고, 그에 따라, 온난(warm) 기판을 주변 대기에 노출시키는 것에 의해서 초래될 수 있는, 실리콘 산화와 같은, 바람직하지 못한 반응들을 방지한다. 일 실시예에서, 기판 지지 선반이 제 2 챔버 용적 내에 배치되어 제 2 챔버 용적 내에서 부가적인 기판을 수용할 수 있을 것이고, 그에 따라 진입 및 진출 기판들이 교차 오염을 방지하고 처리량을 개선하기 위해서 분리된 슬롯들을 가질 수 있을 것이다. 로드 록 챔버 내에서 기판들을 프로세싱하기 위한 챔버 용적을 포함하는 것에 의해서, 부가적인 프로세싱 챔버들을 수용하기 위해서 부가적인 위치들이 프로세싱 시스템 내에서 이용가능해 지고, 그에 따라 프로세싱 시스템의 풋프린트(footprint)를 증가시키지 않고도 처리량을 증가시킬 수 있다. 부가적으로, 냉각형 기판 지지부를 로드 록 챔버 내에서 이용하는 것은, 프로세스되는 기판이 대기에 노출될 때 바람직하지 못한 반응들을 감소시키는 것에 의해서 프로세스 품질을 개선한다.
본 발명의 다른 실시예는 3개의 챔버 용적들을 가지는 로드 록 챔버를 포함한다. 제 3 챔버 용적이 기판을 프로세싱하기 위한 제 1 챔버 용적과 냉각형 기판 지지부를 가지는 제 2 챔버 용적 사이에서 함께 적층될 수 있을 것이다. 제 2 챔버 용적과 유사하게, 제 3 챔버 용적이, 팩토리 인터페이스의 주변 분위기 및 이송 챔버의 진공 분위기와 같은 인접한 격리된 분위기들 사이의 기판 교환을 위한 2개의 개구부들을 가진다. 예를 들어, 제 3 챔버 용적을 이용하여 진입 기판들을 팩토리 인터페이스로부터 이송 챔버로 이송할 수 있을 것인 한편, 제 2 챔버 용적을 이용하여 이송 챔버로부터 팩토리 인터페이스로 진출 기판들을 이송할 수 있을 것이다. 진입 및 진출 기판들이 동일한 챔버 용적을 공유하지 않기 때문에, 교차 오염 가능성이 실질적으로 배제된다. 또한, 진입 및 진출 기판들을 위한 분리된 챔버 용적들을 이용하는 것이 또한 시스템을 위한 탄력성(flexibility)을 제공한다.
도 1은 본 발명의 일 실시예에 따른 로드 록 챔버(100)의 개략적인 단면도이다. 로드 록 챔버(100)는, 3개의 챔버 용적들(110, 120 및 130)을 형성하는 챔버 본체 조립체(110)를 가진다. 3개의 챔버 용적들(110, 120, 및 130)이 수직으로 함께 적층되고 서로로부터 격리된다. 챔버 용적들(110 및 120)이 기판(104)을 이송하도록 구성되고, 챔버 용적(120)은 기판(104)을 프로세싱하도록 구성된다.
일 실시예에서, 챔버 본체 조립체(110)가 측벽(111) 및 측벽(112)을 포함한다. 측벽(111) 및 측벽(112)이 반대 방향들로 대면하여 2개의 분위기들과 인터페이스한다. 측벽(111)이 팩토리 인터페이스 내에 존재하는 것과 같은 주변 분위기에 연결되도록 구성될 수 있는 한편, 측벽(112)은 이송 챔버 내에 존재하는 진공 분위기와 같은 진공 분위기에 연결되도록 구성될 수 있을 것이다. 로드 록 챔버(100)가 측벽들(111, 112)에 연결된 2개의 분위기들 사이에서 기판들을 교환하기 위해서 이용될 수 있을 것이다. 챔버 본체 조립체(110)가 챔버 덮개(116), 챔버 하단부(115) 및 내부 벽들(113, 114)을 더 포함할 수 있을 것이다. 내부 벽들(113, 114)은 로드 록 챔버(100)의 내부를 3개의 챔버 용적들(120, 130 및 140)로 분할한다. 챔버 용적들(130, 140)은 기판 교환을 위한 로드 록들로서 기능하고, 챔버 용적(120)은 기판 프로세싱을 위해서 구성된다.
챔버 용적(120)은 측벽들(111, 112), 기판 덮개(116) 및 내부 벽(113) 사이에 형성된다. 챔버 용적(120)의 내외로 기판이 이송될 수 있도록 하기 위해서, 개구부(121)가 측벽(112)을 통해서 형성된다. 슬릿 밸브(122)가 개구부(121)를 선택적으로 밀봉하도록 배치된다. 도 1에 도시된 실시예에서, 챔버 용적(120)은 기판 교환을 위한 하나의 개구부(121)만을 가지고, 그에 따라, 챔버 용적(120)은 2개의 분위기들 사이에서 기판들을 교환하기 위한 로드 록으로서 기능할 수 없다. 동작 중에, 챔버 용적(120)은 개구부(121)를 통해서 진공 프로세싱 분위기에 선택적으로 연결될 수 있다. 선택사항으로서, 챔버 용적(120)과 팩토리 인터페이스의 분위기 사이의 기판 교환을 가능하게 하기 위해서, 부가적인 기판 교환 개구부가 측벽(111)을 통해서 형성될 수 있을 것이다.
가열형 기판 지지 조립체(125)가 기판(104)을 지지 및 가열하기 위해서 챔버 용적(120) 내에 배치된다. 일 실시예에 따라서, 가열형 기판 지지 조립체(125)는 메립형 가열 요소(127)를 포함한다. 챔버 본체 조립체(110)와 가열형 기판 지지 조립체(125) 사이의 열 교환을 감소시키기 위해서, 열 절연체(126)가 가열형 기판 조립체(125)와 내부 벽(113) 사이에 배치될 수 있을 것이다. 가스 분배 샤워헤드(123)가 가열형 기판 지지 조립체(125) 위에서 챔버 용적(120) 내에 배치된다. 상승 후프 조립체(124)가 가열형 기판 지지 조립체(125) 및 가스 분배 샤워헤드(123) 주위에서 이동가능하게 배치된다. 상승 후프 조립체(124)가 챔버 용적(120) 내의 기판 지지 조립체(125) 바로 주위 내에서 프로세싱 분위기를 한정하도록 구성될 뿐만 아니라, 가열형 기판 지지 조립체(125) 및 기판 이송 로봇들(미도시)에 대해서 기판들을 로딩 및 언로딩하도록 동작될 수 있다.
가스 패널들(101, 102)을 이용하여 가스 분배 샤워헤드(123)를 통해서 챔버 용적(120) 내로 프로세싱 가스들을 제공할 수 있을 것이다. 일 실시예에서, 원격 플라즈마 공급원(103)이 가스 패널들(101, 102)과 가스 분배 샤워헤드(123) 사이에 배치될 수 있을 것이고, 그에 따라 프로세싱 가스들의 분해된 종들이 챔버 용적(120)으로 공급될 수 있을 것이다. 대안적으로, RF 전원이 가스 분배 샤워헤드(123)와 가열형 기판 지지 조립체(125) 사이에 인가되어, 챔버 용적(120) 내에서 플라즈마를 생성할 수 있을 것이다. 일 실시예에서, 가스 패널(101)이 에칭 후에 잔류 재료를 제거하기 위한 저감 프로세스를 위해서 프로세싱 가스들을 제공할 수 있을 것이고, 가스 패널(102)이 포토레지스트를 제거하기 위한 애싱(ahsing) 프로세스를 위해서 프로세싱 가스들을 제공할 수 있을 것이다.
로드 록 챔버의 챔버 용적 내에서 기판을 프로세싱하기 위한 장치들 및 방법들에 대한 보다 구체적인 설명이 2011년 3월 1일자로 출원되고 명칭이 "Abatement and Strip process Chamber in a Dual Loadlock Configuration"인 미국 가특허출원 제 61/448,027 호에서 확인될 수 있다.
챔버 용적(130)은 내부 벽들(113, 114) 및 측벽들(111, 112)에 의해서 형성된다. 챔버 용적(130)은 챔버 용적(120)과 챔버 용적(140) 사이에서 챔버 본체 조립체(110) 내에서 수직으로 적층된다. 개구부(131, 132)가 측벽들(112, 111)을 통해서 형성되어, 챔버 용적(130)과 챔버 본체 조립체(110) 외부의 2개의 분위기들 사이의 기판 교환을 허용한다. 슬릿 밸브(133)가 개구부(131)를 선택적으로 밀봉하도록 배치된다. 슬릿 밸브(134)가 개구부(132)를 선택적으로 밀봉하도록 배치된다. 챔버 용적(130)은 기판을 상부에서 유지 또는 저장하기 위한 적어도 하나의 기판 슬롯을 가지는 기판 지지 조립체를 포함할 수 있을 것이다. 일 실시예에서, 챔버 용적(130)은, 기판(104)을 상부에서 지지하기 위한 셋 또는 넷 이상의 기판 지지 핀들(135)을 포함한다. 셋 또는 넷 이상의 기판 지지 핀들(135)이 챔버 용적(130) 내에 고정적으로 배치될 수 있을 것이다. 선반, 엣지 링, 브래킷들과 같은 다른 적합한 기판 지지부가 기판을 상부에서 지지하기 위해서 챔버 용적(130) 내에 배치될 수 있을 것이다.
챔버 용적(130)은 로드 록 챔버로서의 역할을 할 수 있을 것이고 측벽들(111, 112)에 연결된 2개의 분위기들 사이에서 기판들을 교환하기 위해서 이용될 수 있을 것이다. 챔버 용적(130)은 또한 테스팅 또는 챔버 세정을 위한 더미(dummy) 기판들을 저장하기 위해서 이용될 수 있을 것이다.
챔버 용적(140)은 측벽들(111, 112), 내부 벽(114) 및 챔버 하단부(115)에 의해서 형성된다. 챔버 용적(140)은 챔버 용적(130) 아래에 배치된다. 개구부(141, 142)가 측벽들(112, 111)을 통해서 형성되어, 챔버 용적(140)과 챔버 본체 조립체(110) 외부의 2개의 분위기들 사이의 기판 교환을 허용한다. 슬릿 밸브(143)가 개구부(141)를 선택적으로 밀봉한다. 슬릿 밸브(144)가 개구부(142)를 선택적으로 밀봉한다. 도 1에 도시된 바와 같이, 슬릿 밸브(133)는 개구부(141)를 가로막지 않도록 디자인되는 한편, 슬릿 밸브(133)는 개구부(131)를 밀봉하도록 배치된다. 개구부들(131, 141)이 서로에 대해서 영향을 미치지 않고 독립적으로 개방 및 폐쇄될 수 있을 것이다. 일 실시예에서, 슬릿 밸브(133)가 개구부(141)를 막지 않게(clear from) 배치된 2개의 폴들(poles)을 통해서 액추에이터에 커플링된 도어를 포함할 수 있을 것이다. 슬릿 밸브(133)의 도어는 개방 및 폐쇄 동안 개구부(141)의 전방을 지나간다. 그러나, 슬릿 밸브(133)가 폐쇄 위치 및 개방 위치에 있을 때 개구부가 가로막히지는 않는다. 다른 적합한 디자인들을 이용하여 슬릿 밸브들(133, 143)의 독립적인 동작을 가능하게 할 수 있을 것이다.
기판(104)을 챔버 용적(140) 내에서 지지 및 냉각하도록, 냉각형 기판 지지 조립체(152)가 구성된다. 냉각형 기판 지지 조립체(152)는 기판 지지 표면(147)을 가지는 디스크 형상의 본체(145)를 포함한다. 복수의 냉각 채널들(146)이 디스크 형상의 본체(145) 내에 형성된다. 냉각 유체 공급원(148)이 냉각 채널들(146)로 커플링되어, 디스크 형상의 본체(145) 및 그 상부에 배치된 기판(104)의 온도를 제어할 수 있을 것이다. 상승 핀들(149)을 이용하여 기판(104)을 디스크 형상의 본체(145)로부터 상승시킬 수 있을 것이다. 상승 핀들(149)이 액추에이터(151)에 커플링된 플레이트(150)에 부착될 수 있을 것이다.
챔버 용적(140)은 로드 록 챔버로서의 역할을 할 수 있을 것이고 측벽들(111, 112)에 연결된 2개의 분위기들 사이에서 기판을 교환하기 위해서 이용된다. 냉각형 기판 지지 조립체(152)가 기판(104)에 대해서 냉각을 제공하는 한편 챔버 용적(140)을 통과한다.
도 2는 각각의 챔버 용적(120, 130, 140)을 도 1에 도시된 것과 상이한 상태로 도시한 로드 록 챔버(100)의 개략적인 단면도이다. 도 1에서, 챔버 용적(120)은 상승 후프 조립체(124)가 상승된 그리고 슬릿 밸브(122)가 개방된 기판 로딩/언로딩 상태이다. 도 2에서, 챔버 용적(120)은 기판(104) 주위에서 프로세싱 용적을 한정하기 위해서 상승 후프 조립체(124)가 하강되고 슬릿 밸브(122)가 폐쇄된 프로세싱 위치에 있다. 도 1에서, 슬릿 밸브(134)가 개방되고 슬릿 밸브(133)가 폐쇄된 상태에서, 챔버 용적(130)은 측벽(111)에 연결된 주변 분위기에 개방되어 있다. 도 2에서, 슬릿 밸브(134)가 폐쇄되고 슬릿 밸브(133)가 개방된 상태에서, 챔버 용적(130)은 측벽(112)에 연결된 진공 분위기에 개방되어 있다. 도 1에서, 슬릿 밸브(143)가 폐쇄되고 슬릿 밸브(144)가 폐쇄된 상태에서, 챔버 용적(140)은 측벽(112)에 연결된 진공 분위기에 개방되어 있다. 기판(104)이 냉각되도록 냉각형 기판 지지 조립체(152) 상에 놓인다. 도 2에서, 슬릿 밸브(143)가 개방되고 슬릿 밸브(144)가 폐쇄된 상태에서, 챔버 용적(140)은 측벽(111)에 연결된 주변 분위기에 개방되어 있다. 상승 핀들(149)이 개구부(141)와 정렬된 로딩/언로딩 위치에 기판(104)을 위치시키기 위해서 상승된다.
프로세싱 분위기와 팩토리 인터페이스 사이의 인터페이스를 제공하기 위해서, 로드 록 챔버(100)가 기판 프로세싱 시스템에서 이용될 수 있을 것이다. 통상적인 로드 록 챔버들에 비교하여, 로드 록 챔버(100)가 기판 프로세싱 시스템에 대한 몇몇 개선들을 제공할 수 있을 것이다. 첫 번째로, 기판 프로세싱 챔버 용적이 로드 록을 위해서 챔버 용적들 위에 적층되게 함으로써, 로드 록 챔버(100)는 부가적인 프로세싱 툴이 진공 이송 챔버에 커플링될 수 있게 허용하기 위한 공간을 제거하고(free), 그에 따라 프로세싱 시스템의 풋프린트를 증가시키지 않고도 시스템 처리량을 개선한다. 챔버 용적(120)을 프로세싱에 전용화함으로써, 대기로부터 진공 상태로 챔버 용적(120)을 펌핑할 필요성이 배제되고, 그에 따라 프로세싱 처리량을 개선한다. 두 번째로, 로드 록으로서 2개의 챔버 용적들을 가짐으로써, 로드 록 챔버(100)가 기판들의 진입 및 진출을 위한 분리된 경로들을 제공할 수 있을 것이고, 그에 따라 프로세스 전의 기판과 프로세스 후의 기판 사이에서 교차 오염을 실질적으로 방지할 수 있을 것이다. 세 번째로, 챔버 용적 내에 냉각형 기판 지지 조립체를 제공하는 것에 의해서, 로드 록 챔버(100)는, 프로세스된 기판이 프로세싱 시스템을 빠져나가기 전에, 프로세스된 기판에 대해서 냉각을 제공할 수 있을 것이다. 로드 록 챔버(100)는 프로세스된 기판들 상에서의 바람직하지 못한 반응들을 감소시키는데, 이는 냉각된 기판들이 프로세싱 시스템을 진출한 후에 대기 분위기와 반응할 가능성이 낮기 때문이다.
도 3은 본 발명의 다른 실시예에 따른 로드 록 챔버(300)의 개략적인 단면도이다. 로드 록 챔버(300)는, 로드 록 챔버(300)의 챔버 본체 조립체(310)가 챔버 용적들(120 및 140) 사이에 배치된 챔버 용적(130)을 포함하지 않는다는 것을 제외하고, 도 1 및 2의 로드 록 챔버(100)와 유사하다. 로드 록 챔버(300)에서, 챔버 용적(140)은 기판들의 진입 및 진출 모두를 위한 로드 록으로서 이용될 수 있을 것이다. 대안적으로, 챔버 용적(120)은, 측벽(111)을 통해서 형성된 제 2 개구부(323) 및 상기 개구부(323)를 선택적으로 밀봉하도록 구성된 슬릿 밸브(324)를 이용하는 로드 록으로서 이용될 수 있을 것이다. 로드 록 챔버(100)에 대비하여, 로드 록 챔버(300)가 적은 수의 구성요소들을 가지고, 그에 따라, 적은 비용이 소요되고, 유지보수가 더 용이할 수 있을 것이다.
도 4는 본 발명의 다른 실시예에 따른 로드 록 챔버(400)의 개략적인 단면도이다. 로드 록 챔버(300)와 유사하게, 로드 록 챔버(400)의 챔버 본체 조립체(410)가 2개의 챔버 용적들, 상기 챔버 용적(120) 아래에 배치된 챔버 용적(430)을 형성한다. 챔버 용적(120)은 기판 프로세싱을 위해서 전용화될 수 있을 것이고, 챔버 용적(120)은 항상 진공하에서 유지됨에 따라, 개구부(121)를 통해서 로드 록 챔버(400)의 일 측부에 대해서만 개방될 수 있을 것이다.
챔버 용적(430)은 상기 냉각형 기판 지지 조립체(152) 위에 배치되고 기판(104)을 상부에서 지지하도록 구성된 기판 지지 선반(454)을 포함할 수 있을 것이다. 챔버 용적(430)을 이용하여 하나의 기판(104)을 기판 지지 선반(454) 상에서 유지할 수 있을 것이고 다른 기판(104)을 냉각형 기판 지지 조립체(152) 상에서 유지 및/또는 냉각할 수 있을 것이다. 일 실시예에서, 기판 지지 선반(454)이 진입 기판들을 위해서 전용화될 수 있을 것이고 냉각형 기판 지지 조립체(152)가 진출 기판들을 위해서 전용화될 수 있을 것이며, 그에 따라 기판 진입과 기판 진출 사이에 직접적인 오염 가능성이 실질적으로 배제될 수 있을 것이다. 대안적으로, 챔버 용적(430)은 2개의 기판들을 동시적으로 이송하기 위해서 이용될 수 있을 것이다.
일 실시예에서, 기판 지지 선반(454)이 냉각형 기판 지지 조립체(152) 위에 이동가능하게 배치되어 기판 교환을 가능하게 할 수 있을 것이다. 도 4에 도시된 바와 같이, 기판 지지 선반(454)이 링(452)으로부터 연장하는 하나 또는 둘 이상의 기둥들(453)을 포함할 수 있을 것이다. 기둥들(453)은 기판(104)에 대한 지지를 제공하도록 구성된다. 하나 또는 둘 이상의 기둥들(453)을 챔버 용적(430) 내에서 수직으로 이동시키기 위해서, 링(452)이 상승 조립체(450)에 커플링될 수 있을 것이다. 일 실시예에서, 기판을 냉각형 기판 지지 조립체(152)로부터 상승시키기 위해서 또는 기판을 냉각형 기판 지지 조립체(152)로 하강시키기 위해서, 상승 조립체(450)가 또한 상승 핀들(149)에 연결된 링(451)에 커플링될 수 있을 것이다. 일 실시예에서, 기판 지지 선반(454) 및 상승 핀들(140)을 동시적으로 이동시키도록 상승 조립체(450)가 구성될 수 있을 것이다. 상승 핀들(149)이 냉각형 기판 지지 조립체(152) 상에 배치된 기판(104)을 픽업하기 위해서 상승될 때, 기판 지지 선반(454)이 또한 위쪽으로 이동되어, 로딩 또는 언로딩을 위한 상승 핀들(149) 상의 기판(104)과 기판 지지 선반(454) 사이의 충분한 간격을 보장한다.
도 5a는 상승 조립체(450)를 도시한 도 4의 로드 록 챔버(400)의 개략적인 단면도이고, 도 5b는 상승 조립체(450)의 개략적인 사시도이다. 상승 조립체(450)는 샤프트(504)에 커플링되고 샤프트(504)를 회전시키도록 구성된 모터(502)를 포함할 수 있을 것이다. 샤프트(504)가 기판 지지 선반(454) 및 상승 핀들(149)을 각각 구동하기 위한 나사산형 부분들(506 및 508)을 가질 수 있을 것이다. 나사산형 부재(510)가 나사산형 부분(506)에 커플링되고, 그에 따라 샤프트(504)의 회전이 나사산형 부재(510)를 샤프트(504)를 따라서 이동시킨다. 샤프트(512)가 나사산형 부재(510)와 링(452) 사이에 고정적으로(fixedly) 커플링되어 나사산형 부재(510)의 수직 운동을 링(452) 및 기둥들(453)로 전달한다. 유사하게, 나사산형 부재(514)가 나사산형 부분(508)에 커플링되고, 그에 따라 샤프트(504)의 회전은 나사산형 부재(514)를 샤프트(504)를 따라서 이동시킨다. 샤프트(516)가 나사산형 부재(514)와 링(452) 사이에 고정적으로 커플링되어, 나사산형 부재(514)의 수직 이동을 링(451) 및 샤프트 핀들(149)로 전달할 수 있을 것이다. 일 실시예에서, 샤프트들(512, 516)이 도 5a에 도시된 바와 같이 동심적으로 배치될 수 있을 것이다. 대안적으로, 샤프트들(512, 516)이 서로로부터 이격되어 배치될 수 있을 것이다.
일 실시예에서, 나사산형 부분들(506 및 508)이 상이한 피치들을 가질 수 있을 것이고, 그에 따라, 샤프트(504)가 모터(502)에 의해서 회전될 때, 나사산형 부재들(510, 514)이 상이한 속도들(그에 따라 상이한 거리들)로 이동할 수 있을 것이다. 일 실시예에서, 상승 핀들(149)이 기판 지지 선반(454) 보다 더 빨리 이동하도록, 그에 따라, 기판 지지 선반(454)이 상승 핀들(149) 보다 적은 운동 범위를 가지도록, 나사산형 부분들(506 및 508)의 피치들이 셋팅될 수 있을 것이다. 기판 지지 선반(454) 및 상승 핀들(149)을 가능한 한 짧은 거리들 내에서 이동시키는 것에 의해서, 챔버 용적(430)의 높이가 최소화될 수 있고, 그에 의해서 펌핑 시간 및 요건들이 감소될 수 있다. 일 실시예에서, 상승 핀들(149)이 기판 지지 선반(454)의 약 2배로 빨리 이동한다.
로드 록 챔버(400)가 기판들을 프로세싱하도록 전용화된 챔버 용적들(120)을 제공할 수 있는 한편(즉, 주변 분위기들에 대한 직접적인 경로가 없다), 교차 오염을 방지하기 위해서 진입 및 진출 기판들을 위한 냉각 및 분리형 경로들을 제공할 수 있을 것이다. 그에 따라, 로드 록 챔버(400)가 처리량을 증가시키기 위해서, 오염을 감소시키기 위해서, 그리고 고온 기판들 상에서의 바람직하지 못한 반응들을 감소시키기 위해서 이용될 수 있을 것이다.
본 발명의 실시예들에 따른 로드 록 챔버들이 생산성을 배가하기 위해서 쌍들로서 이용될 수 있을 것이다. 도 6은 본 발명의 일 실시예에 따른 트윈 로드 록 챔버(600) 구성의 개략적인 단면도이다. 트윈 로드 록 챔버(600)는 일체형 챔버 본체 조립체(610) 내에서 나란히 배치된 2개의 로드 록 챔버들(100A, 100B)을 포함한다. 도 6에 도시된 바와 같이, 2개의 로드 록 챔버들(100A, 100B)이 서로 거울 상이 될 수 있을 것이다. 로드 록 챔버들(100A, 100B)이 서로 독립적으로 또는 동시적으로 동작할 수 있을 것이다.
로드 록 챔버들(100A, 100B)은 도 1의 로드 록 챔버(100)와 유사하다. 로드 록 챔버(100A)는 챔버 용적들(120A, 130A, 140A)을 포함하고 로드 록 챔버(100B)는 챔버 용적들(120B, 130B, 140B)을 포함한다. 로드 록 챔버들(100A, 100B)이 챔버 용적들(120A, 120B) 내에서 기판들을 프로세싱하기 위한 가스 공급원들(101, 102)을 공유할 수 있을 것이다. 각각의 챔버 용적(120A, 120B)은 제어 밸브들(604A, 604B)을 통해서 진공 펌프(602A, 602B)에 커플링될 수 있을 것이다. 진공 펌프들(602A, 602B)은 챔버 용적(120A, 120B) 내에서 진공 분위기를 유지하도록 구성된다. 챔버 용적들(130A, 140A, 130B, 140B)은 기판 교환을 위한 로드 록 용적들로서 기능한다. 일 실시예에서, 챔버 용적들(130A, 140A, 130B, 140B)이 하나의 진공 펌프(606)를 공유할 수 있을 것이다. 제어 밸브들(608A, 610A, 608B, 610B)이 펌프(606)와 챔버 용적들(130A, 140A, 130B, 140B) 사이에 커플링되어 독립적인 제어를 가능하게 할 수 있을 것이다.
본 발명의 실시예들에 따른 로드 록 챔버들이 클러스터 툴에서 기판 프로세싱 시스템과 팩토리 인터페이스 사이의 인터페이스를 제공하기 위해서 이용될 수 있을 것이다. 도 7은 본 발명의 일 실시예에 따른 로드 록 챔버들을 포함하는 클러스터 툴 시스템(700)의 평면도이다. 클러스터 툴 시스템(700)은 본 발명의 실시예들에 따른 하나 또는 둘 이상의 로드 록 챔버들을 포함한다. 도 7의 클러스터 툴 시스템(700)은 트윈 로드 록 챔버(600)를 포함하여 도시된 것이다. 그러나, 로드 록 챔버들(100, 300 및 400)이 또한 이용될 수 있다는 것을 주목하여야 한다.
클러스터 툴 시스템(700)은 시스템 제어기(744), 복수의 프로세싱 챔버들(712) 및 진공 기판 이송 챔버(708)에 커플링되는 트윈 로드-록 챔버(600)를 포함한다. 일 실시예에서, 이송 챔버(708)가 복수의 측부들을 포함할 수 있을 것이고, 각각의 측부가 트윈 로드 록 챔버(600)의 트윈 프로세싱 챔버(712)와 접촉하도록 구성된다. 도 7에 도시된 바와 같이, 3개의 트윈 프로세싱 챔버들(712)이 이송 챔버(708)에 커플링된다. 트윈 로드 록 챔버(600)가 이송 챔버(708)에 커플링된다. 팩토리 인터페이스(704)가 트윈 로드 록 챔버(600)의 로드 록 챔버들(100A, 100B)에 의해서 이송 챔버(708)에 선택적으로 커플링된다.
기판들의 이송을 돕기 위해서, 팩토리 인터페이스(704)가 적어도 하나의 도킹 스테이션(702) 및 적어도 하나의 팩토리 인터페이스 로봇(706)을 포함할 수 있을 것이다. 트윈 로드 록 챔버(600)의 로드 록 챔버들(100A, 100B)의 각각이 팩토리 인터페이스(704)에 커플링된 2개의 포트들 및 이송 챔버(708)에 커플링된 3개의 포트들을 가진다. 로드 록 챔버들(100A, 100B)은, 이송 챔버(708)의 진공 분위기와 팩토리 인터페이스(704)의 실질적으로 주변(예를 들어, 대기) 분위기 사이의 기판 교환을 돕기 위해서, 로드 록 챔버들(100A, 100B) 내의 챔버 용적들을 감압 펌핑하고 환기하는 압력 제어 시스템(미도시)에 커플링된다.
이송 챔버(708)는, 로드 록 챔버들(100A, 100B)과 프로세싱 챔버들(712) 사이에서 기판들을 이송하기 위해서 내부에 배치된 진공 로봇(710)을 가진다. 일 실시예에서, 진공 로봇(710)이 2개의 블레이드들을 가지고 로드 록 챔버들(100A, 100B)과 프로세싱 챔버들(712) 사이에서 2개의 기판들을 동시에 이송할 수 있다.
일 실시예에서, 적어도 하나의 프로세스 챔버들(712)이 에칭 챔버이다. 예를 들어, 에칭 챔버가 Applied Materials, Inc.로부터 입수할 수 있는 디커플드 플라즈마 소오스(Decoupled Plasma Source; DPS) 챔버일 수 있을 것이다. DPS 에칭 챔버는 유도 공급원을 이용하여 고-밀도 플라즈마를 생성하고, 기판을 바이어스시키기 위한 무선-주파수(RF)의 공급원을 포함한다. 대안적으로, 프로세스 챔버들(712) 중 적어도 하나가 또한 Applied Materials, Inc.로부터 입수할 수 있는 HARTTM, E-MAX®, DPS®, DPS II, PRODUCER E, 또는 ENABLER® 에칭 챔버일 수 있을 것이다. 다른 제조자들로부터의 에칭 챔버들을 포함하는, 다른 에칭 챔버들이 이용될 수 있을 것이다. 에칭 챔버들이 기판(924)을 내부에서 에칭하기 위해서 할로겐-함유 가스를 이용할 수 있을 것이다. 할로겐-함유 가스의 예들은 브롬화 수소(HBr), 염소(Cl2), 4불화 탄소(CF4), 등을 포함한다. 기판(924)을 에칭한 후에, 할로겐-함유 잔류물들이 기판 표면에 남아 있을 수 있을 것이다.
할로겐-함유 잔류물들이 로드 록 챔버들(100A, 100B) 중 적어도 하나에서 열적 저감 프로세스에 의해서 제거될 수 있을 것이다. 예를 들어, 열처리 프로세스가 로드 록 챔버들(100A, 100B)의 챔버 용적들(120A, 120B) 중 하나 또는 양자 모두에서 실시될 수 있을 것이다. 저감 프로세스에 대해서 대안적으로 또는 부가적으로, 애싱 프로세스가 로드 록 챔버들(100A, 100B)의 챔버 용적들(120A, 120B) 중 하나 또는 양자 모두에서 실시될 수 있을 것이다.
시스템 제어기(744)가 시스템(700)의 클러스터 툴에 커플링된다. 시스템 제어기(744)는, 프로세스 챔버들(712)의 직접적인 제어를 이용하여, 또는 대안적으로, 프로세싱 챔버들(712) 및 클러스터 툴 시스템(700)과 연관된 컴퓨터들(또는 제어기들)을 제어함으로써, 클러스터 툴 시스템(700)의 동작을 제어한다. 동작 중에, 시스템 제어기(744)는 각각의 챔버들 및 시스템 제어기(744)로부터의 데이터 수집 및 피드백을 가능하게 하여, 클러스터 툴 시스템(700)의 성능을 최적화할 수 있게 한다. 시스템 제어기(744)는 일반적으로 중앙 처리 유닛(CPU)(738), 메모리(740), 및 지원 회로(742)를 포함한다.
도 8은 본 발명의 일 실시예에 따라 기판을 프로세싱하기 위한 방법(800)을 도시한 흐름도이다. 방법(800)은, 챔버 용적들을 가지는 로드 록 챔버들(100A, 100B)을 가지는 도 7의 클러스터 툴 시스템(700) 내에서 실시될 수 있을 것이다. 방법(800)이, 다른 제조자들로부터의 시스템들을 포함하는, 다른 적합한 프로세싱 시스템들에서 실시될 수 있을 것이다.
방법(800)은, 로드 록 챔버들(100A, 100B)의 챔버 용적들(130A, 130B)과 같은, 팩토리 인터페이스에 커플링된 로드 록 챔버의 제 1 챔버 용적 내에서, 도 7의 팩토리 인터페이스(704)와 같은 팩토리 인터페이스로부터 상부에 층을 가지는 기판을 수용하는 것에 의해서, 박스(810)에서 시작된다.
박스(820)에서, 기판을 포함하는 제 1 챔버 용적이, 로드 록 챔버에 커플링된 이송 챔버의 진공 레벨과 같은 진공 레벨까지 감압 펌핑될 수 있을 것이다. 이어서, 기판이 로드 록 챔버로부터 이송 챔버로 이송된다. 일 실시예에서, 로드 록 챔버의 제 1 챔버 용적이 진입 기판들만을 위한 경로들을 제공하도록 전용화될 수 있을 것이다.
박스(830)에서, 기판이 하나 또는 둘 이상의 프로세스들을 위해서 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세싱 챔버들로 이송된다. 프로세스는, 할로겐-함유 가스를 이용하여, 패터닝된 마스크 아래의 기판들 상의, 폴리머 필름과 같은, 하나 또는 둘 이상의 필름들을 에칭하는 단계를 포함할 수 있을 것이다. 패터닝된 마스크가 포토레지스트 및/또는 하드 마스크를 포함할 수 있을 것이다. 할로겐-함유 가스의 적합한 예들은, 비제한적으로, 브롬화 수소(HBr), 염소(Cl2), 4불화 탄소(CF4), 등을 포함한다. 에칭 프로세스가 기판 상에 할로겐-함유 잔류물을 남길 수 있을 것이다.
선택적으로, 기판이, 프로세싱 챔버들 내에서 프로세스되기에 앞서서 예열을 위해서 이송 챔버를 통해서 로드 록 챔버의 제 1 챔버 용적으로부터 로드 록 챔버의 제 2 챔버 용적으로 이송될 수 있을 것이다. 예를 들어, 기판이, 가열된 기판 지지부(125) 상에서 예열되도록 챔버 용적(130)으로부터 챔버 용적(120)으로 이송될 수 있을 것이다. 일 실시예에서, 기판이 약 20 ℃ 내지 약 400 ℃의 온도로 예열될 수 있을 것이다.
박스(840)에서, 이송 챔버에 연결된 하나 또는 둘 이상의 프로세싱 챔버들 내에서 프로세스된 후에, 기판이 로드 록 챔버의 제 2 챔버 용적으로 이송된다. 로드 록 챔버(100)의 챔버 용적(120)과 같은 제 2 챔버 용적이 기판 프로세싱에 대해서 전용화될 수 있을 것이다. 프로세싱 레시피에 따라, 로드 록 챔버의 제 2 챔버 용적은 상이한 프로세스들에 대해 구성될 수 있다.
박스(850)에서, 열처리 프로세스를 기판 상에서 실시하여, 팩토리 인터페이스 또는 다른 위치들에서 대기 조건들에 노출되기에 앞서서, 박스(830)의 프로세싱 중에 생성된 기판으로부터 할로겐-함유 잔류물들을 제거할 수 있을 것이다. 예를 들어, 할로겐-함유 잔류물들을 제거하기 위해서, 기판이 로드 록 챔버(100)의 챔버 용적(120)으로 이송될 수 있을 것이다.
일 실시예에서, 할로겐-함유 잔류물들을 제거하기 위해서, 로드 록 챔버의 제 2 챔버 용적 내에서 에칭된 기판에 대해서 열처리가 실시될 수 있을 것이다. 예를 들어, 기판이 로드 록 챔버(100)의 챔버 용적(120)의 가열형 기판 지지 조립체(125) 상에 배치될 수 있을 것이다. 가열형 기판 지지 조립체(125)가 기판을, 약 5초 내지 약 30초에서, 약 20 ℃ 내지 약 1000 ℃, 예를 들어 약 150 ℃ 내지 약 300 ℃, 예를 들어 약 250 ℃의 온도로 가열한다. 가열형 기판 지지 조립체(125)에 의한 기판의 급속 가열은, 프로세싱 챔버들 중 하나 내에서 잔류물들이 제거되는 경우에 직면할 수 있는 프로세스 사이클 시간의 증가 없이, 에칭된 기판 상의 할로겐-함유 잔류물들의 제거를 허용한다. 일 실시예에서, 할로겐-함유 잔류물들이 에칭된 기판으로부터 제거될 때까지, 미리 결정된 시간 기간에 가열형 기판 지지 조립체(125)에 의해서 기판이 가열될 수 있을 것이다.
다른 실시예에서, 가스 혼합물의 플라즈마를 이용하여, 할로겐-함유 잔류물들을 비-부식성 휘발성 화합물들로 변환시키는 것을 촉진할 수 있을 것이고, 그에 의해서 에칭된 기판 표면으로부터 할로겐-함유 잔류물들을 제거하는 효율을 증가시킬 수 있을 것이다. 가스 혼합물이 O2, O3, 수증기(H2O)와 같은 산소-함유 가스, H2, 포밍 가스(forming gas), 수증기(H2O), 알칸들, 알켄들, 등과 같은 수소-함유 가스, 질소(N2), 아르콘(Ar), 헬륨(He), 등과 같은 불활성 가스를 포함할 수 있을 것이다. 예를 들어, 가스 혼합물이 산소, 질소 및 수소-함유 가스를 포함할 수 있을 것이다. 일 실시예에서, 수소-함유 가스가 수소(H2) 및 수증기(H2O) 중 적어도 하나이다.
다른 실시예에서, 열처리 프로세스가, 마스크 층들 또는 포토레지스트 층을 기판으로부터 제거하기 위해서 클러스터 툴 시스템 내에서 기판을 에칭한 후에, 로드 록 챔버의 챔버 용적 내에서 실시되는 애싱 프로세스의 형태일 수 있을 것이다. 애싱 프로세스 중에, 산소-계 플라즈마가 로드 록 챔버의 챔버 용적으로 공급될 수 있는 한편, 기판의 온도가 15 내지 300 ℃에서 유지될 수 있을 것이다. 비제한적으로, O2 O3, N2O, H2O, CO, CO2, 알콜들, 및 이러한 가스들의 여러 가지 조합들을 포함하는, 여러 가지 산화 가스들이 이용될 수 있을 것이다. 발명의 다른 실시예들에서, 비제한적으로, N2, H2O, H2, 포밍 가스, NH3, CH4, C2H6, 여러 가지 할로겐화된 가스들(CF4, NF3, C2F6, C4F8, CH3F, CH2F2, CHF3), 이러한 가스들의 조합들 등을 포함하는 비산화 가스들이 이용될 수 있을 것이다. 다른 실시예들에서, 마스크 및/또는 포토레지스트 층이 박스(850)에서 동시적으로 제거될 수 있을 것이다.
박스(860)에서, 기판이 로드 록 챔버의 제 2 챔버로부터 이송 챔버를 통해서 로드 록 챔버의 제 3 챔버 용적으로 이송될 수 있을 것이다. 진출 기판들에 대한 경로를 제공하기 위해서, 로드 록 챔버의 제 3 챔버 용적이 전용화될 수 있을 것이다. 제 3 챔버 용적이 로드 록 챔버(100)의 챔버 용적(140)일 수 있을 것이다.
박스(870)에서, 기판이 로드 록 챔버의 제 3 챔버 용적 내에서 냉각된다. 기판이, 냉각을 위해서, 로드 록 챔버(100)의 냉각형 기판 지지 조립체(152)와 같은, 냉각형 기판 지지 조립체로 하강될 수 있을 것이다.
박스(880)에서, 제 3 챔버 용적이 대기압으로 환기되고 냉각된 기판이 팩토리 인터페이스로 복귀된다. 기판이 대기에 노출되기에 앞서서 냉각되기 때문에, 실리콘 산화와 같은 바람직하지 못한 반응들이 감소된다.
도 9는 본 발명의 다른 실시예에 따라 기판을 프로세싱하기 위한 방법(900)을 도시한 흐름도이다. 방법(900)이 전술한 로드 록 챔버들(300, 400)과 같은 2개의 챔버 용적들을 갖춘 로드 록 챔버들을 가지는 클러스터 툴 내에서 실시된다는 것을 제외하고, 방법(900)은 방법(800)과 유사하다.
박스(910)에서, 상부에 배치된 층을 가지는 기판이 도 7의 팩토리 인터페이스(704)와 같은 팩토리 인터페이스로부터 상기 팩토리 인터페이스에 커플링된 로드 록 챔버의 제 1 챔버 용적으로 이송된다. 일 실시예에서, 로드 록 챔버(300)가 이용될 때, 기판이 챔버 용적(140)으로 이송될 수 있고, 그에 따라 챔버 용적(120)은 기판들을 프로세싱하는 것으로 전용화될 수 있다. 다른 실시예에서, 로드 록 챔버(400)가 이용될 때, 기판이 챔버 용적(430)의 기판 지지 선반(454)으로 이송될 수 있을 것이다.
박스(920)에서, 기판을 포함하는 제 1 챔버 용적이 로드 록 챔버에 커플링된 이송 챔버의 진공 레벨과 동일한 진공 레벨로 감압 펌핑될 수 있을 것이다. 이어서, 기판이 로드 록 챔버로부터 이송 챔버로 이송된다.
박스(930)에서, 방법(800)의 박스(830)와 유사하게, 기판이 하나 또는 둘 이상의 프로세스들을 위해서 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세싱 챔버들로 이송된다. 프로세스들은, 할로겐-함유 가스를 이용하여, 패터닝된 마스크 아래의 기판들 상의, 폴리머 필름과 같은, 하나 또는 둘 이상의 필름들을 에칭하는 단계를 포함할 수 있을 것이다.
박스(940)에서, 이송 챔버에 연결된 하나 또는 둘 이상의 프로세싱 챔버들 내에서 프로세스된 후에, 잔류물들 및/또는 하드 마스크 또는 포토레지스트를 제거하기 위해서, 기판이 로드 록 챔버의 제 2 챔버 용적으로 이송된다. 로드 록 챔버(300) 또는 로드 록 챔버(400)의 챔버 용적(120)과 같은, 제 2 챔버 용적이 기판 프로세싱에 대해서 전용화될 수 있을 것이다. 프로세스 레시피에 의존하여, 로드 록 챔버의 제 2 챔버 용적이 상이한 프로세스들에 대해서 구성될 수 있을 것이다. 박스(850)에서 설명된 프로세스들과 유사하게, 박리 프로세스, 애싱 프로세스, 또는 박리 및 애싱 프로세스들 모두가 기판에 대해서 실시되어, 할로겐-함유 잔류물들, 하드 마스크, 및 포토레지스트의 임의의 희망 조합을 제거할 수 있을 것이다.
박스(950)에서, 기판은, 냉각되도록, 로드 록 챔버의 제 2 챔버로부터 이송 챔버를 통해서 로드 록 챔버의 챔버 용적으로 다시 이송될 수 있을 것이다.
박스(960)에서, 기판이 로드 록 챔버의 제 1 챔버 용적 내에서 냉각된다. 기판이, 냉각을 위해서, 로드 록 챔버(300 또는 400)의 냉각형 기판 지지 조립체(152)와 같은 냉각형 기판 지지 조립체까지 하강될 수 있을 것이다.
박스(970)에서, 제 1 챔버 용적이 대기압으로 환기되고 냉각된 기판이 팩토리 인터페이스로 복귀된다.
전술한 내용이 본 발명의 실시예들에 관한 것이지만, 발명의 기본적인 범위로부터 벗어나지 않고도, 발명의 다른 그리고 추가적인 실시예들이 안출될 수 있을 것이고, 발명의 범위는 이하의 청구항들에 의해서 결정된다.

Claims (15)

  1. 로드 록 챔버로서:
    서로로부터 격리된 제 1 챔버 용적 및 제 2 챔버 용적을 정의하는 챔버 본체 조립체 - 상기 제 1 챔버 용적은 기판 이송을 위해서 구성된 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있고, 상기 제 2 챔버 용적은 2개의 분위기들 중 적어도 하나에 선택적으로 연결됨-;
    상기 제 1 챔버 용적 내에 배치되고 상부에 기판을 지지 및 냉각하도록 구성된 냉각형 기판 지지 조립체;
    상기 제 2 챔버 용적 내에 배치되고 상부에 기판을 지지하도록 구성된 가열형 기판 지지 조립체; 및
    상기 제 2 챔버 용적 내에 배치되고 내부에 배치된 기판을 프로세싱하기 위해서 프로세싱 가스를 상기 제 2 챔버 용적에 제공하도록 구성되는 가스 분배 조립체를 포함하는,
    로드 록 챔버.
  2. 제 1 항에 있어서,
    상기 냉각형 기판 지지 조립체에 대해서 이동가능한 상승 핀 조립체를 더 포함하고, 상기 상승 핀 조립체는 상기 냉각형 기판 지지 조립체와 외부 기판 핸들링 디바이스 사이에서 기판을 이송하도록 구성되는,
    로드 록 챔버.
  3. 제 2 항에 있어서,
    상기 챔버 본체 조립체는 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있는 제 3 챔버 용적을 추가적으로 정의하고, 상기 제 2 챔버 용적은 상기 제 1 및 제 2 챔버 용적들 사이에서 수직으로 적층되는(stacked),
    로드 록 챔버.
  4. 제 3 항에 있어서,
    상기 제 3 챔버 용적 내에 배치된 기판 지지 조립체를 더 포함하는,
    로드 록 챔버.
  5. 제 2 항에 있어서,
    상기 제 1 챔버 용적 내에서 상기 냉각형 기판 지지 조립체 위에 이동가능하게 배치되는 기판 지지 선반을 더 포함하는,
    로드 록 챔버.
  6. 제 5 항에 있어서,
    상기 상승 핀 조립체 및 상기 기판 지지 선반에 대해서 동시적으로 구성되는 상승 조립체를 더 포함하는,
    로드 록 챔버.
  7. 제 6 항에 있어서,
    상기 상승 조립체는:
    모터에 의해서 회전되도록 이루어진 샤프트;
    상기 샤프트와 상기 상승 핀 조립체 사이에 커플링된 제 1 나사산형 부재; 및
    상기 샤프트와 상기 기판 지지 선반 사이에 커플링된 제 2 나사산형 부재 - 상기 샤프트의 회전은 상기 제 1 및 제 2 나사산형 부재들을 수직으로 이동시킴 -;를 포함하는,
    로드 록 챔버.
  8. 제 7 항에 있어서,
    상기 상승 조립체는 상기 기판 지지 선반 및 상기 상승 핀 조립체를 상이한 속도들로 이동시키는,
    로드 록 챔버.
  9. 제 7 항에 있어서,
    상기 기판 지지 선반은:
    링; 및
    상기 링에 부착된 기둥 - 상기 기둥은 상기 제 2 나사산형 부재에 커플링됨 -;을 포함하는,
    로드 록 챔버.
  10. 이중 로드 록 챔버로서:
    일체형 챔버 본체 조립체 내에 나란히 배치된 제 1 로드 록 챔버 및 제 2 로드 록 챔버를 포함하고,
    상기 제 1 로드 록 챔버 및 제 2 로드 록 챔버의 각각은:
    서로로부터 격리된 제 1 챔버 용적 및 제 2 챔버 용적 - 상기 제 1 챔버 용적은 기판 이송을 위해서 구성된 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있고, 상기 제 2 챔버 용적은 2개의 프로세싱 분위기들 중 적어도 하나에 선택적으로 연결됨 -;
    상기 제 1 챔버 용적 내에 배치되고 상부에 기판을 지지 및 냉각하도록 구성된 냉각형 기판 지지 조립체;
    상기 제 2 챔버 용적 내에 배치되고 상부에 기판을 지지하도록 구성된 가열형 기판 지지 조립체; 및
    상기 제 2 챔버 용적 내에 배치되고 내부에 배치된 기판을 프로세싱하기 위해서 프로세싱 가스를 제 2 챔버 용적에 제공하도록 구성되는 가스 분배 조립체를 포함하는,
    이중 로드 록 챔버.
  11. 제 10 항에 있어서,
    상기 제 1 및 제 2 로드 록 챔버들의 각각은 2개의 개구부들을 통해서 2개의 분위기들에 선택적으로 연결될 수 있는 제 3 챔버 용적을 가지고, 상기 제 2 챔버 용적은 상기 제 1 및 제 2 챔버 용적들 사이에 수직으로 적층되는,
    이중 로드 록 챔버.
  12. 제 10 항에 있어서,
    상기 제 1 및 제 2 로드 록 챔버들의 각각은 상기 제 1 챔버 용적 내에서 상기 냉각형 기판 지지 조립체 위에 이동가능하게 배치되는 기판 지지 선반을 더 포함하는,
    이중 로드 록 챔버.
  13. 제 11 항에 있어서,
    상기 제 1 및 제 2 로드 록 챔버들의 상기 제 2 챔버 용적들 및 상기 제 3 챔버 용적들에 커플링된 진공 펌프를 더 포함하는,
    이중 로드 록 챔버.
  14. 기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법으로서:
    기판 프로세싱 시스템의 이송 챔버에 커플링된 로드 록 챔버의 제 1 챔버 용적을 통해서 기판 프로세싱 시스템으로 기판을 이송하는 단계;
    기판 프로세싱 챔버의 이송 챔버에 커플링된 하나 또는 둘 이상의 프로세싱 챔버들 내에서 할로겐을 포함하는 화학물질로 기판을 에칭하는 단계;
    상기 로드 록 챔버의 제 2 챔버 용적 내에서 상기 에칭된 기판으로부터 할로겐-함유 잔류물들을 제거하는 단계; 및
    할로겐-함유 잔류물을 제거한 후에 상기 로드 록 챔버의 냉각형 기판 지지 조립체 내에서 기판을 냉각시키는 단계를 포함하는,
    기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법.
  15. 제 14 항에 있어서,
    상기 냉각형 기판 지지 조립체가 상기 로드 록 챔버의 제 1 챔버 용적 또는 제 3 챔버 용적 내에 배치되고, 상기 기판을 냉각시키는 단계가 상기 이송 챔버를 통해서 상기 제 2 챔버 용적으로부터 상기 제 1 챔버 용적 또는 상기 제 3 챔버 용적으로 기판을 이송하는 단계를 포함하는,
    기판으로부터 할로겐-함유 잔류물들을 제거하기 위한 방법.
KR1020147027253A 2012-02-29 2013-01-18 로드 록 구성의 저감 및 스트립 프로세스 챔버 KR102068186B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261604990P 2012-02-29 2012-02-29
US61/604,990 2012-02-29
PCT/US2013/022228 WO2013130191A1 (en) 2012-02-29 2013-01-18 Abatement and strip process chamber in a load lock configuration

Publications (2)

Publication Number Publication Date
KR20150044421A true KR20150044421A (ko) 2015-04-24
KR102068186B1 KR102068186B1 (ko) 2020-02-11

Family

ID=49003318

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147027253A KR102068186B1 (ko) 2012-02-29 2013-01-18 로드 록 구성의 저감 및 스트립 프로세스 챔버

Country Status (6)

Country Link
US (4) US10566205B2 (ko)
JP (2) JP6545460B2 (ko)
KR (1) KR102068186B1 (ko)
CN (2) CN104137248B (ko)
TW (1) TWI564954B (ko)
WO (1) WO2013130191A1 (ko)

Families Citing this family (94)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6114698B2 (ja) * 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
ES2841067T3 (es) * 2012-02-16 2021-07-07 Cnbm Bengbu Design & Res Institute For Glass Industry Co Ltd Caja de procesamiento, disposiciones y procedimientos para procesar sustratos revestidos
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6178488B2 (ja) * 2013-03-15 2017-08-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電子デバイス製造における基板の処理に適合される処理システム、装置、及び方法
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298583B (zh) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 处理腔、处理腔和真空锁组合以及基片处理系统
KR20160141244A (ko) * 2015-05-29 2016-12-08 피에스케이 주식회사 기판 처리 장치 및 방법
CN106373907B (zh) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 一种真空锁系统及其对基片的处理方法
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
CN116435172A (zh) * 2015-11-09 2023-07-14 应用材料公司 底部处理
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11145495B2 (en) * 2016-06-15 2021-10-12 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107958851B (zh) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 传输腔室及半导体加工设备
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN108470704B (zh) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 传片腔室及半导体加工设备
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (ja) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 基板処理システム
KR20220086578A (ko) * 2019-09-22 2022-06-23 어플라이드 머티어리얼스, 인코포레이티드 멀티-웨이퍼 볼륨 단일 이송 챔버 패싯
JP7418301B2 (ja) * 2020-01-07 2024-01-19 東京エレクトロン株式会社 水蒸気処理装置と水蒸気処理方法、基板処理システム、及びドライエッチング方法
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202230583A (zh) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 基板處理系統及微粒去除方法
KR102590738B1 (ko) * 2021-10-19 2023-10-18 주식회사 한화 기판 처리 장치 및 이를 이용한 기판 처리 방법
CN117096071B (zh) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 一种晶圆真空锁系统
CN117116728B (zh) * 2023-10-20 2023-12-19 江苏邑文微电子科技有限公司 刻蚀设备
CN117253773B (zh) * 2023-11-10 2024-01-23 雅安宇焜芯材材料科技有限公司 一种用于半导体制造的加热制备系统

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP2006303013A (ja) * 2005-04-18 2006-11-02 Tokyo Electron Ltd ロードロック装置及び処理方法
KR20110079832A (ko) * 2008-10-07 2011-07-08 어플라이드 머티어리얼스, 인코포레이티드 에칭된 기판으로부터 할로겐 잔류물의 효율적인 제거 장치

Family Cites Families (158)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (ja) 1987-02-20 1988-08-24 Anelva Corp 真空処理装置
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (ko) 1989-07-25 1998-12-01 카자마 젠쥬 종형 처리 장치 및 처리방법
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (ja) 1992-07-17 1994-03-25 Toshiba Corp 半導体集積回路用キャパシタ
US5376213A (en) 1992-07-28 1994-12-27 Tokyo Electron Limited Plasma processing apparatus
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (ja) 1994-03-30 2000-08-28 株式会社東芝 半導体基板洗浄処理装置
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (ko) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 반도체장치의제조방법
US20020104206A1 (en) 1996-03-08 2002-08-08 Mitsuhiro Hirano Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (ja) 1997-07-29 1999-02-26 Nec Corp 半導体装置の製造方法および製造装置
TW459266B (en) * 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (ko) 1998-05-15 2000-12-15 윤종용 커패시터 하부전극의 반구형 그레인 형성전 전처리방법
JPH11330199A (ja) * 1998-05-18 1999-11-30 Sony Corp 真空プロセス装置
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
EP1001459B1 (en) 1998-09-09 2011-11-09 Texas Instruments Incorporated Integrated circuit comprising a capacitor and method
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (ja) 1999-01-28 2002-12-03 キヤノン株式会社 減圧処理方法及び減圧処理装置
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (ja) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd 熱処理方法および熱処理装置
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2001319885A (ja) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc 基板処理装置及び半導体製造方法
DE60142320D1 (de) 2000-03-13 2010-07-22 Canon Kk Verfahren zur Herstellung eines Dünnfilms
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (ja) * 2000-05-31 2002-02-22 Canon Inc 露光装置、コートデベロップ装置、デバイス製造システム、デバイス製造方法、半導体製造工場および露光装置の保守方法
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
KR20020009332A (ko) 2000-07-26 2002-02-01 주승기 강유전체 박막의 결정화 방법
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (ja) 2000-10-25 2002-05-10 Tokyo Electron Ltd 処理装置
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (ja) 2001-09-05 2010-05-12 パナソニック株式会社 基板表面処理方法
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3921234B2 (ja) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 表面処理装置及びその製造方法
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP2003282462A (ja) * 2002-03-27 2003-10-03 Kyocera Corp シャワープレートとその製造方法及びそれを用いたシャワーヘッド
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
FR2847376B1 (fr) 2002-11-19 2005-02-04 France Telecom Procede de traitement de donnees sonores et dispositif d'acquisition sonore mettant en oeuvre ce procede
JP2004241420A (ja) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd 処理装置
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (ja) 2003-03-11 2008-12-03 シャープ株式会社 真空処理装置
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (ja) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd 半導体装置の製造方法およびドライエッチング装置
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) * 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4698251B2 (ja) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド 可動又は柔軟なシャワーヘッド取り付け
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7575220B2 (en) 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
KR101123624B1 (ko) * 2004-07-15 2012-03-20 주성엔지니어링(주) 반도체 제조 장치
US9576824B2 (en) 2005-02-22 2017-02-21 Spts Technologies Limited Etching chamber with subchamber
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法
JP4535499B2 (ja) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 加熱装置、塗布、現像装置及び加熱方法
JP4878782B2 (ja) 2005-07-05 2012-02-15 シャープ株式会社 プラズマ処理装置及びプラズマ処理方法
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP2007186757A (ja) * 2006-01-13 2007-07-26 Tokyo Electron Ltd 真空処理装置及び真空処理方法
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (ja) 2006-07-18 2010-09-29 株式会社日立製作所 記録再生装置及び記録再生方法
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
JP5349341B2 (ja) 2007-03-16 2013-11-20 ソースル シーオー エルティディー プラズマ処理装置及びプラズマ処理方法
JP4927623B2 (ja) 2007-03-30 2012-05-09 東京エレクトロン株式会社 ロードロック装置の昇圧方法
US8272825B2 (en) 2007-05-18 2012-09-25 Brooks Automation, Inc. Load lock fast pump vent
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (ja) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
KR100899355B1 (ko) 2007-11-15 2009-05-27 한국과학기술연구원 플라스마 증착 장치 및 방법
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP5214743B2 (ja) 2008-02-08 2013-06-19 ラム リサーチ コーポレーション プラズマ処理チャンバのパーツのための保護被覆およびその使用方法
SG188140A1 (en) 2008-02-08 2013-03-28 Lam Res Corp Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010026772A1 (ja) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 基板冷却方法及び半導体の製造方法
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
JP5545803B2 (ja) * 2009-06-30 2014-07-09 太平洋セメント株式会社 セラミックス多孔質焼結体の製造方法
KR101071344B1 (ko) * 2009-07-22 2011-10-07 세메스 주식회사 기판 처리 장치 및 방법
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
DE102009036180A1 (de) 2009-08-09 2011-02-10 Cfso Gmbh Photokatalysatorsystem für die Erzeugung von Strom
WO2011031521A2 (en) * 2009-08-27 2011-03-17 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
JP5549441B2 (ja) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 保持体機構、ロードロック装置、処理装置及び搬送機構
KR101147658B1 (ko) * 2010-02-10 2012-05-24 세메스 주식회사 플라즈마 처리 장치 및 이를 이용한 방법
JP2011174108A (ja) * 2010-02-23 2011-09-08 Tokyo Electron Ltd 冷却装置及びその冷却装置を備えた基板処理装置
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
JP6104823B2 (ja) 2011-03-01 2017-03-29 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄型加熱基板支持体
CN103370768B (zh) 2011-03-01 2017-05-31 应用材料公司 具有共享泵的真空腔室
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
JP2002158273A (ja) * 2000-11-22 2002-05-31 Anelva Corp 真空処理装置
JP2006303013A (ja) * 2005-04-18 2006-11-02 Tokyo Electron Ltd ロードロック装置及び処理方法
KR20110079832A (ko) * 2008-10-07 2011-07-08 어플라이드 머티어리얼스, 인코포레이티드 에칭된 기판으로부터 할로겐 잔류물의 효율적인 제거 장치

Also Published As

Publication number Publication date
JP2019110325A (ja) 2019-07-04
US10943788B2 (en) 2021-03-09
JP6545460B2 (ja) 2019-07-17
CN104137248A (zh) 2014-11-05
TW201344786A (zh) 2013-11-01
CN104137248B (zh) 2017-03-22
JP2015515742A (ja) 2015-05-28
US20200051825A1 (en) 2020-02-13
KR102068186B1 (ko) 2020-02-11
CN106847737B (zh) 2020-11-13
CN106847737A (zh) 2017-06-13
US20130224953A1 (en) 2013-08-29
WO2013130191A1 (en) 2013-09-06
US20200144067A1 (en) 2020-05-07
TWI564954B (zh) 2017-01-01
US10566205B2 (en) 2020-02-18
US20230162984A1 (en) 2023-05-25

Similar Documents

Publication Publication Date Title
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
US9735002B2 (en) Integrated apparatus for efficient removal of halogen residues from etched substrates
WO2015115002A1 (ja) 微細パターンの形成方法、半導体装置の製造方法、基板処理装置及び記録媒体
TWI389194B (zh) A substrate processing apparatus, a substrate processing method, and a memory medium
US10790138B2 (en) Method and system for selectively forming film
TWI778299B (zh) 高流速的、氣體吹掃的側儲存盒裝置、組件、及方法
JP2017538291A (ja) 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
JP6262333B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TWI600084B (zh) Gas treatment methods
KR20190034277A (ko) 기상 에칭 장치 및 기상 에칭 설비
WO2017022086A1 (ja) 半導体装置の製造方法、エッチング方法、及び基板処理装置並びに記録媒体
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
US20230133714A1 (en) Apparatus for treating substrate and method for treating substrate

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant