US20200144067A1 - Abatement and strip process chamber in a load lock configuration - Google Patents

Abatement and strip process chamber in a load lock configuration Download PDF

Info

Publication number
US20200144067A1
US20200144067A1 US16/730,362 US201916730362A US2020144067A1 US 20200144067 A1 US20200144067 A1 US 20200144067A1 US 201916730362 A US201916730362 A US 201916730362A US 2020144067 A1 US2020144067 A1 US 2020144067A1
Authority
US
United States
Prior art keywords
substrate
chamber
load lock
halogen
chamber volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US16/730,362
Inventor
Martin Jeffrey Salinas
Paul B. Reuter
Andrew Nguyen
Jared Ahmad Lee
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to US16/730,362 priority Critical patent/US20200144067A1/en
Assigned to APPLIED MATERIALS, INC. reassignment APPLIED MATERIALS, INC. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: LEE, JARED AHMAD, NGUYEN, ANDREW, REUTER, Paul B., SALINAS, MARTIN JEFFREY
Publication of US20200144067A1 publication Critical patent/US20200144067A1/en
Priority to US18/096,104 priority patent/US20230162984A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/67Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere
    • H01L2221/683Apparatus for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components; Apparatus not specifically provided for elsewhere for supporting or gripping

Definitions

  • Examples of the present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More particularly, examples of the present invention relate to a load lock chamber including one chamber volume configured for processing a substrate.
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million electronic devices (e.g., transistors) that are formed on a semiconductor substrate, such as a silicon (Si) substrate, and cooperate to perform various functions within the device.
  • the transistors used in the ULSI circuits are complementary metal-oxide-semiconductor (CMOS) field effect transistors.
  • CMOS complementary metal-oxide-semiconductor
  • Plasma etching is commonly used in the fabrication of transistors and other electronic devices.
  • a film stack e.g., layers of silicon, polysilicon, hafnium dioxide (HfO 2 ), silicon dioxide (SiO 2 ), metal materials, and the like
  • etchants comprising at least one halogen-containing gas, such as hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like.
  • halogen-containing gas such as hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like.
  • gaseous halogens and halogen-based reactants e.g., bromine (Br 2 ), chlorine(Cl 2 ), hydrogen chloride (HCl), and the like
  • halogen-based reactants e.g., bromine (Br 2 ), chlorine(Cl 2 ), hydrogen chloride (HCl), and the like
  • the released halogens and halogen-based reactants create particle contamination and cause corrosion of the interior of the processing systems and factory interfaces, as well as corrosion of exposed portions of metallic layers on the substrate. Cleaning of the processing systems and factory interfaces and replacement of the corroded parts is a time consuming and expensive procedure.
  • the etched substrate may be transferred into a remote plasma reactor to expose the etched substrate to a gas mixture that converts the halogen-containing residues to non-corrosive volatile compounds that may be out-gassed and pumped out of the reactor.
  • a remote plasma reactor to expose the etched substrate to a gas mixture that converts the halogen-containing residues to non-corrosive volatile compounds that may be out-gassed and pumped out of the reactor.
  • a dedicated process chamber along with an additional step, causing increased tool expense, reduced manufacturing productivity and throughput, resulting in high manufacturing cost.
  • Examples of the present invention generally provide apparatus and methods for processing a substrate. Particularly, examples of the present inventions provide a load lock chamber capable of processing a substrate, for example by exposing the substrate positioned therein to a reactive species.
  • Examples of the present invention include a method for removing halogen-containing residues from a substrate.
  • the method includes transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber.
  • the load lock chamber is coupled to a transfer chamber of the substrate processing system.
  • the substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly.
  • the chemistry includes halogen.
  • Halogen-containing residues are removed from the etched substrate in a second chamber volume of the load lock chamber. Cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • a method for removing halogen-containing residues from a substrate includes transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system.
  • the substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly.
  • the chemistry includes halogen.
  • Halogen-containing residues are removed from the etched substrate in a second chamber volume of the load lock chamber.
  • Removing halogen-containing residues further includes heating the substrate to a temperature that is greater than or equal to about 20 degrees Celsius and less than or equal to about 1000 degrees Celsius.
  • the etched substrate is cooled in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • a method for removing halogen-containing residues from a substrate includes transferring a substrate to a substrate processing system.
  • the substrate is transferred through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system.
  • the substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead.
  • the showerhead is disposed over a heated substrate support assembly.
  • the chemistry includes halogen.
  • the substrate is heated on a substrate support assembly for a predetermined time period. Heating the substrate removes halogen-containing residues from the etched substrate in a second chamber volume of the load lock chamber.
  • the method further includes cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • FIG. 1 is a schematic sectional view of a load lock chamber according to one example of the present invention.
  • FIG. 2 is a schematic sectional view of the load lock chamber of FIG. 1 in a different status than in FIG. 1 .
  • FIG. 3 is a schematic sectional view of a load lock chamber according to another example of the present invention.
  • FIG. 4 is a schematic sectional view of a load lock chamber according to another example of the present invention.
  • FIG. 5A is a schematic sectional view of the load lock chamber of FIG. 4 showing a lift assembly.
  • FIG. 5B is a schematic perspective view of a lift assembly according to one example of the present invention.
  • FIG. 6 is a schematic sectional view of a twin load lock chamber configuration according to one example of the present invention.
  • FIG. 7 is a plan view of a cluster tool system including load lock chambers according to one example of the present invention.
  • FIG. 8 is a flow diagram illustrating a method for processing a substrate according to one example of the present invention.
  • FIG. 9 is a flow diagram illustrating a method for processing a substrate according to another example of the present invention.
  • Examples of the present invention provide apparatus and methods for fabricating devices on a semiconductor substrate. More particularly, examples of the present invention a load lock chamber including two or more isolated chamber volumes, wherein one chamber volume is configured for processing a substrate and another chamber volume is configured to provide cooling to a substrate.
  • One example of the present invention provides a load lock chamber having at least two isolated chamber volumes formed in a chamber body assembly.
  • the at least two isolated chamber volumes may be vertically stacked.
  • the two chamber volumes are independently operable to increase throughput.
  • a first chamber volume may be used to process a substrate disposed therein using reactive species, for example removing halogen residual from the substrate or removing photoresist from the substrate.
  • a second chamber volume has two openings for substrate exchange between adjoining environments, such as an ambient environment of a factory interface and a vacuum environment of a transfer chamber.
  • a cooled substrate support may be disposed in the second chamber volume.
  • the cooled substrate support allows the processed substrates to be cooled down before exiting the vacuum environment, therefore, preventing undesirable reactions, such as silicon oxidation, which can result by exposing a warm substrate to the ambient atmosphere.
  • a substrate supporting shelf may be disposed in the second chamber volume to receive an additional substrate in the second chamber volume so that incoming and outgoing substrates may have separate slots to reduce cross contamination and improve throughput.
  • a third chamber volume may be stacked together between the first chamber volume for processing a substrate and the second chamber volume with the cooled substrate support. Similar to the second chamber volume, the third chamber volume has two openings for substrate exchange between adjoining isolated environments, such as an ambient environment of a factory interface and a vacuum environment of a transfer chamber.
  • the third chamber volume may be used to transfer incoming substrates from the factory interface to the transfer chamber while the second chamber volume may be used to transfer outgoing substrates from the transfer chamber to the factory interface. Because the incoming and outgoing substrates do not share the same chamber volume, potential for cross contamination is substantially elimiinated.
  • using separate chamber volumes for incoming and outgoing substrates also provides flexibility for the system.
  • FIG. 1 is a schematic sectional view of a load lock chamber 100 according to one example of the present invention.
  • the load lock chamber 100 has a chamber body assembly 110 defines three chamber volumes 110 , 120 and 130 .
  • the three chamber volumes 110 , 120 , and 130 are vertically stacked together and are isolated from one another.
  • the chamber volumes 110 and 120 are configured for transferring a substrate 104
  • the chamber volume 120 is configured for processing a substrate 104 .
  • the chamber body assembly 110 includes a sidewall 111 and a sidewall 112 .
  • the sidewall 111 and the sidewall 112 face opposite directions to interface with two environments.
  • the sidewall 111 may be adapted to connect to an ambient environment, such as present in a factory interface, while side wall 112 may be adapted to connect to a vacuum environment, such as a vacuum environment present in a transfer chamber.
  • the load lock chamber 100 may be used to exchange substrates between the two environments connected to the sidewalls 111 , 112 .
  • the chamber body assembly 110 may further include a chamber lid 116 , a chamber bottom 115 and interior walls 113 , 114 .
  • the interior walls 113 , 114 divide the interior of the load lock chamber 100 into the three chamber volumes 120 , 130 , and 140 .
  • the chamber volumes 130 , 140 function as load locks for substrate exchange and the chamber volume 120 is configured for processing a substrate.
  • the chamber volume 120 is defined between the sidewalls 111 , 112 , the chamber lid 116 and the interior wall 113 .
  • An opening 121 is formed through the sidewall 112 to allow a substrate to be transferred into and out of the chamber volume 120 .
  • a slit valve 122 is disposed to selectively seal the opening 121 .
  • the chamber volume 120 only has one opening 121 for substrate exchange, therefore, the chamber volume 120 cannot function as a load lock to exchange substrates between two environments.
  • the chamber volume 120 may be selected connected to a vacuum processing environment via the opening 121 .
  • an additional substrate exchange opening may be formed through the sidewall 111 to enable substrate exchange between the chamber volume 120 and the environment of the factory interface.
  • a heated substrate support assembly 125 is disposed in the chamber volume 120 for supporting and heating the substrate 104 .
  • the heated substrate support assembly 125 includes embedded heating elements 127 .
  • a thermal insulator 126 may be disposed between the heated substrate assembly 125 and the interior wall 113 to reduce thermal exchange between the chamber body assembly 110 and the heated substrate support assembly 125 .
  • a gas distribution showerhead 123 is disposed in the chamber volume 120 over the heated substrate support assembly 125 .
  • a lift hoop assembly 124 is movably disposed around the heated substrate support assembly 125 and the gas distribution showerhead 123 .
  • the lift hoop assembly 124 is configured to confine a processing environment within immediately around the substrate support assembly 125 in the chamber volume 120 , as well as being operable to load and unload substrates from the heated substrate support assembly 125 and substrate transfer robots (not shown).
  • Gas panels 101 , 102 may be used to provide processing gases to the chamber volume 120 through the gas distributing showerhead 123 into the chamber volume 120 .
  • a remote plasma source 103 may be disposed between the gas planes 101 , 102 and the gas distribution showerhead 123 so that dissociated species of processing gases may be supplied to the chamber volume 120 .
  • a RF power source may be applied between the gas distribution showerhead 123 and the heated substrate support assembly 125 to generate plasma within the chamber volume 120 .
  • the gas panel 101 may provide processing gases for an abatement process to remove residual material after etching and the gas panel 102 may provide processing gases for an ashing process to remove photoresist.
  • the chamber volume 130 is defined by the interior walls 113 , 114 , and the sidewalls 111 , 112 .
  • the chamber volume 130 is vertically stacked within the chamber body assembly 110 between the chamber volume 120 and chamber volume 140 . Opening 131 , 132 are formed through the sidewalls 112 , 111 to allow substrate exchange between the chamber volume 130 and two environments outside the chamber body assembly 110 .
  • a slit valve 133 is disposed to selectively seal the opening 131 .
  • a slit valve 134 is disposed to selectively seal the opening 132 .
  • the chamber volume 130 may include a substrate support assembly having at least one substrate slot for holding or storing substrate thereon.
  • the chamber volume 130 includes three or more substrate supporting pins 135 for supporting a substrate 104 thereon.
  • the three or more substrate supporting pins 135 may be fixedly positioned in the chamber volume 130 .
  • Other suitable substrate support such as a shelf, an edge ring, brackets, may be positioned in the chamber volume 130 for supporting
  • the chamber volume 130 may serve as a load lock chamber and be used to exchange substrates between the two environments connected to the sidewalls 111 , 112 .
  • the chamber volume 130 may also be used to store dummy substrates for testing or chamber cleaning.
  • the chamber volume 140 is defined by the sidewalls 111 , 112 , interior wall 114 and the chamber bottom 115 .
  • the chamber volume 140 is positioned below the chamber volume 130 .
  • Opening 141 , 142 are formed through the sidewalls 112 , 111 to allow substrate exchange between the chamber volume 140 and two environments outside the chamber body assembly 110 .
  • a slit valve 143 selectively seals the opening 141 .
  • a slit valve 144 selectively seals the opening 142 .
  • the slit valve 133 is designed not to obstruct the opening 141 while the slit valve 133 is positioned to seal the opening 131 , as shown in FIG. 1 .
  • the openings 131 , 141 may be opened and closed independently without affect one another.
  • the slit valve 133 may include a door coupled to an actuator through two poles positioned clear from the opening 141 .
  • the door of the slit valve 133 passes in front of the opening 141 during opening and closing. However, the opening 141 is unobstructed when the slit valve 133 is in closed position and the opened position.
  • other suitable designs may be used to enable independent operation of the slit valves 133 , 143 .
  • a cooled substrate support assembly 152 is configured to support and cool a substrate 104 within the chamber volume 140 .
  • the cooled substrate support assembly 152 includes a disk shaped body 145 having a substrate supporting surface 147 .
  • a plurality of cooling channels 146 are formed in the disk shaped body 145 .
  • a cooling fluid source 148 may be coupled to the cooling channels 146 to control the temperature of the disk shaped body 145 and the substrate 104 disposed thereon.
  • Lifting pins 149 may be used to lift the substrate 104 from the disk shaped body 145 .
  • the lifting pins 149 may be attached to a plate 150 coupled to an actuator 151 .
  • the chamber volume 140 may serve as a load lock chamber and be used to exchange substrates between the two environments connected to the sidewalls 111 , 112 .
  • the cooled substrate support assembly 152 provides cooling to the substrate 104 while passing the chamber volume 140 .
  • FIG. 2 is a schematic sectional view of the load lock chamber 100 wherein each chamber volume 120 , 130 , 140 are in a different state than as shown in FIG. 1 .
  • the chamber volume 120 is in substrate loading/unloading state with the lift hoop assembly 124 raised and the slit valve 122 opened.
  • the chamber volume 120 is in processing position with the lift hoop assembly 124 lowered to confine a processing volume around the substrate 104 and the slit valve 122 closed.
  • the chamber volume 130 is open to the ambient environment connected to the sidewall 111 with the slit valve 134 being open and the slit valve 133 being closed.
  • FIG. 1 the chamber volume 130 is open to the ambient environment connected to the sidewall 111 with the slit valve 134 being open and the slit valve 133 being closed.
  • the chamber volume 130 is open to the vacuum environment connected to the sidewall 112 with the slit valve 134 being closed and slit valve 133 being open.
  • the chamber volume 140 is open to the vacuum environment connected to the sidewall 112 with the slit value 143 being closed and the slit valve 144 being closed.
  • the substrate 104 rests on the cooled substrate support assembly 152 to be cooled.
  • the chamber volume 140 is open to the ambient environment connected to the sidewall 111 with the slit valve 143 being open and the slit valve 144 being closed.
  • the lift pins 149 are raised to position the substrate 104 in a loading/unloading position aligned with the opening 141 .
  • the load lock chamber 100 may be used in a substrate processing system to provide an interface between a processing environment and a factory interface. Compared to traditional load lock chambers, the load lock chamber 100 may provide several improvements to a substrate processing system. First, by having a substrate processing chamber volume stacked over chamber volumes for load lock, the load lock chamber 100 frees space to allow an additional processing tool to be coupled to the vacuum transfer chamber, thus improves system throughput without increasing the foot print of the processing system. By dedicating the chamber volume 120 to processing, the need to pump the chamber volume 120 from atmosphere to vacuum state is eliminated, therefore improving processing throughput.
  • the load lock chamber 100 may provide separate paths for incoming and outgoing substrates, thus, substantially avoiding cross contamination between pre-processed and post-processed substrates.
  • the load lock chamber 100 may provide cooling to a processed substrate before the processed substrate exits the processing system. The load lock chamber 100 reduces undesirable reactions on processed substrates because cooled substrates are less likely to react with atmosphere environment after exiting the processing system.
  • FIG. 3 is a schematic sectional view of a load lock chamber 300 according to another example of the present invention.
  • the load lock chamber 300 is similar to the load lock chamber 100 of FIGS. 1 and 2 except that a chamber body assembly 310 of the load lock chamber 300 does not include the chamber volume 130 disposed between the chamber volumes 120 and 140 .
  • the chamber volume 140 may be used as a load lock for both incoming and outgoing substrates.
  • the chamber volume 120 may be used as a load lock using a second opening 323 formed through the sidewall 111 and a slit valve 324 configured to selectively seal the opening 323 .
  • the load lock chamber 300 has fewer components, therefore, cost less and may be easier to maintain.
  • FIG. 4 is a schematic sectional view of a load lock chamber 400 according to another example of the present invention. Similar to the load lock chamber 300 , a chamber body assembly 410 of the load lock chamber 400 defines two chamber volumes, a chamber volume 430 positioned below the chamber volume 120 .
  • the chamber volume 120 may be dedicated to substrate processing and may only open to one side of the load lock chamber 400 via the opening 121 as the chamber volume 120 always remains under vacuum.
  • the chamber volume 430 may include a substrate supporting shelf 454 disposed above the cooled substrate support assembly 152 and configured to support a substrate 104 thereon.
  • the chamber volume 430 may be used to hold one substrate 104 on the substrate supporting shelf 454 and to hold and/or cool another substrate 104 on the cooled substrate support assembly 152 .
  • the substrate supporting shelf 454 may be dedicated for incoming substrates and the cooled substrate support assembly 152 for outgoing substrates, so that as to substantially eliminate potential for direct contamination between the incoming and outgoing substrates.
  • the chamber volume 430 may be used to transfer two substrates simultaneously.
  • the substrate supporting shelf 454 may be movably disposed over the cooled substrate support assembly 152 to enable substrate exchange.
  • the substrate supporting shelf 454 may include one or more posts 453 extending from a ring 452 .
  • the posts 453 are configured to provide support to a substrate 104 .
  • the ring 452 may be coupled to a lift assembly 450 to move the one or more posts 453 vertically within the chamber volume 430 .
  • the lift assembly 450 may be also coupled to a ring 451 connected to the lift pins 149 for raising a substrate from or lowering a substrate to the cooled substrate support assembly 152 .
  • the lift assembly 450 may be configured to move the substrate supporting shelf 454 and the lift pins 140 simultaneously.
  • the substrate supporting shelf 454 also moves up to ensure enough spacing between the substrate 104 on the lift pins 149 and the substrate supporting shelf 454 for loading or unloading.
  • FIG. 5A is a schematic sectional view of the load lock chamber 400 of FIG. 4 showing the lift assembly 450
  • FIG. 5B is a schematic perspective view of the lift assembly 450
  • the lift assembly 450 may include a motor 502 coupled to a shaft 504 and configured to rotate the shaft 504 .
  • the shaft 504 may have threaded portions 506 and 508 for driving the substrate supporting shelf 454 and the lift pins 149 respectively.
  • a threaded member 510 is coupled to the threaded portion 506 so that rotation of the shaft 504 moves the threaded member 510 along the shaft 504 .
  • a shaft 512 may be fixedly coupled between the threaded member 510 and the ring 452 to translate the vertical motion of the threaded member 510 to the ring 452 and the posts 453 .
  • a threaded member 514 is coupled to the threaded portion 508 so that rotation of the shaft 504 moves the threaded member 514 along the shaft 504 .
  • a shaft 516 may be fixedly coupled between the threaded member 514 and the ring 451 to translate the vertical motion of the threaded member 514 to the ring 451 and the lift pins 149 .
  • the shafts 512 , 516 may be concentrically disposed as shown in FIG. 5A .
  • the shafts 512 , 516 may be disposed apart from one another.
  • the threaded portions 506 and 508 may have different pitches so that the threaded members 510 , 514 move at different speeds (and thus distances) when the shaft 504 is rotated by the motor 502 .
  • pitches of the threaded portions 506 and 508 may be set so that the lift pins 149 moves faster than the substrate supporting shelf 454 , thus, the substrate supporting shelf 454 has a smaller range of motion than the lift pins 149 .
  • the lift pins 149 move about twice as fast as the substrate supporting shelf 454 .
  • the load lock chamber 400 may provide the chamber volumes 120 dedicated to processing substrates (i.e., no direct path to ambient environments), while provide cooling and separated paths for incoming and outgoing substrates to reduce cross contamination. Therefore, the load lock chamber 400 may be used to increase throughput, reduce contamination, and reduce undesired reactions on hot substrates.
  • FIG. 6 is a schematic sectional view of a twin load lock chamber 600 configuration according to one example of the present invention.
  • the twin load lock chamber 600 includes two load lock chambers 100 A, 100 B disposed side by side in a unitary chamber body assembly 610 . As shown in FIG. 6 , the two load lock chambers 100 A, 100 B may be mirror image of one another.
  • the load lock chambers 100 A, 100 B may operate independently from one another or in synchronicity.
  • the load lock chambers 100 A, 100 B are similar to the load lock chamber 100 of FIG. 1 .
  • the load lock chamber 100 A includes chamber volumes 120 A, 130 A, 140 A and the load lock chamber 100 B includes chamber volumes 120 B, 130 B, 140 B.
  • the load lock chambers 100 A, 100 B may share the gas sources 101 , 102 for processing substrates in the chamber volumes 120 A, 120 B.
  • Each chamber volume 120 A, 120 B may be coupled to a vacuum pump 602 A, 602 B through control valves 604 A, 604 B.
  • the vacuum pumps 602 A, 602 B are configured to maintain a vacuum environment in the chamber volumes 120 A, 120 B.
  • the chamber volumes 130 A, 140 A, 130 B, 140 B function as load lock volumes for substrate exchange.
  • the chamber volumes 130 A, 140 A, 1308 , 140 B may share one vacuum pump 606 .
  • Control valves 608 A, 610 A, 608 B, 610 B may be coupled between the vacuum pump 606 and the chamber volumes 130 A, 140 A, 130 B, 140 B to enable independent control.
  • FIG. 7 is a plan view of a cluster tool system 700 including load lock chambers according to one example of the present invention.
  • the cluster tool system 700 includes one or more load lock chambers according to examples of the present invention.
  • the cluster tool system 700 of FIG. 7 is shown incorporating the twin load lock chamber 600 .
  • load lock chambers 100 , 300 and 400 can also be utilized.
  • the cluster tool system 700 includes a system controller 744 , a plurality of processing chambers 712 and the twin load-lock chamber 600 that are coupled to a vacuum substrate transfer chamber 708 .
  • the transfer chamber 708 may have multiple sides and each side is configured to connect with a twin processing chamber 712 or the twin load lock chamber 600 .
  • three twin processing chambers 712 are coupled to the transfer chamber 708 .
  • the twin load lock chamber 600 is coupled to the transfer chamber 708 .
  • a factory interface 704 is selectively coupled to the transfer chamber 708 by the load lock chambers 100 A, 100 B of the twin load lock chamber 600 .
  • the factory interface 704 may include at least one docking station 702 and at least one factory interface robot 706 to facilitate transfer of substrates.
  • Each of the load lock chambers 100 A, 100 B of the twin load lock chamber 600 have two ports coupled to the factory interface 704 and three ports coupled to the transfer chamber 708 .
  • the I load lock chambers 100 A, 100 B are coupled to a pressure control system (not shown) which pumps down and vents chamber volumes in the load lock chambers 100 A, 100 B to facilitate substrate exchange between the vacuum environment of the transfer chamber 708 and the substantially ambient (e.g., atmospheric) environment of the factory interface 704 .
  • the transfer chamber 708 has a vacuum robot 710 disposed therein for transferring substrates among the load lock chambers 100 A, 100 B and the processing chambers 712 .
  • the vacuum robot 710 has two blades and is capable of simultaneously transferring two substrates among the load lock chambers 100 A, 100 B and the processing chambers 712 .
  • At least one process chambers 712 is an etch chamber.
  • the etch chamber may be a Decoupled Plasma Source (DPS) chamber available from Applied Materials, Inc.
  • the DPS etch chamber uses an inductive source to produce high-density plasma and comprises a source of radio-frequency (RF) power to bias the substrate.
  • at least one of the process chambers 712 may be one of a HARTTM, E-MAX®, DPS®, DPS II, PRODUCER E, or ENABLER® etch chamber also available from Applied Materials, Inc.
  • Other etch chambers including those from other manufacturers, may be utilized.
  • the etch chambers may use a halogen-containing gas to etch the substrate 924 therein.
  • halogen-containing gas examples include hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like. After etching the substrate 924 , halogen-containing residues may be left on the substrate surface.
  • the halogen-containing residues may be removed by a thermal abatement process in at least one of the load lock chambers 100 A, 100 B.
  • a thermal treatment process may be performed in one or both of the chamber volumes 120 A, 120 B of the load lock chambers 100 A, 100 B.
  • an ashing process may be performed in one or both of the chamber volumes 120 A, 120 B of the load lock chambers 100 A, 100 B.
  • the system controller 744 is coupled to the cluster tool system 700 .
  • the system controller 744 controls the operation of the cluster tool system 700 using a direct control of the process chambers 712 or alternatively, by controlling the computers (or controllers) associated with the processing chambers 712 and the cluster tool system 700 .
  • the system controller 744 enables data collection and feedback from the respective chambers and system controller 744 to optimize performance of the cluster tool system 700 .
  • the system controller 744 includes a central processing unit (CPU) 738 , a memory 740 , and support circuit 742 .
  • FIG. 8 is a flow diagram illustrating a method 800 for processing a substrate according to one example of the present invention.
  • the method 800 may be performed in the cluster tool system 700 in FIG. 7 having load lock chambers 100 A, 100 B with three chamber volumes. It is contemplated that the method 800 may be performed in other suitable processing systems, including those from other manufacturers.
  • the method 800 begins at box 810 by receiving a substrate having a layer disposed thereon from a factory interface, such as the factory interface 704 in FIG. 7 , in a first chamber volume of a load lock chamber coupled to the factory interface, such as the chamber volume 130 A or 130 B of the load lock chamber 100 A or 100 B.
  • the first chamber volume containing the substrate may be pumped down to a vacuum level equal to that of a transfer chamber coupled to the load lock chamber.
  • the substrate is then transferred from the load lock chamber to the transfer chamber.
  • the first chamber volume of the load lock chamber may be dedicated to provide paths to incoming substrates only.
  • the substrate is transferred to one or more processing chambers coupled to the transfer chamber for one or more processes.
  • the processes may include etching one or more films, such as a polymer film, on the substrates under a patterned mask using a halogen-containing gas.
  • the patterned mask may include photoresist and/or hard mask.
  • Suitable examples of halogen-containing gas include, but not limited to, hydrogen bromide (HBr), chlorine (Cl 2 ), carbon tetrafluoride (CF 4 ), and the like.
  • the etching processes may leave halogen containing residue on the substrate.
  • the substrate may be transferred from the first chamber volume of the load lock chamber to a second chamber volume of the load lock chamber through the transfer chamber for a pre-heating prior to being processed in the processing chambers.
  • the substrate may be transferred from the chamber volume 130 to the chamber volume 120 to be pre-heated on the heated substrate support 125 .
  • the substrate may be preheated to a temperature between about 20 degrees Celsius and about 400 degrees Celsius.
  • the substrate is transferred to the second chamber volume of the load lock chamber.
  • the second chamber volume such as the chamber volume 120 of the load lock chamber 100 , may be dedicated to substrate processing. Depending on processing recipe, the second chamber volume of the load lock chamber may be configured to different processes.
  • thermal treatment process may be performed on a the substrate to remove the halogen-containing residues from the substrate generated during processing of box 830 prior to exposure to atmospheric conditions in the factory interface or other locations.
  • the substrate may be transferred to the chamber volume 120 of the load lock chamber 100 to remove the halogen containing residues.
  • a thermal treatment may be performed to etched substrate in the second chamber volume of the load lock chamber to remove the halogen-containing residues.
  • the substrate may placed on the heated substrate support assembly 125 of the chamber volume 120 of the load lock chamber 100 .
  • the heated substrate support assembly 125 heats the substrate to a temperature between about 20 degrees Celsius and about 1000 degrees Celsius, such as between about 150 degrees Celsius and about 300 degrees Celsius, for example about 250 degrees Celsius, at between about 5 seconds and about 30 seconds.
  • the rapid heating of the substrate by heated substrate support assembly 125 allows the halogen-containing residues on the etched substrate to be removed without increasing process cycle time which would be encountered if the residues were removed in one if the processing chambers.
  • the substrate may be heated by the heated substrate support assembly 125 at a predetermined time period until the halogen-containing residues are removed from the etched substrate.
  • plasma of a gas mixture may be used to promote the conversion of the halogen containing residues into non-corrosive volatile compounds, thereby increasing the removal efficiency of the halogen-containing residues from the etched substrate surface.
  • the gas mixture may include an oxygen-containing gas, such as O 2 , O 3 , water vapor (H 2 O), a hydrogen-containing gas, such as H 2 , forming gas, water vapor (H 2 O), alkanes, alkenes, and the like, or an inert gas, such as a nitrogen gas (N 2 ), argon (Ar), helium (He), and the like.
  • the gas mixture may include oxygen, nitrogen, and a hydrogen-containing gas.
  • the hydrogen-containing gas is at least one of hydrogen (H 2 ) and water vapor (H 2 O).
  • the thermal treatment process may be in the form of an ashing process performed in a chamber volume of the load lock chamber after the substrate being etched in the cluster tool system to remove the mask layers or a photoresist layer from the substrate.
  • an oxygen-based plasma may be supplied to the chamber volume of the load lock chamber white the temperature of the substrate may be maintained at 15 to 300 degrees Celsius.
  • oxidizing gases can be used including, but not limited to, O 2 O 3 , N 2 O, H 2 O, CO, CO 2 , alcohols, and various combinations of these gases.
  • nonoxidizing gases may be used including, but not limited to, N 2 , H 2 O, H 2 , forming gas, NH 3 , CH 4 , C 2 H 6 , various halogenated gases (CF 4 , NF 3 , C 2 F 6 , C 4 F 8 , CH 3 F, CH 2 F 2 , CHF 3 ), combinations of these gases and the like.
  • mask and/or photoresist layer may be stripped simultaneously at box 850 .
  • the substrate may be transferred from the second chamber volume of the load lock chamber to a third chamber volume of the load lock chamber through the transfer chamber.
  • the third chamber volume of the load lock chamber may be dedicated to provide path to outgoing substrates.
  • the third chamber volume may be chamber volume 140 of the load lock chamber 100 .
  • the substrate is cooled in the third chamber volume of the load lock chamber.
  • the substrate may be lowered to a cooled substrate support assembly, such as the cooled substrate support assembly 152 of the load lock chamber 100 , for cooling.
  • the third chamber volume is vented to atmosphere pressure and the cooled substrate is returned to the factory interface. Since the substrate is cooled prior to exposing to atmosphere, undesirable reactions, such as silicon oxidation, are reduced.
  • FIG. 9 is a flow diagram illustrating a method 900 for processing a substrate according to another example of the present invention.
  • the method 900 is similar to the method 800 , except the method 900 is performed in a cluster tool having load lock chambers with two chamber volumes, such as load lock chambers 300 , 400 described above.
  • a substrate having a layer disposed thereon is transferred from a factory interface, such as the factory interface 704 in FIG. 7 , to a first chamber volume of a load lock chamber coupled to the factory interface.
  • a factory interface such as the factory interface 704 in FIG. 7
  • the substrate may be transferred to the chamber volume 140 so that the chamber volume 120 can be dedicated to processing substrates.
  • the load lock chamber 400 when the load lock chamber 400 is used, the substrate may be transferred to the substrate supporting shelf 454 of the chamber volume 430 .
  • the first chamber volume containing the substrate may be pumped down to a vacuum level equal to that of a transfer chamber coupled to the load lock chamber. The substrate is then transferred from the load lock chamber to the transfer chamber.
  • the substrate is transferred to one or more processing chambers coupled to the transfer chamber for one or more processes.
  • the processes may include etching one or more films, such as a polymer film, on the substrates under a patterned mask using a halogen-containing gas.
  • the substrate is transferred to the second chamber volume of the load lock chamber to remove residues and/or hard mask or photoresist.
  • the second chamber volume such as the chamber volume 120 of the load lock chamber 300 or the load lock chamber 400 , may be dedicated to substrate processing. Depending on the process recipe, the second chamber volume of the load lock chamber may be configured to different processes. Similar to the processes described at box 850 , a stripping process, an ashing process, or both stripping and ashing processes may be performed to the substrate to remove any desired combination of the halogen-containing residues, hard mask, and photoresist.
  • the substrate may be transferred from the second chamber volume of the load lock chamber back to the chamber volume of the load lock chamber through the transfer chamber to be cooled.
  • the substrate is cooled in the first chamber volume of the load lock chamber.
  • the substrate may be lowered to a cooled substrate support assembly, such as the cooled substrate support assembly 152 of the load lock chamber 300 or 400 , for cooling.
  • the first chamber volume is vented to atmosphere pressure and the cooled substrate is returned to the factory interface.

Abstract

Examples of the present invention include a method for removing halogen-containing residues from a substrate. The method includes transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber. The load lock chamber is coupled to a transfer chamber of the substrate processing system. The substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly. The chemistry includes halogen. Halogen-containing residues are removed from the etched substrate in a second chamber volume of the load lock chamber. Cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.

Description

    CROSS-REFERENCE TO RELATED APPLICATIONS
  • This application is a divisional of U.S. patent application Ser. No. 13/746,831, filed Jan. 22, 2013, which claims benefit of U.S. Provisional Patent Application Ser. No. 61/604,990, filed Feb. 29, 2012, both of which are herein incorporated by reference in their entireties.
  • BACKGROUND Field
  • Examples of the present invention generally relates to a method and apparatus for fabricating devices on a semiconductor substrate. More particularly, examples of the present invention relate to a load lock chamber including one chamber volume configured for processing a substrate.
  • Description of the Related Art
  • Ultra-large-scale integrated (ULSI) circuits may include more than one million electronic devices (e.g., transistors) that are formed on a semiconductor substrate, such as a silicon (Si) substrate, and cooperate to perform various functions within the device. Typically, the transistors used in the ULSI circuits are complementary metal-oxide-semiconductor (CMOS) field effect transistors.
  • Plasma etching is commonly used in the fabrication of transistors and other electronic devices. During plasma etch processes used to form transistor structures, one or more layers of a film stack (e.g., layers of silicon, polysilicon, hafnium dioxide (HfO2), silicon dioxide (SiO2), metal materials, and the like) are commonly exposed to etchants comprising at least one halogen-containing gas, such as hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), and the like. Such processes cause a halogen-containing residue to build up on the surfaces of the etched features, etch masks, and elsewhere on the substrate.
  • When exposed to a non-vacuumed environment (e.g., within factory interfaces or substrate storage cassettes) and/or during consecutive processing, gaseous halogens and halogen-based reactants (e.g., bromine (Br2), chlorine(Cl2), hydrogen chloride (HCl), and the like) may be released from the halogen-containing residues deposited during etching. The released halogens and halogen-based reactants create particle contamination and cause corrosion of the interior of the processing systems and factory interfaces, as well as corrosion of exposed portions of metallic layers on the substrate. Cleaning of the processing systems and factory interfaces and replacement of the corroded parts is a time consuming and expensive procedure.
  • Several processes have been developed to remove the halogen-containing residues on the etched substrates. For example, the etched substrate may be transferred into a remote plasma reactor to expose the etched substrate to a gas mixture that converts the halogen-containing residues to non-corrosive volatile compounds that may be out-gassed and pumped out of the reactor. However, such process requires a dedicated process chamber along with an additional step, causing increased tool expense, reduced manufacturing productivity and throughput, resulting in high manufacturing cost.
  • Therefore, there is a need for an improved method and apparatus for removing halogen-containing residues from a substrate.
  • SUMMARY
  • Examples of the present invention generally provide apparatus and methods for processing a substrate. Particularly, examples of the present inventions provide a load lock chamber capable of processing a substrate, for example by exposing the substrate positioned therein to a reactive species.
  • Examples of the present invention include a method for removing halogen-containing residues from a substrate. The method includes transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber. The load lock chamber is coupled to a transfer chamber of the substrate processing system. The substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly. The chemistry includes halogen. Halogen-containing residues are removed from the etched substrate in a second chamber volume of the load lock chamber. Cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • In another example, a method for removing halogen-containing residues from a substrate is disclosed. The method includes transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system. The substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly. The chemistry includes halogen. Halogen-containing residues are removed from the etched substrate in a second chamber volume of the load lock chamber. Removing halogen-containing residues further includes heating the substrate to a temperature that is greater than or equal to about 20 degrees Celsius and less than or equal to about 1000 degrees Celsius. The etched substrate is cooled in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • In yet another example, a method for removing halogen-containing residues from a substrate includes transferring a substrate to a substrate processing system. The substrate is transferred through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system. The substrate is etched in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead. The showerhead is disposed over a heated substrate support assembly. The chemistry includes halogen. The substrate is heated on a substrate support assembly for a predetermined time period. Heating the substrate removes halogen-containing residues from the etched substrate in a second chamber volume of the load lock chamber. The method further includes cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to examples, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical examples of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective examples.
  • FIG. 1 is a schematic sectional view of a load lock chamber according to one example of the present invention.
  • FIG. 2 is a schematic sectional view of the load lock chamber of FIG. 1 in a different status than in FIG. 1.
  • FIG. 3 is a schematic sectional view of a load lock chamber according to another example of the present invention.
  • FIG. 4 is a schematic sectional view of a load lock chamber according to another example of the present invention.
  • FIG. 5A is a schematic sectional view of the load lock chamber of FIG. 4 showing a lift assembly.
  • FIG. 5B is a schematic perspective view of a lift assembly according to one example of the present invention.
  • FIG. 6 is a schematic sectional view of a twin load lock chamber configuration according to one example of the present invention.
  • FIG. 7 is a plan view of a cluster tool system including load lock chambers according to one example of the present invention.
  • FIG. 8 is a flow diagram illustrating a method for processing a substrate according to one example of the present invention.
  • FIG. 9 is a flow diagram illustrating a method for processing a substrate according to another example of the present invention.
  • To facilitate understanding, identical reference numerals have been used, where possible, to designate identical elements that are common to the figures. It is contemplated that elements disclosed in one example may be beneficially utilized on other examples without specific recitation.
  • DETAILED DESCRIPTION
  • Examples of the present invention provide apparatus and methods for fabricating devices on a semiconductor substrate. More particularly, examples of the present invention a load lock chamber including two or more isolated chamber volumes, wherein one chamber volume is configured for processing a substrate and another chamber volume is configured to provide cooling to a substrate.
  • One example of the present invention provides a load lock chamber having at least two isolated chamber volumes formed in a chamber body assembly. The at least two isolated chamber volumes may be vertically stacked. The two chamber volumes are independently operable to increase throughput. A first chamber volume may be used to process a substrate disposed therein using reactive species, for example removing halogen residual from the substrate or removing photoresist from the substrate. A second chamber volume has two openings for substrate exchange between adjoining environments, such as an ambient environment of a factory interface and a vacuum environment of a transfer chamber. In one example, a cooled substrate support may be disposed in the second chamber volume. The cooled substrate support allows the processed substrates to be cooled down before exiting the vacuum environment, therefore, preventing undesirable reactions, such as silicon oxidation, which can result by exposing a warm substrate to the ambient atmosphere. In one example, a substrate supporting shelf may be disposed in the second chamber volume to receive an additional substrate in the second chamber volume so that incoming and outgoing substrates may have separate slots to reduce cross contamination and improve throughput. By including a chamber volume for processing substrates in a load lock chamber, additional locations become available in a processing system to accommodate additional processing chambers, thus increasing throughput without increasing footprint of the processing system. Additionally, using a cooled substrate support in a load lock chamber improves process quality by reduce undesirable reactions when processed substrate are exposed to atmosphere.
  • Another example of the present invention includes a load lock chamber having three chamber volumes. A third chamber volume may be stacked together between the first chamber volume for processing a substrate and the second chamber volume with the cooled substrate support. Similar to the second chamber volume, the third chamber volume has two openings for substrate exchange between adjoining isolated environments, such as an ambient environment of a factory interface and a vacuum environment of a transfer chamber. For example, the third chamber volume may be used to transfer incoming substrates from the factory interface to the transfer chamber while the second chamber volume may be used to transfer outgoing substrates from the transfer chamber to the factory interface. Because the incoming and outgoing substrates do not share the same chamber volume, potential for cross contamination is substantially elimiinated. Furthermore, using separate chamber volumes for incoming and outgoing substrates also provides flexibility for the system.
  • FIG. 1 is a schematic sectional view of a load lock chamber 100 according to one example of the present invention. The load lock chamber 100 has a chamber body assembly 110 defines three chamber volumes 110, 120 and 130. The three chamber volumes 110, 120, and 130 are vertically stacked together and are isolated from one another. The chamber volumes 110 and 120 are configured for transferring a substrate 104, and the chamber volume 120 is configured for processing a substrate 104.
  • In one example, the chamber body assembly 110 includes a sidewall 111 and a sidewall 112. The sidewall 111 and the sidewall 112 face opposite directions to interface with two environments. The sidewall 111 may be adapted to connect to an ambient environment, such as present in a factory interface, while side wall 112 may be adapted to connect to a vacuum environment, such as a vacuum environment present in a transfer chamber. The load lock chamber 100 may be used to exchange substrates between the two environments connected to the sidewalls 111, 112. The chamber body assembly 110 may further include a chamber lid 116, a chamber bottom 115 and interior walls 113, 114. The interior walls 113, 114 divide the interior of the load lock chamber 100 into the three chamber volumes 120, 130, and 140. The chamber volumes 130, 140 function as load locks for substrate exchange and the chamber volume 120 is configured for processing a substrate.
  • The chamber volume 120 is defined between the sidewalls 111, 112, the chamber lid 116 and the interior wall 113. An opening 121 is formed through the sidewall 112 to allow a substrate to be transferred into and out of the chamber volume 120. A slit valve 122 is disposed to selectively seal the opening 121. In the example shown in FIG. 1, the chamber volume 120 only has one opening 121 for substrate exchange, therefore, the chamber volume 120 cannot function as a load lock to exchange substrates between two environments. During operation, the chamber volume 120 may be selected connected to a vacuum processing environment via the opening 121. Optionally, an additional substrate exchange opening may be formed through the sidewall 111 to enable substrate exchange between the chamber volume 120 and the environment of the factory interface.
  • A heated substrate support assembly 125 is disposed in the chamber volume 120 for supporting and heating the substrate 104. According to one example, the heated substrate support assembly 125 includes embedded heating elements 127. A thermal insulator 126 may be disposed between the heated substrate assembly 125 and the interior wall 113 to reduce thermal exchange between the chamber body assembly 110 and the heated substrate support assembly 125. A gas distribution showerhead 123 is disposed in the chamber volume 120 over the heated substrate support assembly 125. A lift hoop assembly 124 is movably disposed around the heated substrate support assembly 125 and the gas distribution showerhead 123. The lift hoop assembly 124 is configured to confine a processing environment within immediately around the substrate support assembly 125 in the chamber volume 120, as well as being operable to load and unload substrates from the heated substrate support assembly 125 and substrate transfer robots (not shown).
  • Gas panels 101, 102 may be used to provide processing gases to the chamber volume 120 through the gas distributing showerhead 123 into the chamber volume 120. In one example, a remote plasma source 103 may be disposed between the gas planes 101, 102 and the gas distribution showerhead 123 so that dissociated species of processing gases may be supplied to the chamber volume 120. Alternatively, a RF power source may be applied between the gas distribution showerhead 123 and the heated substrate support assembly 125 to generate plasma within the chamber volume 120. In one example, the gas panel 101 may provide processing gases for an abatement process to remove residual material after etching and the gas panel 102 may provide processing gases for an ashing process to remove photoresist.
  • A more detailed description of apparatus and methods for processing a substrate in a chamber volume of a load lock chamber can be found in U.S. Provisional Patent Application Ser. No. 61/448,027, filed Mar. 1, 2011, entitled “Abatement and Strip process Chamber in a Dual Loadlock Configuration.
  • The chamber volume 130 is defined by the interior walls 113, 114, and the sidewalls 111, 112. The chamber volume 130 is vertically stacked within the chamber body assembly 110 between the chamber volume 120 and chamber volume 140. Opening 131, 132 are formed through the sidewalls 112, 111 to allow substrate exchange between the chamber volume 130 and two environments outside the chamber body assembly 110. A slit valve 133 is disposed to selectively seal the opening 131. A slit valve 134 is disposed to selectively seal the opening 132. The chamber volume 130 may include a substrate support assembly having at least one substrate slot for holding or storing substrate thereon. In one example, the chamber volume 130 includes three or more substrate supporting pins 135 for supporting a substrate 104 thereon. The three or more substrate supporting pins 135 may be fixedly positioned in the chamber volume 130. Other suitable substrate support, such as a shelf, an edge ring, brackets, may be positioned in the chamber volume 130 for supporting a substrate thereon.
  • The chamber volume 130 may serve as a load lock chamber and be used to exchange substrates between the two environments connected to the sidewalls 111, 112. The chamber volume 130 may also be used to store dummy substrates for testing or chamber cleaning.
  • The chamber volume 140 is defined by the sidewalls 111, 112, interior wall 114 and the chamber bottom 115. The chamber volume 140 is positioned below the chamber volume 130. Opening 141, 142 are formed through the sidewalls 112, 111 to allow substrate exchange between the chamber volume 140 and two environments outside the chamber body assembly 110. A slit valve 143 selectively seals the opening 141. A slit valve 144 selectively seals the opening 142. The slit valve 133 is designed not to obstruct the opening 141 while the slit valve 133 is positioned to seal the opening 131, as shown in FIG. 1. The openings 131, 141 may be opened and closed independently without affect one another. In one example, the slit valve 133 may include a door coupled to an actuator through two poles positioned clear from the opening 141. The door of the slit valve 133 passes in front of the opening 141 during opening and closing. However, the opening 141 is unobstructed when the slit valve 133 is in closed position and the opened position. It should be noted, other suitable designs may be used to enable independent operation of the slit valves 133, 143.
  • A cooled substrate support assembly 152 is configured to support and cool a substrate 104 within the chamber volume 140. The cooled substrate support assembly 152 includes a disk shaped body 145 having a substrate supporting surface 147. A plurality of cooling channels 146 are formed in the disk shaped body 145. A cooling fluid source 148 may be coupled to the cooling channels 146 to control the temperature of the disk shaped body 145 and the substrate 104 disposed thereon. Lifting pins 149 may be used to lift the substrate 104 from the disk shaped body 145. The lifting pins 149 may be attached to a plate 150 coupled to an actuator 151.
  • The chamber volume 140 may serve as a load lock chamber and be used to exchange substrates between the two environments connected to the sidewalls 111, 112. The cooled substrate support assembly 152 provides cooling to the substrate 104 while passing the chamber volume 140.
  • FIG. 2 is a schematic sectional view of the load lock chamber 100 wherein each chamber volume 120, 130, 140 are in a different state than as shown in FIG. 1. In FIG. 1, the chamber volume 120 is in substrate loading/unloading state with the lift hoop assembly 124 raised and the slit valve 122 opened. In FIG. 2, the chamber volume 120 is in processing position with the lift hoop assembly 124 lowered to confine a processing volume around the substrate 104 and the slit valve 122 closed. In FIG. 1, the chamber volume 130 is open to the ambient environment connected to the sidewall 111 with the slit valve 134 being open and the slit valve 133 being closed. In FIG. 2, the chamber volume 130 is open to the vacuum environment connected to the sidewall 112 with the slit valve 134 being closed and slit valve 133 being open. In FIG. 1, the chamber volume 140 is open to the vacuum environment connected to the sidewall 112 with the slit value 143 being closed and the slit valve 144 being closed. The substrate 104 rests on the cooled substrate support assembly 152 to be cooled. In FIG. 2, the chamber volume 140 is open to the ambient environment connected to the sidewall 111 with the slit valve 143 being open and the slit valve 144 being closed. The lift pins 149 are raised to position the substrate 104 in a loading/unloading position aligned with the opening 141.
  • The load lock chamber 100 may be used in a substrate processing system to provide an interface between a processing environment and a factory interface. Compared to traditional load lock chambers, the load lock chamber 100 may provide several improvements to a substrate processing system. First, by having a substrate processing chamber volume stacked over chamber volumes for load lock, the load lock chamber 100 frees space to allow an additional processing tool to be coupled to the vacuum transfer chamber, thus improves system throughput without increasing the foot print of the processing system. By dedicating the chamber volume 120 to processing, the need to pump the chamber volume 120 from atmosphere to vacuum state is eliminated, therefore improving processing throughput. Second, by having two chamber volumes as load lock, the load lock chamber 100 may provide separate paths for incoming and outgoing substrates, thus, substantially avoiding cross contamination between pre-processed and post-processed substrates. Third, by providing in a cooled substrate support assembly in a chamber volume, the load lock chamber 100 may provide cooling to a processed substrate before the processed substrate exits the processing system. The load lock chamber 100 reduces undesirable reactions on processed substrates because cooled substrates are less likely to react with atmosphere environment after exiting the processing system.
  • FIG. 3 is a schematic sectional view of a load lock chamber 300 according to another example of the present invention. The load lock chamber 300 is similar to the load lock chamber 100 of FIGS. 1 and 2 except that a chamber body assembly 310 of the load lock chamber 300 does not include the chamber volume 130 disposed between the chamber volumes 120 and 140. In the load lock chamber 300, the chamber volume 140 may be used as a load lock for both incoming and outgoing substrates. Alternatively, the chamber volume 120 may be used as a load lock using a second opening 323 formed through the sidewall 111 and a slit valve 324 configured to selectively seal the opening 323. Compared to the load lock chamber 100, the load lock chamber 300 has fewer components, therefore, cost less and may be easier to maintain.
  • FIG. 4 is a schematic sectional view of a load lock chamber 400 according to another example of the present invention. Similar to the load lock chamber 300, a chamber body assembly 410 of the load lock chamber 400 defines two chamber volumes, a chamber volume 430 positioned below the chamber volume 120. The chamber volume 120 may be dedicated to substrate processing and may only open to one side of the load lock chamber 400 via the opening 121 as the chamber volume 120 always remains under vacuum.
  • The chamber volume 430 may include a substrate supporting shelf 454 disposed above the cooled substrate support assembly 152 and configured to support a substrate 104 thereon. The chamber volume 430 may be used to hold one substrate 104 on the substrate supporting shelf 454 and to hold and/or cool another substrate 104 on the cooled substrate support assembly 152. In one example, the substrate supporting shelf 454 may be dedicated for incoming substrates and the cooled substrate support assembly 152 for outgoing substrates, so that as to substantially eliminate potential for direct contamination between the incoming and outgoing substrates. Alternatively, the chamber volume 430 may be used to transfer two substrates simultaneously.
  • In one example, the substrate supporting shelf 454 may be movably disposed over the cooled substrate support assembly 152 to enable substrate exchange. As shown in FIG. 4, the substrate supporting shelf 454 may include one or more posts 453 extending from a ring 452. The posts 453 are configured to provide support to a substrate 104. The ring 452 may be coupled to a lift assembly 450 to move the one or more posts 453 vertically within the chamber volume 430. In one example, the lift assembly 450 may be also coupled to a ring 451 connected to the lift pins 149 for raising a substrate from or lowering a substrate to the cooled substrate support assembly 152. In one example, the lift assembly 450 may be configured to move the substrate supporting shelf 454 and the lift pins 140 simultaneously. When the lift pins 149 raise to pick up the substrate 104 disposed on the cooled substrate support 152, the substrate supporting shelf 454 also moves up to ensure enough spacing between the substrate 104 on the lift pins 149 and the substrate supporting shelf 454 for loading or unloading.
  • FIG. 5A is a schematic sectional view of the load lock chamber 400 of FIG. 4 showing the lift assembly 450 and FIG. 5B is a schematic perspective view of the lift assembly 450. The lift assembly 450 may include a motor 502 coupled to a shaft 504 and configured to rotate the shaft 504. The shaft 504 may have threaded portions 506 and 508 for driving the substrate supporting shelf 454 and the lift pins 149 respectively. A threaded member 510 is coupled to the threaded portion 506 so that rotation of the shaft 504 moves the threaded member 510 along the shaft 504. A shaft 512 may be fixedly coupled between the threaded member 510 and the ring 452 to translate the vertical motion of the threaded member 510 to the ring 452 and the posts 453. Similarly, a threaded member 514 is coupled to the threaded portion 508 so that rotation of the shaft 504 moves the threaded member 514 along the shaft 504. A shaft 516 may be fixedly coupled between the threaded member 514 and the ring 451 to translate the vertical motion of the threaded member 514 to the ring 451 and the lift pins 149. In one example, the shafts 512, 516 may be concentrically disposed as shown in FIG. 5A. Alternatively, the shafts 512, 516 may be disposed apart from one another.
  • In one example, the threaded portions 506 and 508 may have different pitches so that the threaded members 510, 514 move at different speeds (and thus distances) when the shaft 504 is rotated by the motor 502. In one example, pitches of the threaded portions 506 and 508 may be set so that the lift pins 149 moves faster than the substrate supporting shelf 454, thus, the substrate supporting shelf 454 has a smaller range of motion than the lift pins 149. By moving the substrate support shelf 454 and the lift pins 149 in distances as short as possible, the height of the chamber volume 430 can be minimized, thereby reducing pumping time and requirements. In one example, the lift pins 149 move about twice as fast as the substrate supporting shelf 454.
  • The load lock chamber 400 may provide the chamber volumes 120 dedicated to processing substrates (i.e., no direct path to ambient environments), while provide cooling and separated paths for incoming and outgoing substrates to reduce cross contamination. Therefore, the load lock chamber 400 may be used to increase throughput, reduce contamination, and reduce undesired reactions on hot substrates.
  • Load lock chambers according to examples of the present invention may be used in pairs to double the productivity. FIG. 6 is a schematic sectional view of a twin load lock chamber 600 configuration according to one example of the present invention. The twin load lock chamber 600 includes two load lock chambers 100A, 100B disposed side by side in a unitary chamber body assembly 610. As shown in FIG. 6, the two load lock chambers 100A, 100B may be mirror image of one another. The load lock chambers 100A, 100B may operate independently from one another or in synchronicity.
  • The load lock chambers 100A, 100B are similar to the load lock chamber 100 of FIG. 1. The load lock chamber 100A includes chamber volumes 120A, 130A, 140A and the load lock chamber 100B includes chamber volumes 120B, 130B, 140B. The load lock chambers 100A, 100B may share the gas sources 101, 102 for processing substrates in the chamber volumes 120A, 120B. Each chamber volume 120A, 120B may be coupled to a vacuum pump 602A, 602B through control valves 604A, 604B. The vacuum pumps 602A, 602B are configured to maintain a vacuum environment in the chamber volumes 120A, 120B. The chamber volumes 130A, 140A, 130B, 140B function as load lock volumes for substrate exchange. In one example, the chamber volumes 130A, 140A, 1308, 140B may share one vacuum pump 606. Control valves 608A, 610A, 608B, 610B may be coupled between the vacuum pump 606 and the chamber volumes 130A, 140A, 130B, 140B to enable independent control.
  • The load lock chambers according to examples of the present invention may be used to provide interface between a substrate processing system and a factory interface in a cluster tool. FIG. 7 is a plan view of a cluster tool system 700 including load lock chambers according to one example of the present invention. The cluster tool system 700 includes one or more load lock chambers according to examples of the present invention. The cluster tool system 700 of FIG. 7 is shown incorporating the twin load lock chamber 600. However, it should be noted that load lock chambers 100, 300 and 400 can also be utilized.
  • The cluster tool system 700 includes a system controller 744, a plurality of processing chambers 712 and the twin load-lock chamber 600 that are coupled to a vacuum substrate transfer chamber 708. In one example, the transfer chamber 708 may have multiple sides and each side is configured to connect with a twin processing chamber 712 or the twin load lock chamber 600. As shown in FIG. 7, three twin processing chambers 712 are coupled to the transfer chamber 708. The twin load lock chamber 600 is coupled to the transfer chamber 708. A factory interface 704 is selectively coupled to the transfer chamber 708 by the load lock chambers 100A, 100B of the twin load lock chamber 600.
  • The factory interface 704 may include at least one docking station 702 and at least one factory interface robot 706 to facilitate transfer of substrates. Each of the load lock chambers 100A, 100B of the twin load lock chamber 600 have two ports coupled to the factory interface 704 and three ports coupled to the transfer chamber 708. The I load lock chambers 100A, 100B are coupled to a pressure control system (not shown) which pumps down and vents chamber volumes in the load lock chambers 100A, 100B to facilitate substrate exchange between the vacuum environment of the transfer chamber 708 and the substantially ambient (e.g., atmospheric) environment of the factory interface 704.
  • The transfer chamber 708 has a vacuum robot 710 disposed therein for transferring substrates among the load lock chambers 100A, 100B and the processing chambers 712. In one example, the vacuum robot 710 has two blades and is capable of simultaneously transferring two substrates among the load lock chambers 100A, 100B and the processing chambers 712.
  • In one example, at least one process chambers 712 is an etch chamber. For example, the etch chamber may be a Decoupled Plasma Source (DPS) chamber available from Applied Materials, Inc. The DPS etch chamber uses an inductive source to produce high-density plasma and comprises a source of radio-frequency (RF) power to bias the substrate. Alternatively, at least one of the process chambers 712 may be one of a HART™, E-MAX®, DPS®, DPS II, PRODUCER E, or ENABLER® etch chamber also available from Applied Materials, Inc. Other etch chambers, including those from other manufacturers, may be utilized. The etch chambers may use a halogen-containing gas to etch the substrate 924 therein. Examples of halogen-containing gas include hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), and the like. After etching the substrate 924, halogen-containing residues may be left on the substrate surface.
  • The halogen-containing residues may be removed by a thermal abatement process in at least one of the load lock chambers 100A, 100B. For example, a thermal treatment process may be performed in one or both of the chamber volumes 120A, 120B of the load lock chambers 100A, 100B. Alternatively or in addition to an abatement process, an ashing process may be performed in one or both of the chamber volumes 120A, 120B of the load lock chambers 100A, 100B.
  • The system controller 744 is coupled to the cluster tool system 700. The system controller 744 controls the operation of the cluster tool system 700 using a direct control of the process chambers 712 or alternatively, by controlling the computers (or controllers) associated with the processing chambers 712 and the cluster tool system 700. In operation, the system controller 744 enables data collection and feedback from the respective chambers and system controller 744 to optimize performance of the cluster tool system 700. The system controller 744 includes a central processing unit (CPU) 738, a memory 740, and support circuit 742.
  • FIG. 8 is a flow diagram illustrating a method 800 for processing a substrate according to one example of the present invention. The method 800 may be performed in the cluster tool system 700 in FIG. 7 having load lock chambers 100A, 100B with three chamber volumes. It is contemplated that the method 800 may be performed in other suitable processing systems, including those from other manufacturers.
  • The method 800 begins at box 810 by receiving a substrate having a layer disposed thereon from a factory interface, such as the factory interface 704 in FIG. 7, in a first chamber volume of a load lock chamber coupled to the factory interface, such as the chamber volume 130A or 130B of the load lock chamber 100A or 100B.
  • At box 820, the first chamber volume containing the substrate may be pumped down to a vacuum level equal to that of a transfer chamber coupled to the load lock chamber. The substrate is then transferred from the load lock chamber to the transfer chamber. In one example, the first chamber volume of the load lock chamber may be dedicated to provide paths to incoming substrates only.
  • At box 830, the substrate is transferred to one or more processing chambers coupled to the transfer chamber for one or more processes. The processes may include etching one or more films, such as a polymer film, on the substrates under a patterned mask using a halogen-containing gas. The patterned mask may include photoresist and/or hard mask. Suitable examples of halogen-containing gas include, but not limited to, hydrogen bromide (HBr), chlorine (Cl2), carbon tetrafluoride (CF4), and the like. The etching processes may leave halogen containing residue on the substrate.
  • Optionally, the substrate may be transferred from the first chamber volume of the load lock chamber to a second chamber volume of the load lock chamber through the transfer chamber for a pre-heating prior to being processed in the processing chambers. For example, the substrate may be transferred from the chamber volume 130 to the chamber volume 120 to be pre-heated on the heated substrate support 125. In one example, the substrate may be preheated to a temperature between about 20 degrees Celsius and about 400 degrees Celsius.
  • At box 840, after being processed in one or more processing chambers connected to the transfer chamber, the substrate is transferred to the second chamber volume of the load lock chamber. The second chamber volume, such as the chamber volume 120 of the load lock chamber 100, may be dedicated to substrate processing. Depending on processing recipe, the second chamber volume of the load lock chamber may be configured to different processes.
  • At box 850, thermal treatment process may be performed on a the substrate to remove the halogen-containing residues from the substrate generated during processing of box 830 prior to exposure to atmospheric conditions in the factory interface or other locations. For example, the substrate may be transferred to the chamber volume 120 of the load lock chamber 100 to remove the halogen containing residues.
  • In one example, a thermal treatment may be performed to etched substrate in the second chamber volume of the load lock chamber to remove the halogen-containing residues. For example, the substrate may placed on the heated substrate support assembly 125 of the chamber volume 120 of the load lock chamber 100. The heated substrate support assembly 125 heats the substrate to a temperature between about 20 degrees Celsius and about 1000 degrees Celsius, such as between about 150 degrees Celsius and about 300 degrees Celsius, for example about 250 degrees Celsius, at between about 5 seconds and about 30 seconds. The rapid heating of the substrate by heated substrate support assembly 125 allows the halogen-containing residues on the etched substrate to be removed without increasing process cycle time which would be encountered if the residues were removed in one if the processing chambers. In one example, the substrate may be heated by the heated substrate support assembly 125 at a predetermined time period until the halogen-containing residues are removed from the etched substrate.
  • In another example, plasma of a gas mixture may be used to promote the conversion of the halogen containing residues into non-corrosive volatile compounds, thereby increasing the removal efficiency of the halogen-containing residues from the etched substrate surface. The gas mixture may include an oxygen-containing gas, such as O2, O3, water vapor (H2O), a hydrogen-containing gas, such as H2, forming gas, water vapor (H2O), alkanes, alkenes, and the like, or an inert gas, such as a nitrogen gas (N2), argon (Ar), helium (He), and the like. For example, the gas mixture may include oxygen, nitrogen, and a hydrogen-containing gas. In one example, the hydrogen-containing gas is at least one of hydrogen (H2) and water vapor (H2O).
  • In another example, the thermal treatment process may be in the form of an ashing process performed in a chamber volume of the load lock chamber after the substrate being etched in the cluster tool system to remove the mask layers or a photoresist layer from the substrate. During an ashing process, an oxygen-based plasma may be supplied to the chamber volume of the load lock chamber white the temperature of the substrate may be maintained at 15 to 300 degrees Celsius. Various oxidizing gases can be used including, but not limited to, O2O3, N2O, H2O, CO, CO2, alcohols, and various combinations of these gases. In other examples of the invention, nonoxidizing gases may be used including, but not limited to, N2, H2O, H2, forming gas, NH3, CH4, C2H6, various halogenated gases (CF4, NF3, C2F6, C4F8, CH3F, CH2F2, CHF3), combinations of these gases and the like. In another example, mask and/or photoresist layer may be stripped simultaneously at box 850.
  • At box 860, the substrate may be transferred from the second chamber volume of the load lock chamber to a third chamber volume of the load lock chamber through the transfer chamber. The third chamber volume of the load lock chamber may be dedicated to provide path to outgoing substrates. The third chamber volume may be chamber volume 140 of the load lock chamber 100.
  • At box 870, the substrate is cooled in the third chamber volume of the load lock chamber. The substrate may be lowered to a cooled substrate support assembly, such as the cooled substrate support assembly 152 of the load lock chamber 100, for cooling.
  • At box 880, the third chamber volume is vented to atmosphere pressure and the cooled substrate is returned to the factory interface. Since the substrate is cooled prior to exposing to atmosphere, undesirable reactions, such as silicon oxidation, are reduced.
  • FIG. 9 is a flow diagram illustrating a method 900 for processing a substrate according to another example of the present invention. The method 900 is similar to the method 800, except the method 900 is performed in a cluster tool having load lock chambers with two chamber volumes, such as load lock chambers 300, 400 described above.
  • At box 910, a substrate having a layer disposed thereon is transferred from a factory interface, such as the factory interface 704 in FIG. 7, to a first chamber volume of a load lock chamber coupled to the factory interface. In one example, when the load lock chamber 300 is used, the substrate may be transferred to the chamber volume 140 so that the chamber volume 120 can be dedicated to processing substrates. In another example, when the load lock chamber 400 is used, the substrate may be transferred to the substrate supporting shelf 454 of the chamber volume 430.
  • At box 920, the first chamber volume containing the substrate may be pumped down to a vacuum level equal to that of a transfer chamber coupled to the load lock chamber. The substrate is then transferred from the load lock chamber to the transfer chamber.
  • At box 930, similar to the box 830 of the method 800, the substrate is transferred to one or more processing chambers coupled to the transfer chamber for one or more processes. The processes may include etching one or more films, such as a polymer film, on the substrates under a patterned mask using a halogen-containing gas.
  • At box 940, after being processed in one or more processing chambers connected to the transfer chamber, the substrate is transferred to the second chamber volume of the load lock chamber to remove residues and/or hard mask or photoresist. The second chamber volume, such as the chamber volume 120 of the load lock chamber 300 or the load lock chamber 400, may be dedicated to substrate processing. Depending on the process recipe, the second chamber volume of the load lock chamber may be configured to different processes. Similar to the processes described at box 850, a stripping process, an ashing process, or both stripping and ashing processes may be performed to the substrate to remove any desired combination of the halogen-containing residues, hard mask, and photoresist.
  • At box 950, the substrate may be transferred from the second chamber volume of the load lock chamber back to the chamber volume of the load lock chamber through the transfer chamber to be cooled.
  • At box 960, the substrate is cooled in the first chamber volume of the load lock chamber. The substrate may be lowered to a cooled substrate support assembly, such as the cooled substrate support assembly 152 of the load lock chamber 300 or 400, for cooling.
  • At box 970, the first chamber volume is vented to atmosphere pressure and the cooled substrate is returned to the factory interface.
  • While the foregoing is directed to examples of the present invention, other and further examples of the invention may be devised without departing from the basic scope thereof, and the scope thereof is determined by the claims that follow.

Claims (20)

What is claimed is:
1. A method for removing halogen-containing residues from a substrate, the method comprising:
transferring a substrate into a substrate processing system through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system;
etching the substrate in a processing chamber coupled to the transfer chamber of the substrate processing system with halogen-containing chemistry;
removing halogen-containing residues from the etched substrate in a second chamber volume of the load lock chamber; and
cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
2. The method of claim 1, wherein the cooled substrate support assembly is disposed in the first chamber volume or a third chamber volume of the load lock chamber, and cooling the substrate comprises transferring the substrate from the second chamber volume to the first chamber volume or the third chamber volume through the transfer chamber.
3. The method of claim 2, wherein the halogen-containing residues include at least one of hydrogen bromide (HBr), chlorine (Cl2), and carbon tetrafluoride (CF4).
4. The method of claim 1, wherein removing halogen-containing residues further includes at least one of a stripping process or an ashing process, or a combination thereof.
5. The method of claim 4, wherein removing halogen-containing residues further includes removing a hard mask or a photoresist.
6. The method of claim 1, wherein removing halogen-containing residues further comprises:
introducing a gas mixture to the second chamber volume, wherein the gas mixture includes at least one of an oxygen-containing gas, a hydrogen-containing gas, or an inert gas.
7. The method of claim 6, wherein the oxygen-containing gas includes at least one of O2 or O3, wherein the hydrogen-containing gas includes at least one of a water vapor (H2O), alkane, or an alkene, and the inert gas includes nitrogen (N2), argon (Ar), or helium (He), or any combination thereof.
8. The method of claim 1, wherein removing halogen-containing residues includes heating the substrate for about 5 seconds and about 30 seconds at a temperature between about 150 degrees Celsius and about 300 degrees.
9. A method for removing halogen-containing residues from a substrate, comprising:
transferring a substrate into a substrate processing system through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system;
etching the substrate in a processing chamber coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly, wherein the chemistry comprises halogen;
removing halogen-containing residues from the etched substrate in a second chamber volume of the load lock chamber, wherein removing halogen-containing residues further comprises:
heating the substrate to a temperature that is greater than or equal to about 20 degrees Celsius and less than or equal to about 1000 degrees Celsius; and
cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
10. The method of claim 9, wherein the cooled substrate support assembly is disposed in the first chamber volume or a third chamber volume of the load lock chamber, and cooling the substrate comprises transferring the substrate from the second chamber volume to the first chamber volume or the third chamber volume through the transfer chamber.
11. The method of claim 10, wherein the halogen-containing residues include at least one of hydrogen bromide (HBr), chlorine (Cl2), and carbon tetrafluoride (CF4).
12. The method of claim 9, wherein removing halogen-containing residues further includes at least one of a stripping process or an ashing process, or a combination thereof.
13. The method of claim 12, wherein removing halogen-containing residues further includes removing a hard mask or a photoresist.
14. The method of claim 9, wherein removing halogen-containing residues further comprises:
introducing a gas mixture to the second chamber volume, wherein the gas mixture includes at least one of an oxygen-containing gas, a hydrogen-containing gas, or an inert gas.
15. The method of claim 14, wherein the oxygen-containing gas includes at least one of O2 or O3, wherein the hydrogen-containing gas includes at least one of a water vapor (H2O), alkane, or an alkene, and the inert gas includes nitrogen (N2), argon (Ar), or helium (He), or any combination thereof.
16. The method of claim 9, wherein removing halogen-containing residues includes heating the substrate for about 5 seconds and about 30 seconds.
17. A method for removing halogen-containing residues from a substrate, comprising:
transferring a substrate to a substrate processing system through a first chamber volume of a load lock chamber coupled to a transfer chamber of the substrate processing system;
etching the substrate in one or more processing chambers coupled to the transfer chamber of the substrate processing system with chemistry from a showerhead disposed over a heated substrate support assembly, wherein the chemistry comprises halogen;
heating the substrate on a substrate support assembly for a predetermined time period, wherein heating the substrate removes halogen-containing residues from the etched substrate in a second chamber volume of the load lock chamber; and
cooling the etched substrate in a cooled substrate support assembly of the load lock chamber after removing the halogen-containing residue.
18. The method of claim 17, wherein the cooled substrate support assembly is disposed in the first chamber volume or a third chamber volume of the load lock chamber, and cooling the substrate comprises transferring the substrate from the second chamber volume to the first chamber volume or the third chamber volume through the transfer chamber.
19. The method of claim 18, wherein the halogen-containing residues include at least one of hydrogen bromide (HBr), chlorine (Cl2), and carbon tetrafluoride (CF4).
20. The method of claim 17, wherein removing halogen-containing residues further includes at least one of a stripping process or an ashing process, or a combination thereof.
US16/730,362 2012-02-29 2019-12-30 Abatement and strip process chamber in a load lock configuration Abandoned US20200144067A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US16/730,362 US20200144067A1 (en) 2012-02-29 2019-12-30 Abatement and strip process chamber in a load lock configuration
US18/096,104 US20230162984A1 (en) 2012-02-29 2023-01-12 Abatement and strip process chamber in a load lock configuration

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201261604990P 2012-02-29 2012-02-29
US13/746,831 US10566205B2 (en) 2012-02-29 2013-01-22 Abatement and strip process chamber in a load lock configuration
US16/730,362 US20200144067A1 (en) 2012-02-29 2019-12-30 Abatement and strip process chamber in a load lock configuration

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
US13/746,831 Division US10566205B2 (en) 2012-02-29 2013-01-22 Abatement and strip process chamber in a load lock configuration

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US18/096,104 Continuation US20230162984A1 (en) 2012-02-29 2023-01-12 Abatement and strip process chamber in a load lock configuration

Publications (1)

Publication Number Publication Date
US20200144067A1 true US20200144067A1 (en) 2020-05-07

Family

ID=49003318

Family Applications (4)

Application Number Title Priority Date Filing Date
US13/746,831 Active 2035-08-28 US10566205B2 (en) 2012-02-29 2013-01-22 Abatement and strip process chamber in a load lock configuration
US16/657,586 Active US10943788B2 (en) 2012-02-29 2019-10-18 Abatement and strip process chamber in a load lock configuration
US16/730,362 Abandoned US20200144067A1 (en) 2012-02-29 2019-12-30 Abatement and strip process chamber in a load lock configuration
US18/096,104 Pending US20230162984A1 (en) 2012-02-29 2023-01-12 Abatement and strip process chamber in a load lock configuration

Family Applications Before (2)

Application Number Title Priority Date Filing Date
US13/746,831 Active 2035-08-28 US10566205B2 (en) 2012-02-29 2013-01-22 Abatement and strip process chamber in a load lock configuration
US16/657,586 Active US10943788B2 (en) 2012-02-29 2019-10-18 Abatement and strip process chamber in a load lock configuration

Family Applications After (1)

Application Number Title Priority Date Filing Date
US18/096,104 Pending US20230162984A1 (en) 2012-02-29 2023-01-12 Abatement and strip process chamber in a load lock configuration

Country Status (6)

Country Link
US (4) US10566205B2 (en)
JP (2) JP6545460B2 (en)
KR (1) KR102068186B1 (en)
CN (2) CN106847737B (en)
TW (1) TWI564954B (en)
WO (1) WO2013130191A1 (en)

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103403852B (en) 2011-03-01 2016-06-08 应用材料公司 The elimination of double; two load locks configuration and lift-off processing chamber
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
JP6054314B2 (en) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
US9799543B2 (en) * 2012-02-16 2017-10-24 Saint-Gobain Glass France Process box, arrangements and methods for processing coated substrates
KR102068186B1 (en) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a load lock configuration
TWM476362U (en) * 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
WO2014150234A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc Processing systems, apparatus, and methods adapted to process substrates in electronic device manufacturing
US20140271097A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
CN106298583B (en) * 2015-05-27 2019-12-03 中微半导体设备(上海)股份有限公司 Processing chamber, processing chamber and vacuum lock combination and substrate handling system
KR20160141244A (en) * 2015-05-29 2016-12-08 피에스케이 주식회사 Apparatus and method for treating substrate
CN106373907B (en) * 2015-07-22 2019-01-08 中微半导体设备(上海)有限公司 A kind of vacuum lock system and its processing method to substrate
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
DE202016104588U1 (en) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Multi-chamber system for chemical vapor deposition
US10304707B2 (en) * 2015-10-20 2019-05-28 Lam Research Corporation Load lock interface and integrated post-processing module
US10014196B2 (en) 2015-10-20 2018-07-03 Lam Research Corporation Wafer transport assembly with integrated buffers
KR20230152092A (en) * 2015-11-09 2023-11-02 어플라이드 머티어리얼스, 인코포레이티드 Bottom processing
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2017215806A1 (en) * 2016-06-15 2017-12-21 Evatec Ag Vacuum treatment chamber and method of manufacturing a vacuum treated plate-shaped substrate
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
CN107958851B (en) * 2016-10-14 2022-08-16 北京北方华创微电子装备有限公司 Transmission chamber and semiconductor processing equipment
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN108470704B (en) * 2017-02-23 2021-01-29 北京北方华创微电子装备有限公司 Pass piece cavity and semiconductor processing equipment
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
KR102396319B1 (en) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 Gas Delivery Systems for High Pressure Processing Chambers
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11211269B2 (en) * 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
JP7394554B2 (en) * 2019-08-07 2023-12-08 東京エレクトロン株式会社 Substrate processing system
JP7418301B2 (en) 2020-01-07 2024-01-19 東京エレクトロン株式会社 Steam treatment equipment, steam treatment method, substrate treatment system, and dry etching method
US11862475B2 (en) * 2020-10-15 2024-01-02 Applied Materials, Inc. Gas mixer to enable RPS purging
TW202230583A (en) * 2020-12-22 2022-08-01 日商東京威力科創股份有限公司 Substrate processing system and particle removal method
KR102590738B1 (en) * 2021-10-19 2023-10-18 주식회사 한화 Apparatus for processing of wafer and method for processing of wafer using the same
CN117096071B (en) * 2023-10-20 2024-01-23 上海谙邦半导体设备有限公司 Wafer vacuum lock system
CN117116728B (en) * 2023-10-20 2023-12-19 江苏邑文微电子科技有限公司 Etching equipment
CN117253773B (en) * 2023-11-10 2024-01-23 雅安宇焜芯材材料科技有限公司 Heating preparation system for semiconductor manufacturing

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4139051A (en) 1976-09-07 1979-02-13 Rockwell International Corporation Method and apparatus for thermally stabilizing workpieces
JPS63204726A (en) 1987-02-20 1988-08-24 Anelva Corp Vacuum treatment device
US4952299A (en) 1988-10-31 1990-08-28 Eaton Corporation Wafer handling apparatus
US5071714A (en) 1989-04-17 1991-12-10 International Business Machines Corporation Multilayered intermetallic connection for semiconductor devices
KR0155158B1 (en) 1989-07-25 1998-12-01 카자마 젠쥬 Vertical wafer treatment apparatus and the method
US5198634A (en) 1990-05-21 1993-03-30 Mattson Brad S Plasma contamination removal process
US5188979A (en) 1991-08-26 1993-02-23 Motorola Inc. Method for forming a nitride layer using preheated ammonia
JPH0685173A (en) 1992-07-17 1994-03-25 Toshiba Corp Capacitor for semiconductor integrated circuit
KR100238626B1 (en) 1992-07-28 2000-02-01 히가시 데쓰로 Plasma device
US5337207A (en) 1992-12-21 1994-08-09 Motorola High-permittivity dielectric capacitor for use in a semiconductor device and process for making the same
US5356833A (en) 1993-04-05 1994-10-18 Motorola, Inc. Process for forming an intermetallic member on a semiconductor substrate
US5662770A (en) 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
US5332443A (en) 1993-06-09 1994-07-26 Applied Materials, Inc. Lift fingers for substrate processing apparatus
US5643366A (en) 1994-01-31 1997-07-01 Applied Materials, Inc. Wafer handling within a vacuum chamber using vacuum
US5545289A (en) 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP3080834B2 (en) 1994-03-30 2000-08-28 株式会社東芝 Semiconductor substrate cleaning equipment
US5522937A (en) 1994-05-03 1996-06-04 Applied Materials, Inc. Welded susceptor assembly
US5753133A (en) 1994-07-11 1998-05-19 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5895549A (en) 1994-07-11 1999-04-20 Applied Komatsu Technology, Inc. Method and apparatus for etching film layers on large substrates
US5753891A (en) 1994-08-31 1998-05-19 Tokyo Electron Limited Treatment apparatus
US5976310A (en) 1995-01-03 1999-11-02 Applied Materials, Inc. Plasma etch system
US6933182B1 (en) 1995-04-20 2005-08-23 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device and manufacturing system thereof
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
KR100413649B1 (en) 1996-01-26 2004-04-28 마츠시타 덴끼 산교 가부시키가이샤 Method of manufacturing semiconductor devices
EP0797241A3 (en) 1996-03-08 2002-05-15 Kokusai Electric Co., Ltd. Substrate processing apparatus
US5948704A (en) 1996-06-05 1999-09-07 Lam Research Corporation High flow vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US6148072A (en) 1997-01-03 2000-11-14 Advis, Inc Methods and systems for initiating video communication
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JPH1154721A (en) 1997-07-29 1999-02-26 Nec Corp Manufacture of semiconductor device and manufacturing equipment
TW459266B (en) * 1997-08-27 2001-10-11 Tokyo Electron Ltd Substrate processing method
US6000227A (en) 1997-09-24 1999-12-14 Applied Materials, Inc. Wafer cooling in a transfer chamber of a vacuum processing system
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6136211A (en) 1997-11-12 2000-10-24 Applied Materials, Inc. Self-cleaning etch process
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6635185B2 (en) 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6287435B1 (en) 1998-05-06 2001-09-11 Tokyo Electron Limited Method and apparatus for ionized physical vapor deposition
KR100275754B1 (en) 1998-05-15 2000-12-15 윤종용 Pretreatment method before forming a hsg on storage node of capacitor
JPH11330199A (en) * 1998-05-18 1999-11-30 Sony Corp Vacuum process equipment
US6375746B1 (en) 1998-07-10 2002-04-23 Novellus Systems, Inc. Wafer processing architecture including load locks
US6431807B1 (en) 1998-07-10 2002-08-13 Novellus Systems, Inc. Wafer processing architecture including single-wafer load lock with cooling unit
US6211035B1 (en) 1998-09-09 2001-04-03 Texas Instruments Incorporated Integrated circuit and method
US6143082A (en) 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
JP3352418B2 (en) 1999-01-28 2002-12-03 キヤノン株式会社 Pressure reduction method and pressure reduction device
US6374831B1 (en) 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
JP2000286200A (en) 1999-03-31 2000-10-13 Kokusai Electric Co Ltd Heat-treating method and system thereof
US6592771B1 (en) 1999-04-08 2003-07-15 Sony Corporation Vapor-phase processing method and apparatus therefor
US6379574B1 (en) 1999-05-03 2002-04-30 Applied Materials, Inc. Integrated post-etch treatment for a dielectric etch process
US6257168B1 (en) 1999-06-30 2001-07-10 Lam Research Corporation Elevated stationary uniformity ring design
US6319730B1 (en) 1999-07-15 2001-11-20 Motorola, Inc. Method of fabricating a semiconductor structure including a metal oxide interface
US6270568B1 (en) 1999-07-15 2001-08-07 Motorola, Inc. Method for fabricating a semiconductor structure with reduced leakage current density
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
US6228563B1 (en) 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
US6399507B1 (en) 1999-09-22 2002-06-04 Applied Materials, Inc. Stable plasma process for etching of films
US6479801B1 (en) 1999-10-22 2002-11-12 Tokyo Electron Limited Temperature measuring method, temperature control method and processing apparatus
US6485988B2 (en) 1999-12-22 2002-11-26 Texas Instruments Incorporated Hydrogen-free contact etch for ferroelectric capacitor formation
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
JP2001319885A (en) * 2000-03-02 2001-11-16 Hitachi Kokusai Electric Inc Processing system for substrate and method for producing semiconductor
EP1134303B1 (en) 2000-03-13 2010-06-09 Canon Kabushiki Kaisha Thin film production process
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6300202B1 (en) 2000-05-18 2001-10-09 Motorola Inc. Selective removal of a metal oxide dielectric
US6358859B1 (en) 2000-05-26 2002-03-19 Taiwan Semiconductor Manufacturing Company HBr silicon etching process
JP2002057100A (en) * 2000-05-31 2002-02-22 Canon Inc Aligner, coater developer, device manufacturing system, device fabricating method, semiconductor producing factory and method for maintaining aligner
US6297095B1 (en) 2000-06-16 2001-10-02 Motorola, Inc. Memory device that includes passivated nanoclusters and method for manufacture
US6890861B1 (en) 2000-06-30 2005-05-10 Lam Research Corporation Semiconductor processing equipment having improved particle performance
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
KR20020009332A (en) 2000-07-26 2002-02-01 주승기 Fabricating Method of Thin Film Element with Layer of Ferroelectric Material
US6204141B1 (en) 2000-09-13 2001-03-20 Taiwan Semiconductor Mfg. Co. Ltd. Method of manufacturing a deep trench capacitor
JP2002134596A (en) 2000-10-25 2002-05-10 Tokyo Electron Ltd Processor
JP2002158273A (en) * 2000-11-22 2002-05-31 Anelva Corp Vacuum treatment device
US6326261B1 (en) 2001-01-05 2001-12-04 United Microelectronics Corp. Method of fabricating a deep trench capacitor
US6935466B2 (en) 2001-03-01 2005-08-30 Applied Materials, Inc. Lift pin alignment and operation methods and apparatus
US20050189074A1 (en) 2002-11-08 2005-09-01 Tokyo Electron Limited Gas processing apparatus and method and computer storage medium storing program for controlling same
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6348386B1 (en) 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US20030003696A1 (en) 2001-06-29 2003-01-02 Avgerinos Gelatos Method and apparatus for tuning a plurality of processing chambers
US20030045098A1 (en) 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
JP4460803B2 (en) 2001-09-05 2010-05-12 パナソニック株式会社 Substrate surface treatment method
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20030092278A1 (en) 2001-11-13 2003-05-15 Fink Steven T. Plasma baffle assembly
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
JP3921234B2 (en) * 2002-02-28 2007-05-30 キヤノンアネルバ株式会社 Surface treatment apparatus and manufacturing method thereof
US6806095B2 (en) 2002-03-06 2004-10-19 Padmapani C. Nallan Method of plasma etching of high-K dielectric materials with high selectivity to underlying layers
JP2003282462A (en) * 2002-03-27 2003-10-03 Kyocera Corp Shower plate and method of manufacturing the same, and shower head using the same
US6825126B2 (en) 2002-04-25 2004-11-30 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device and substrate processing apparatus
US6902681B2 (en) 2002-06-26 2005-06-07 Applied Materials Inc Method for plasma etching of high-K dielectric materials
US20040007561A1 (en) 2002-07-12 2004-01-15 Applied Materials, Inc. Method for plasma etching of high-K dielectric materials
US6843882B2 (en) 2002-07-15 2005-01-18 Applied Materials, Inc. Gas flow control in a wafer processing system having multiple chambers for performing same process
FR2847376B1 (en) 2002-11-19 2005-02-04 France Telecom METHOD FOR PROCESSING SOUND DATA AND SOUND ACQUISITION DEVICE USING THE SAME
JP2004241420A (en) 2003-02-03 2004-08-26 Toshiba Matsushita Display Technology Co Ltd Processing system
US7374696B2 (en) 2003-02-14 2008-05-20 Applied Materials, Inc. Method and apparatus for removing a halogen-containing residue
JP4190918B2 (en) 2003-03-11 2008-12-03 シャープ株式会社 Vacuum processing equipment
US7009281B2 (en) * 2003-03-14 2006-03-07 Lam Corporation Small volume process chamber with hot inner surfaces
JP2004319540A (en) 2003-04-11 2004-11-11 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device and dry etching system
US7045014B2 (en) 2003-04-24 2006-05-16 Applied Materials, Inc. Substrate support assembly
US7207766B2 (en) 2003-10-20 2007-04-24 Applied Materials, Inc. Load lock chamber for large area substrate processing system
JP4698251B2 (en) 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7358192B2 (en) 2004-04-08 2008-04-15 Applied Materials, Inc. Method and apparatus for in-situ film stack processing
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7575220B2 (en) 2004-06-14 2009-08-18 Applied Materials, Inc. Curved slit valve door
KR101123624B1 (en) * 2004-07-15 2012-03-20 주성엔지니어링(주) Semiconductor manufacturing apparatus
CN101128622B (en) 2005-02-22 2010-08-25 埃克提斯公司 Etching chamber with subchamber
JP4860167B2 (en) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 Load lock device, processing system, and processing method
JP4619854B2 (en) * 2005-04-18 2011-01-26 東京エレクトロン株式会社 Load lock device and processing method
JP4535499B2 (en) * 2005-04-19 2010-09-01 東京エレクトロン株式会社 Heating device, coating, developing device and heating method
JP4878782B2 (en) 2005-07-05 2012-02-15 シャープ株式会社 Plasma processing apparatus and plasma processing method
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7845891B2 (en) 2006-01-13 2010-12-07 Applied Materials, Inc. Decoupled chamber body
JP2007186757A (en) * 2006-01-13 2007-07-26 Tokyo Electron Ltd Vacuum treatment apparatus and vacuum treatment method
US20070240631A1 (en) 2006-04-14 2007-10-18 Applied Materials, Inc. Epitaxial growth of compound nitride semiconductor structures
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7695232B2 (en) 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
JP4551370B2 (en) 2006-07-18 2010-09-29 株式会社日立製作所 Recording / reproducing apparatus and recording / reproducing method
US8057153B2 (en) 2006-09-05 2011-11-15 Tokyo Electron Limited Substrate transfer device, substrate processing apparatus and substrate transfer method
US9524896B2 (en) 2006-09-19 2016-12-20 Brooks Automation Inc. Apparatus and methods for transporting and processing substrates
US7655571B2 (en) 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7846845B2 (en) * 2006-10-26 2010-12-07 Applied Materials, Inc. Integrated method for removal of halogen residues from etched substrates in a processing system
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
JP2008205219A (en) * 2007-02-20 2008-09-04 Masato Toshima Showerhead, and cvd apparatus using the same showerhead
WO2008114958A1 (en) 2007-03-16 2008-09-25 Sosul Co., Ltd. Apparatus for plasma processing and method for plasma processing
JP4927623B2 (en) 2007-03-30 2012-05-09 東京エレクトロン株式会社 Method of boosting load lock device
WO2008144670A1 (en) 2007-05-18 2008-11-27 Brooks Automation, Inc. Load lock fast pump vent
US9184072B2 (en) 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US20090031955A1 (en) 2007-07-30 2009-02-05 Applied Materials, Inc. Vacuum chucking heater of axisymmetrical and uniform thermal profile
JP5465373B2 (en) 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 Heat treatment equipment
KR100899355B1 (en) 2007-11-15 2009-05-27 한국과학기술연구원 Plasma deposition apparatus and method
US8060252B2 (en) * 2007-11-30 2011-11-15 Novellus Systems, Inc. High throughput method of in transit wafer position correction in system using multiple robots
US8033769B2 (en) 2007-11-30 2011-10-11 Novellus Systems, Inc. Loadlock designs and methods for using same
US8198567B2 (en) 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
KR101577474B1 (en) 2008-02-08 2015-12-14 램 리써치 코포레이션 Rf return strap for use in plasma processing apparatus
KR101659095B1 (en) 2008-02-08 2016-09-22 램 리써치 코포레이션 Adjustable gap capacitively coupled rf plasma reactor including lateral bellows and non-contact particle seal
US20090206056A1 (en) 2008-02-14 2009-08-20 Songlin Xu Method and Apparatus for Plasma Process Performance Matching in Multiple Wafer Chambers
US8070408B2 (en) 2008-08-27 2011-12-06 Applied Materials, Inc. Load lock chamber for large area substrate processing system
WO2010026772A1 (en) * 2008-09-04 2010-03-11 キヤノンアネルバ株式会社 Substrate cooling method and semiconductor manufacturing method
WO2010042410A2 (en) * 2008-10-07 2010-04-15 Applied Materials, Inc. Apparatus for efficient removal of halogen residues from etched substrates
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
US8110889B2 (en) 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8623141B2 (en) 2009-05-18 2014-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Piping system and control for semiconductor processing
JP5545803B2 (en) * 2009-06-30 2014-07-09 太平洋セメント株式会社 Method for producing ceramic porous sintered body
KR101071344B1 (en) * 2009-07-22 2011-10-07 세메스 주식회사 Apparatus and method for processing substrate
US8617347B2 (en) 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
DE102009036180A1 (en) 2009-08-09 2011-02-10 Cfso Gmbh Photocatalyst system for the generation of electricity
CN102414801A (en) * 2009-08-27 2012-04-11 应用材料公司 Method of decontamination of process chamber after in-situ chamber clean
JP5549441B2 (en) * 2010-01-14 2014-07-16 東京エレクトロン株式会社 Holder mechanism, load lock device, processing device, and transport mechanism
KR101147658B1 (en) * 2010-02-10 2012-05-24 세메스 주식회사 Plasma processing apparatus and method
JP2011174108A (en) * 2010-02-23 2011-09-08 Tokyo Electron Ltd Cooling apparatus and substrate processing apparatus having the same
US8709551B2 (en) 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8597462B2 (en) 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
US20110304078A1 (en) * 2010-06-14 2011-12-15 Applied Materials, Inc. Methods for removing byproducts from load lock chambers
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (en) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method and apparatus for substrate transport and radical confinement
CN103403852B (en) * 2011-03-01 2016-06-08 应用材料公司 The elimination of double; two load locks configuration and lift-off processing chamber
CN107164742B (en) 2011-03-01 2020-10-16 应用材料公司 Vacuum chamber with shared pump
WO2012118606A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Thin heated substrate support
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
KR102068186B1 (en) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 Abatement and strip process chamber in a load lock configuration

Also Published As

Publication number Publication date
TWI564954B (en) 2017-01-01
KR102068186B1 (en) 2020-02-11
JP2015515742A (en) 2015-05-28
CN104137248B (en) 2017-03-22
CN106847737A (en) 2017-06-13
CN106847737B (en) 2020-11-13
US20230162984A1 (en) 2023-05-25
CN104137248A (en) 2014-11-05
US20200051825A1 (en) 2020-02-13
US10566205B2 (en) 2020-02-18
WO2013130191A1 (en) 2013-09-06
US10943788B2 (en) 2021-03-09
US20130224953A1 (en) 2013-08-29
KR20150044421A (en) 2015-04-24
JP2019110325A (en) 2019-07-04
JP6545460B2 (en) 2019-07-17
TW201344786A (en) 2013-11-01

Similar Documents

Publication Publication Date Title
US10943788B2 (en) Abatement and strip process chamber in a load lock configuration
US11177136B2 (en) Abatement and strip process chamber in a dual loadlock configuration
US7655571B2 (en) Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7374696B2 (en) Method and apparatus for removing a halogen-containing residue
WO2015115002A1 (en) Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium
JP5809144B2 (en) Substrate processing method and substrate processing apparatus
JP6262333B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, and program
JP5881612B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
JP2009094307A (en) Etching method and recording medium
US11171008B2 (en) Abatement and strip process chamber in a dual load lock configuration
WO2017022086A1 (en) Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
CN115104176A (en) Multi-step process for flowable gap fill film

Legal Events

Date Code Title Description
AS Assignment

Owner name: APPLIED MATERIALS, INC., CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:SALINAS, MARTIN JEFFREY;REUTER, PAUL B.;NGUYEN, ANDREW;AND OTHERS;SIGNING DATES FROM 20130118 TO 20130121;REEL/FRAME:051387/0944

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: FINAL REJECTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE AFTER FINAL ACTION FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: ADVISORY ACTION MAILED

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION