KR840001775A - 정전 처크 - Google Patents

정전 처크 Download PDF

Info

Publication number
KR840001775A
KR840001775A KR1019820004125A KR820004125A KR840001775A KR 840001775 A KR840001775 A KR 840001775A KR 1019820004125 A KR1019820004125 A KR 1019820004125A KR 820004125 A KR820004125 A KR 820004125A KR 840001775 A KR840001775 A KR 840001775A
Authority
KR
South Korea
Prior art keywords
electrostatic chuck
thermally conductive
wafer
conductive member
grid
Prior art date
Application number
KR1019820004125A
Other languages
English (en)
Other versions
KR900003615B1 (ko
Inventor
헤일 레원 이안 (외 2)
Original Assignee
디. 제이, 삭커스
엔. 브이. 필립스 글로아이람펜파브리켄
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 디. 제이, 삭커스, 엔. 브이. 필립스 글로아이람펜파브리켄 filed Critical 디. 제이, 삭커스
Publication of KR840001775A publication Critical patent/KR840001775A/ko
Application granted granted Critical
Publication of KR900003615B1 publication Critical patent/KR900003615B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S269/00Work holders
    • Y10S269/903Work holder for electrical circuit assemblages or wiring systems
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T279/00Chucks or sockets
    • Y10T279/23Chucks or sockets with magnetic or electrostatic means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Jigs For Machine Tools (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

내용 없음

Description

정전 처크
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명에 따른 정전 처크상에 설치된 반도체 웨이퍼를 제2도의 선 Ⅰ-Ⅰ상에서 절취한 횡단면도.
제2도는 제1도의 반도체 웨이퍼 및 처크를 위와 같이 절취하고 반도체 웨이퍼를 부분적으로 절단한 평면도.
제3도는 본 발명에 따른 다른 정전처크상의 반도체 웨이퍼에 대한 횡단면도.

Claims (16)

  1. 처크에 대한 고정면에 반도체 웨이퍼를 유지하기 위한 것으로, 상기 고정면으로부터 유전체층으로 분리된 전기 전도부재와 웨이퍼를 전기적으로 접촉하기 위한 수단과 상기 고정면에 웨이퍼를 유지하기 위한 수단을 구비한 정면 처크에 있어서 상기 처크가 또한 웨이퍼와 접촉하기 위한 열전도부를 구비하며, 전기 전도부재가 상기 열전도부를 사이에서 측방향으로 연장하는 부분을 가지며, 유전층이 최소한 상기 부분상에서 연장하도록 구성한 것을 특징으로 하는 정전처크.
  2. 제1항에 있어서, 열전도부가 웨이퍼와 접촉하기 위한 수단을 형성하는 것을 특징으로 하는 정전처크.
  3. 제1항 또는 2항에 있어서, 처크가 열전도부와 열접촉을 이루는 주변부를 가지는 열전도 지지제를 구비한 것을 특징으로 하는 정전처크.
  4. 제3항에 있어서, 열전도 주변부가 고정면과 일치한 주표면을 가지는 것을 특징으로 하는 정전처크.
  5. 전술한 어느 한 항에 있어서, 열전도부가 전기전도하고 웨이퍼에 대한 전기접촉 수단을 구성하며, 상기 열전도부가 전기전도 부재로부터 절연되는 것을 특징으로 하는 정전처크.
  6. 제5항에 있어서, 열 전도부가 유전체층에 의해 전기 전도부재로부터 절연되는 것을 특징으로 하는 정전처크.
  7. 전술한 어느 한 항에 있어서, 유전체가 투명한 것을 특징으로 하는 정전처크.
  8. 전술한 어느 한 항에 있어서, 열전도부가 유전체가 상기 고정면으로부터 간격이 띄워지도록 유전층으로 둘러싸이는 것을 특징으로 하는 정전처크.
  9. 전술한 어느 한 항에 있어서, 열전도부가 고정면 내에 있는 평평한 종단면을 가진 기둥인 것을 특징으로 하는 정전처크.
  10. 제9항에 있어서, 전기 전도부재가 그리드의 메슈를 통해 연장하는 것을 특징으로 하는 정전처크.
  11. 제9 또는 10항 중 어느 한 항에 따른 정전 처크에 있어서, 지지제가 주변부보다 더 얇은 구멍뚫린 중심부를 가지며, 열전도기둥이 이 구멍속에 고정되는 것을 특징으로 하는 정전처크.
  12. 제1 내지 8항중 어느 한 항에 따른 정전 처크에 있어서, 열전도부가 열전도 그리드에 의해 구성되고 열전도 부재가 상기 그리드의 메슈를 사이에서 연장하는 부분을 가지는 것을 특징으로 하는 정전처크.
  13. 제12항에 있어서, 열전도 부재가 그리드의 메슈들 사이에서 연장하는 상기 부분들과 일체로된 판을 포함한 것을 특징으로 하는 정전처크.
  14. 제12 또는 13항에 있어서, 열정도 그리드가 지지재의 중심부를 형성하고 상기 지지재의 주변부와 일체로 된 것을 특징으로 하는 정전처크.
  15. 본 명세서에서 설명하고 첨부된 도면 제1 및 2도 또는 제3도에 예시된 바와 정전처크.
  16. ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR8204125A 1981-09-14 1982-09-11 정전 처크 KR900003615B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
GB8127638 1981-09-14
GB08127638A GB2106325A (en) 1981-09-14 1981-09-14 Electrostatic chuck

Publications (2)

Publication Number Publication Date
KR840001775A true KR840001775A (ko) 1984-05-16
KR900003615B1 KR900003615B1 (ko) 1990-05-26

Family

ID=10524482

Family Applications (1)

Application Number Title Priority Date Filing Date
KR8204125A KR900003615B1 (ko) 1981-09-14 1982-09-11 정전 처크

Country Status (6)

Country Link
US (1) US4502094A (ko)
EP (1) EP0074691B1 (ko)
JP (1) JPS5857736A (ko)
KR (1) KR900003615B1 (ko)
DE (1) DE3268680D1 (ko)
GB (1) GB2106325A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970008470A (ko) * 1995-07-18 1997-02-24 제임스 조셉 드롱 유체 흐름 조절기를 가지는 정전기 척

Families Citing this family (307)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4457359A (en) * 1982-05-25 1984-07-03 Varian Associates, Inc. Apparatus for gas-assisted, solid-to-solid thermal transfer with a semiconductor wafer
JPS5922340A (ja) * 1982-07-29 1984-02-04 Toshiba Corp 静電チヤツク装置
DE3471827D1 (en) 1983-09-30 1988-07-07 Philips Electronic Associated Electrostatic chuck and loading method
GB2147459A (en) * 1983-09-30 1985-05-09 Philips Electronic Associated Electrostatic chuck for semiconductor wafers
GB2154365A (en) * 1984-02-10 1985-09-04 Philips Electronic Associated Loading semiconductor wafers on an electrostatic chuck
US4624302A (en) * 1984-07-02 1986-11-25 At&T Technologies, Inc. Apparatus for preheating printed circuit boards
JPS6131636U (ja) * 1984-07-31 1986-02-26 株式会社 徳田製作所 静電チヤツク
JP2513995B2 (ja) * 1985-12-29 1996-07-10 京セラ株式会社 静電チヤツク
US4724222A (en) * 1986-04-28 1988-02-09 American Telephone And Telegraph Company, At&T Bell Laboratories Wafer chuck comprising a curved reference surface
US4724510A (en) * 1986-12-12 1988-02-09 Tegal Corporation Electrostatic wafer clamp
JPH0652758B2 (ja) * 1987-02-09 1994-07-06 日本電信電話株式会社 静電チヤツク
WO1988009054A1 (en) * 1987-05-06 1988-11-17 Labtam Limited Electrostatic chuck using ac field excitation
JP2665242B2 (ja) * 1988-09-19 1997-10-22 東陶機器株式会社 静電チャック
US4962441A (en) * 1989-04-10 1990-10-09 Applied Materials, Inc. Isolated electrostatic wafer blade clamp
US5001594A (en) * 1989-09-06 1991-03-19 Mcnc Electrostatic handling device
JP3129452B2 (ja) * 1990-03-13 2001-01-29 富士電機株式会社 静電チャック
US5073716A (en) * 1990-05-10 1991-12-17 At&T Bell Laboratories Apparatus comprising an electrostatic wafer cassette
US4999507A (en) * 1990-05-10 1991-03-12 At&T Bell Laboratories Apparatus comprising an electrostatic wafer cassette
US5452177A (en) * 1990-06-08 1995-09-19 Varian Associates, Inc. Electrostatic wafer clamp
US5099571A (en) * 1990-09-07 1992-03-31 International Business Machines Corporation Method for fabricating a split-ring electrostatic chuck
US5055964A (en) * 1990-09-07 1991-10-08 International Business Machines Corporation Electrostatic chuck having tapered electrodes
DE69130205T2 (de) * 1990-12-25 1999-03-25 Ngk Insulators Ltd Heizungsapparat für eine Halbleiterscheibe und Verfahren zum Herstellen desselben
US5166856A (en) * 1991-01-31 1992-11-24 International Business Machines Corporation Electrostatic chuck with diamond coating
US5155652A (en) * 1991-05-02 1992-10-13 International Business Machines Corporation Temperature cycling ceramic electrostatic chuck
US5191506A (en) * 1991-05-02 1993-03-02 International Business Machines Corporation Ceramic electrostatic chuck
US5325261A (en) * 1991-05-17 1994-06-28 Unisearch Limited Electrostatic chuck with improved release
US5184398A (en) * 1991-08-30 1993-02-09 Texas Instruments Incorporated In-situ real-time sheet resistance measurement method
US5213349A (en) * 1991-12-18 1993-05-25 Elliott Joe C Electrostatic chuck
US5337893A (en) * 1992-07-22 1994-08-16 Electro Scientific Industries, Inc. High capacity carrier plate
US5600530A (en) 1992-08-04 1997-02-04 The Morgan Crucible Company Plc Electrostatic chuck
US5384681A (en) * 1993-03-01 1995-01-24 Toto Ltd. Electrostatic chuck
US5345999A (en) * 1993-03-17 1994-09-13 Applied Materials, Inc. Method and apparatus for cooling semiconductor wafers
TW277139B (ko) * 1993-09-16 1996-06-01 Hitachi Seisakusyo Kk
US6544379B2 (en) 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
JPH07153825A (ja) * 1993-11-29 1995-06-16 Toto Ltd 静電チャック及びこの静電チャックを用いた被吸着体の処理方法
US6864570B2 (en) * 1993-12-17 2005-03-08 The Regents Of The University Of California Method and apparatus for fabricating self-assembling microstructures
JPH08507196A (ja) * 1994-01-31 1996-07-30 アプライド マテリアルズ インコーポレイテッド 共形な絶縁体フィルムを有する静電チャック
US6278600B1 (en) 1994-01-31 2001-08-21 Applied Materials, Inc. Electrostatic chuck with improved temperature control and puncture resistance
US5729423A (en) * 1994-01-31 1998-03-17 Applied Materials, Inc. Puncture resistant electrostatic chuck
US5885469B1 (en) * 1996-11-05 2000-08-08 Applied Materials Inc Topographical structure of an electrostatic chuck and method of fabricating same
US5801915A (en) * 1994-01-31 1998-09-01 Applied Materials, Inc. Electrostatic chuck having a unidirectionally conducting coupler layer
TW288253B (ko) * 1994-02-03 1996-10-11 Aneruba Kk
US5535090A (en) * 1994-03-03 1996-07-09 Sherman; Arthur Electrostatic chuck
GB2293689A (en) * 1994-09-30 1996-04-03 Nec Corp Electrostatic chuck
US5671116A (en) * 1995-03-10 1997-09-23 Lam Research Corporation Multilayered electrostatic chuck and method of manufacture thereof
US6042686A (en) * 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
EP0764979A3 (en) * 1995-09-20 1998-07-15 Hitachi, Ltd. Electrostatically attracting electrode and a method of manufacture thereof
US5835333A (en) * 1995-10-30 1998-11-10 Lam Research Corporation Negative offset bipolar electrostatic chucks
US5841623A (en) * 1995-12-22 1998-11-24 Lam Research Corporation Chuck for substrate processing and method for depositing a film in a radio frequency biased plasma chemical depositing system
US5838529A (en) * 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US5810933A (en) * 1996-02-16 1998-09-22 Novellus Systems, Inc. Wafer cooling device
US5656093A (en) * 1996-03-08 1997-08-12 Applied Materials, Inc. Wafer spacing mask for a substrate support chuck and method of fabricating same
US5708250A (en) * 1996-03-29 1998-01-13 Lam Resarch Corporation Voltage controller for electrostatic chuck of vacuum plasma processors
US5812361A (en) * 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5858099A (en) * 1996-04-09 1999-01-12 Sarnoff Corporation Electrostatic chucks and a particle deposition apparatus therefor
US5761023A (en) * 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
US5751537A (en) * 1996-05-02 1998-05-12 Applied Materials, Inc. Multielectrode electrostatic chuck with fuses
US5745332A (en) * 1996-05-08 1998-04-28 Applied Materials, Inc. Monopolar electrostatic chuck having an electrode in contact with a workpiece
US5764471A (en) * 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5825607A (en) * 1996-05-08 1998-10-20 Applied Materials, Inc. Insulated wafer spacing mask for a substrate support chuck and method of fabricating same
US5751538A (en) * 1996-09-26 1998-05-12 Nikon Corporation Mask holding device and method for holding mask
US6217655B1 (en) 1997-01-31 2001-04-17 Applied Materials, Inc. Stand-off pad for supporting a wafer on a substrate support chuck
US6529362B2 (en) 1997-03-06 2003-03-04 Applied Materials Inc. Monocrystalline ceramic electrostatic chuck
US5737178A (en) * 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
US6441514B1 (en) 1997-04-28 2002-08-27 Ultratech Stepper, Inc. Magnetically positioned X-Y stage having six degrees of freedom
US5886432A (en) * 1997-04-28 1999-03-23 Ultratech Stepper, Inc. Magnetically-positioned X-Y stage having six-degrees of freedom
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US5978202A (en) * 1997-06-27 1999-11-02 Applied Materials, Inc. Electrostatic chuck having a thermal transfer regulator pad
US5880924A (en) * 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
US5901030A (en) * 1997-12-02 1999-05-04 Dorsey Gage, Inc. Electrostatic chuck employing thermoelectric cooling
US6069483A (en) * 1997-12-16 2000-05-30 Intel Corporation Pickup chuck for multichip modules
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6149774A (en) * 1998-06-10 2000-11-21 Delsys Pharmaceutical Corporation AC waveforms biasing for bead manipulating chucks
US6063194A (en) * 1998-06-10 2000-05-16 Delsys Pharmaceutical Corporation Dry powder deposition apparatus
US5886866A (en) * 1998-07-06 1999-03-23 Applied Materials, Inc. Electrostatic chuck having a combination electrode structure for substrate chucking, heating and biasing
US6115232A (en) * 1998-12-03 2000-09-05 Lsi Logic Corporation Method for forming an ion implanted electrostatic chuck
US6923979B2 (en) * 1999-04-27 2005-08-02 Microdose Technologies, Inc. Method for depositing particles onto a substrate using an alternating electric field
DE10050413A1 (de) * 1999-10-14 2001-04-19 Schlumberger Technologies Inc Elektrostatische Spannvorrichtung
US6362946B1 (en) 1999-11-02 2002-03-26 Varian Semiconductor Equipment Associates, Inc. Electrostatic wafer clamp having electrostatic seal for retaining gas
US6538873B1 (en) 1999-11-02 2003-03-25 Varian Semiconductor Equipment Associates, Inc. Active electrostatic seal and electrostatic vacuum pump
US6686598B1 (en) 2000-09-01 2004-02-03 Varian Semiconductor Equipment Associates, Inc. Wafer clamping apparatus and method
US6501636B1 (en) * 2001-06-21 2002-12-31 Stephen Edward Savas Electrostatic clamp for holding workpieces with irregular surfaces
US7092231B2 (en) * 2002-08-23 2006-08-15 Asml Netherlands B.V. Chuck, lithographic apparatus and device manufacturing method
EP1391786B1 (en) 2002-08-23 2010-10-06 ASML Netherlands B.V. Chuck, lithographic apparatus and device manufacturing method
US20040066601A1 (en) * 2002-10-04 2004-04-08 Varian Semiconductor Equipment Associates, Inc. Electrode configuration for retaining cooling gas on electrostatic wafer clamp
TWI254841B (en) 2002-12-23 2006-05-11 Asml Netherlands Bv Lithographic apparatus
DE10344492B4 (de) * 2003-09-24 2006-09-07 Carl Zeiss Nts Gmbh Teilchenstrahlgerät
US6897945B1 (en) * 2003-12-15 2005-05-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7088431B2 (en) 2003-12-17 2006-08-08 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
KR100773723B1 (ko) 2005-09-08 2007-11-06 주식회사 아이피에스 플라즈마 처리장치
US20070139855A1 (en) * 2005-12-21 2007-06-21 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing an electrostatic clamp for a lithographic apparatus
KR100763532B1 (ko) * 2006-08-17 2007-10-05 삼성전자주식회사 웨이퍼 지지장치, 웨이퍼 노광 장치 및 웨이퍼 지지방법
WO2008083002A1 (en) * 2006-12-26 2008-07-10 Fujifilm Dimatix, Inc. Printing system with conductive element
KR101125885B1 (ko) * 2007-07-31 2012-03-22 어플라이드 머티어리얼스, 인코포레이티드 감소된 플라즈마 침투 및 아킹을 갖는 정전척을 제공하는 방법 및 장치
US7940511B2 (en) 2007-09-21 2011-05-10 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp
JP2010161319A (ja) * 2009-01-09 2010-07-22 Nikon Corp 静電吸着保持装置、露光装置及びデバイスの製造方法
US8139340B2 (en) 2009-01-20 2012-03-20 Plasma-Therm Llc Conductive seal ring electrostatic chuck
US8820728B2 (en) * 2009-02-02 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor wafer carrier
CH702404A1 (de) * 2009-12-07 2011-06-15 Iworks Ag Vorrichtung und Verfahren für das Halten und Transportieren von Substraten.
US8672311B2 (en) * 2010-06-01 2014-03-18 Varian Semiconductor Equipment Associates, Inc. Method of cooling textured workpieces with an electrostatic chuck
NL2007452A (en) * 2010-12-08 2012-06-11 Asml Holding Nv Electrostatic clamp, lithographic apparatus and method of manufacturing an electrostatic clamp.
US9360771B2 (en) * 2011-03-17 2016-06-07 Asml Netherlands B.V. Electrostatic clamp, lithographic apparatus, and device manufacturing method
NL2008630A (en) * 2011-04-27 2012-10-30 Asml Netherlands Bv Substrate holder, lithographic apparatus, device manufacturing method, and method of manufacturing a substrate holder.
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
FR2985087B1 (fr) * 2011-12-21 2014-03-07 Ion Beam Services Support comportant un porte-substrat electrostatique
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102036907B1 (ko) * 2013-04-17 2019-10-28 삼성디스플레이 주식회사 패턴 마스크 제작을 위한 금속 시트의 고정 장치
DE102014008030A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Verfahren zur Herstellung einer elektrostatischen Haltevorrichtung
DE102014008029B4 (de) 2014-05-28 2023-05-17 Asml Netherlands B.V. Elektrostatische Haltevorrichtung mit einer Elektroden-Trägerscheibe und Verfahren zur Herstellung der Haltevorrichtung
DE102014008031B4 (de) 2014-05-28 2020-06-25 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Keramik-Elektrode und Verfahren zur Herstellung einer solchen Haltevorrichtung
DE102014007903A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit Noppen-Elektroden und Verfahren zu deren Herstellung
US9786539B2 (en) * 2014-07-16 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd Wafer chuck
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
EP3262677A1 (en) 2015-02-23 2018-01-03 M Cubed Technologies Inc. Film electrode for electrostatic chuck
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102643141B1 (ko) * 2016-11-03 2024-03-04 삼성디스플레이 주식회사 정전 척 및 정전 흡착 장치
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
DE102019108855B4 (de) * 2019-04-04 2020-11-12 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Schichtverbund-Elektrodeneinrichtung und Verfahren zu deren Herstellung
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
CN113892061A (zh) * 2019-05-29 2022-01-04 Asml控股股份有限公司 分裂式双面晶片和掩模板夹具
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
DE102020104238A1 (de) 2020-02-18 2021-08-19 Berliner Glas GmbH Verfahren und Poliervorrichtung zur Bearbeitung eines plattenförmigen Bauteils, und plattenförmiges Bauteil, insbesondere elektrostatische Haltevorrichtung oder Immersions-Wafertafel
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWD223375S (zh) * 2021-03-29 2023-02-01 大陸商北京北方華創微電子裝備有限公司 靜電卡盤
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
DE102021115970A1 (de) 2021-06-21 2022-12-22 Asml Netherlands B.V. Haltevorrichtung zur Bauteil-Halterung und Verfahren zur Herstellung der Haltevorrichtung
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1443215A (en) * 1973-11-07 1976-07-21 Mullard Ltd Electrostatically clamping a semiconductor wafer during device manufacture
JPS5267353A (en) * 1975-12-01 1977-06-03 Hitachi Ltd Electrostatic chuck
IL56224A (en) * 1978-01-16 1982-08-31 Veeco Instr Inc Substrate clamp for use in semiconductor fabrication
DD143131A1 (de) * 1979-04-26 1980-07-30 Ute Bergner Vorrichtung zum elektrostatischen halten von werkstuecken,insbesondere halbleiterscheiben
JPS5625259U (ko) * 1979-08-01 1981-03-07
US4384918A (en) * 1980-09-30 1983-05-24 Fujitsu Limited Method and apparatus for dry etching and electrostatic chucking device used therein

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970008470A (ko) * 1995-07-18 1997-02-24 제임스 조셉 드롱 유체 흐름 조절기를 가지는 정전기 척

Also Published As

Publication number Publication date
KR900003615B1 (ko) 1990-05-26
GB2106325A (en) 1983-04-07
EP0074691B1 (en) 1986-01-22
DE3268680D1 (en) 1986-03-06
JPS6331937B2 (ko) 1988-06-27
EP0074691A2 (en) 1983-03-23
EP0074691A3 (en) 1983-10-12
US4502094A (en) 1985-02-26
JPS5857736A (ja) 1983-04-06

Similar Documents

Publication Publication Date Title
KR840001775A (ko) 정전 처크
KR890004420A (ko) 전도 링크 회로
KR920007199A (ko) 반도체기억장치
KR840002468A (ko) 전해조에 사용되는 전극 구조물 및 그 전극 구조물을 과포하는 전해조
KR910015086A (ko) 고상 스파크 간극
GB1397181A (en) Film circuit assemblies
KR910003856A (ko) 제1차 및 제2차 전기 셀용 전극
KR910010656A (ko) 대전력용 반도체장치
KR950030735A (ko) 화학증착 장치용 히터
KR830002599A (ko) 써어멀 프린트 헤드(thermal print head)
KR850008066A (ko) 가소급전(可消給電)포스트(post)
KR910008861A (ko) 집적회로소자
KR910008860A (ko) 전력용 압접형 반도체장치
TR21912A (tr) Oezellikle sogutucu makinalara mahsus akim gecirme tertibati
ES335014A1 (es) Un dispositivo de lamina bimetalica que lleva un primer contacto destinado a aplicarse con un segundo contacto de un interruptor electrico.
FR2423953A1 (fr) Circuit imprime a composants electroniques incorpores et son procede de fabrication
KR850006299A (ko) 배선기판
KR910007152A (ko) 교류제어소자
KR900019104A (ko) 방열형 선상 음극
AR014492A1 (es) Soporte para una disposicion determinada de contactos electricos
KR910020955A (ko) 반도체발광장치
KR830004645A (ko) 전기전도성 적층판(積層板)
KR890004416A (ko) 집적 반도체회로
KR900001025A (ko) 반도체장치
KR930003290A (ko) 메탈콘택 형성방법 및 그 구조