KR20010082369A - 인/아웃 로드 포트 이송 메카니즘 - Google Patents

인/아웃 로드 포트 이송 메카니즘 Download PDF

Info

Publication number
KR20010082369A
KR20010082369A KR1020017007675A KR20017007675A KR20010082369A KR 20010082369 A KR20010082369 A KR 20010082369A KR 1020017007675 A KR1020017007675 A KR 1020017007675A KR 20017007675 A KR20017007675 A KR 20017007675A KR 20010082369 A KR20010082369 A KR 20010082369A
Authority
KR
South Korea
Prior art keywords
support
conveyor
product
assembly
pod
Prior art date
Application number
KR1020017007675A
Other languages
English (en)
Inventor
안토니 씨. 보노라
리차드 에이치. 고울드
Original Assignee
더글라스 제이. 맥큐천
어사이스트 테크놀로지스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 더글라스 제이. 맥큐천, 어사이스트 테크놀로지스, 인코포레이티드 filed Critical 더글라스 제이. 맥큐천
Publication of KR20010082369A publication Critical patent/KR20010082369A/ko

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B65CONVEYING; PACKING; STORING; HANDLING THIN OR FILAMENTARY MATERIAL
    • B65GTRANSPORT OR STORAGE DEVICES, e.g. CONVEYORS FOR LOADING OR TIPPING, SHOP CONVEYOR SYSTEMS OR PNEUMATIC TUBE CONVEYORS
    • B65G37/00Combinations of mechanical conveyors of the same kind, or of different kinds, of interest apart from their application in particular machines or use in particular manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67727Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using a general scheme of a conveying path within a factory
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67733Overhead conveying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67736Loading to or unloading from a conveyor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Warehouses Or Storage Devices (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Intermediate Stations On Conveyors (AREA)
  • Specific Conveyance Elements (AREA)
  • Refuse Collection And Transfer (AREA)
  • Branching, Merging, And Special Transfer Between Conveyors (AREA)
  • Medicines Containing Material From Animals Or Micro-Organisms (AREA)
  • Discharge Of Articles From Conveyors (AREA)
  • Mechanical Control Devices (AREA)
  • Spinning Or Twisting Of Yarns (AREA)
  • Control Of Conveyors (AREA)
  • Supplying Of Containers To The Packaging Station (AREA)
  • Knitting Machines (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Abstract

컨베이어(14)와 스테이션(16) 사이로 하나 이상의 제품(12)을 이동시키기 위한 이송 시스템(10)이 개시된다. 시스템(10)은 제품이 컨베이어(14)에 의하여 운반될 때 제품(12)과 결합되고, 제품(12)을 지지부(60)위의 상승 위치로 상승시키며, 상승 위치로부터 컨베이어(14)로 제품을 하강시키도록 구성된 리프팅 메카니즘(62)을 갖는다. 조립체(10)는 또한 제품(12)을 상승 위치로부터 스테이션(16)으로 이동시키며, 제품(12)을 스테이션(16)으로 배치하며, 제품(12)을 스테이션(16)으로부터 컨베이어(14)상의 상승 위치로 복귀시키도록 구성된 변위 장치(114)를 포함한다. 시스템(10)은 또한 한 쌍의 이격된 레일(116)을 가지는 컨베이어(14)와 컨베이어(14)의 일 측면에 배치된 스테이션(16) 사이에 제품(12)을 이송시키는 방법을 포함한다. 이러한 방법은 레일(116) 사이를 제품(12)의 하부면과 결합시키는 단계와 상기 제품(12)을 상승 위치로 상승시키는 단계와 상기 상승 위치와 상기 스테이션(16) 근처의 위치 사이로 컨베이어(14)에 수직한 방향으로 제품(12)을 이동시키는 단계를 포함한다.

Description

인/아웃 로드 포트 이송 메카니즘{IN/OUT LOAD PORT TRANSFER MECHANISM}
자동화된 이송 시스템은 제품을 이송하기 위한 다양한 적용분야에 이용된다. 제품은 제품의 흐름을 제어하는 자동화된 장비를 이용하여 이송 시스템상으로 통상적으로 로딩된다. 또한 자동화된 장비는 이송 시스템 및/또는 제거 장비가 수 개의 제품을 인접한 제품 사이의 충돌을 방지하도록 접촉 포인트 근처에서 모이도록 설계되고, 배출 포인트에서 제품을 제거하기 위하여 이용된다. 반도체 프로세싱을 포함하는, 소정의 적용분야에서, 제품은 이송 시스템 경로를 따른 하나 이상의 위치에서 이송 시스템으로부터 워크 스테이션과 같은 스테이션으로 일시적으로 이동하여야 한다. 제품은 나중에 이송 시스템으로 복귀되고, 그때 제품을 다음 워크 스테이션 또는 배출 포인트로 이송한다. 경로를 따라 이송 시스템과 워크 스테이션 사이의 제품을 이동시킴으로써 이송이 이송 시스템의 동작의 상당한 간섭없이 달성되는 것을 보장하기 위한 처리가 복잡하게 될 수 있다. 이송 시스템의 동작에 대한 간섭없이, 이송 시스템과 워크 스테이션 사이의 효율적이고 편리한 이송 제품을 위한 시스템이 바람직하다.
제품을 중간 위치에서 이송 시스템으로부터 일시적으로 제거시키는 분야의 일 예는 반도체 프로세싱 분야이다. 이러한 분야에서, 이송 시스템은 반도체 웨이퍼 또는 다른 기판을 수개의 상이한 프로세싱 머신으로 이송하기 위하여 이용될 수 있다. 웨이퍼는 프로세싱이 완료된 후 프로세싱을 위해 머신으로 이송되고 다음 프로세싱 머신으로 전달을 위하여 이송 시스템으로 복귀되어야 한다. 웨이퍼는 프로세싱 머신의 환경 외부로 기판의 소정의 노출을 최소화하고 미립자 오염물에 대하여 웨이퍼를 보호하도록 통상적으로 밀봉된 이송 장치 또는 포드와 같은 보호용 용기에 보유된다. 각각의 프로세싱 머신의 입구에는 보호 환경에서 이송 포드로부터 웨이퍼를 자동적으로 제거하도록 설계된 로드 포트가 제공된다. 설비의 동작 중, 이송 포드는 로드 포트와 이송 시스템 사이를 자주 이동하여야 한다.
통상적으로, 반도체 제조 설비는 수개의 프로세싱 머신을 각각 포함하는 다수의 베이로 구성된다. 다양한 시스템은 베이내의 머신 사이에 이송 포드를 이동시키도록 적용된다. 예를 들면, 많은 시스템은 카트를 이용하여 포트로부터 포트로 이송 포드를 이송하기 위하여 노동자에 의존한다. 노동자는 프로세싱이 완료된 후 이송 포드를 카트로 복귀시키기 위하여 통상적으로 포드를 포트로 이동시키도록 수동 로보틱 링크 또는 다른 리프팅 장치를 작동시킨다. 프로세스는 다음 머신에서 반복된다. 인트라-베이 이송(intra-bay transport)의 또 다른 시스템은 머신 사이에서 포드를 운반하고 로드 포트내로 포드를 자동적으로 이동시키는 자동 안내 운반기구(AGVs)에 의존한다. 카트를 추진시키는 노동자는 이송 시스템의 동작을 간섭하지 않고 로드 프트로 포드를 이송하는 문제를 피한다. 그러나, 카트 및 AGV는 또한 이송 시스템 경로를 따라 제품을 효율적이고 신속하게 이동시킬 수 있는 자동화된 이송 시스템과 관련된 장점이 결핍된다.
오버헤드 이송 시스템은 또한 인트라-베이 루프를 따라 이송 포드로 이용된다. 포드는 포트상으로 포드를 하강시키는 호이스트 또는 유사 장치에 의하여 로드 포트로 이송된다. 이송 시스템으로부터 머신으로 포드를 성공적으로 이송하기 위하여, 포드는 로드 포트와 정확히 정렬되고 소정의 포드의 스윙이 최소화되도록 제어된 방식으로 포트상으로 하강된다. 프로세싱후, 호이스트 또는 다른 장치는 포드와 결합되고 포드를 이송 시스템으로 상승시켜야 한다. 포드를 로드 포트로 이송하기 위하여 호이스트 또는 다른 장치를 이용하는 것은 복잡하며, 이러한 방법은 이송 시스템이 로드 포트상에 배치될 때만 이용할 수 있다. 더욱이, 오버헤드 이송 시스템으로, 이송 시스템에 의하여 운반되는 소정의 소립자 오염물 또는 부수러기는 머신 및 로드 포트상의 베이 주위로 유입된다. 그러므로, 이러한 오염물은 로드 포트 또는 머신의 다른 민감한 영역으로 떨어지거나 유입될 수 있다. 로드 포트 아래 또는 레벨에 이송 시스템을 배치하는 것은 이러한 위험을 감소시킨다. 그러나, 호이스트 장치는 포트의 레벨 또는 포트 아래 배치되는 이송 시스템으로부터 로즈 포트로 포드를 이송하기 위하여 이용되지 않을 수 있다. 로드 포트의 전방에 배치된 제품을 이송 시스템으로부터 워크 스테이션으로 이송하기 위한 시스템은 바람직하다.
반도체 웨이퍼는 특히 프로세싱의 나중 단계에서 민감하며 매우 값어치있다. 직접 회로는 반도체 웨이퍼 또는 다른 기판상의 다수의 층을 형성하기 위하여 제조될 수 있다. 기술의 진보에 의하여, 직접 회로는 매우 복잡하게 될 수 있으며 통상적으로 복잡한 와이어링의 다중 층을 통상적으로 포함한다. 단일 웨이퍼상에 배치된 다수의 직접 회로는 직접 회로의 감소하는 크기에 의하여 증가된다. 반도체 웨이퍼의 표준 크기는 수년내에 200 mm로부터 300 mm로 증가하거나 더 커지며, 단일 웨이퍼를 형성할 수 있는 직접 회로의 수가 증가한다. 증가된 복잡성 및 감소된 직접회로의 크기의 결과로서, 반도체 웨이퍼의 값은 다양한 프로세싱 단계를 통하여 웨이퍼 진보로서 상당히 증가한다. 그러므로, 손상된 웨이퍼가 상당한 금전적 손실을 발생시킬 수 있으므로 반도체 웨이퍼의 처리, 특히 나중의 프로세싱 단계에서 상당한 주의가 기울여 진다. 세정룸 주위의 조건은 웨이퍼가 포드를 이송하기 위하여 이용될 수 있는 시스템상에 추가적인 억제 웨이퍼 장소를 프로세싱 하기 위해 소립자 오염물이 없는 것이다. 세정룸 주위에서의 동작을 위하여 적절한 로드 포트 및 이송 시스템 사이의 제품을 이송하기 위한 시스템이 바람직하다.
이송 시스템과 로드 포트 또는 다른 워크 스테이션 사이의 반도체 웨이퍼와 같은 제품을 이동시키기 위한 이송 시스템, 반도체 웨이퍼를 운반하기 위한 이송 포드, 또는 다른 용기는 바람직하다. 조제, 의학 시스템, 평패널 디스플레이, 예를 들면 디스크 드라이브 시스템, 모뎀 등과 같은 컴퓨터 하드웨어, 반도체 웨이퍼 및 리소그래픽 리티클(lithography reticles)로 제한되지 않는 것을 포함하여, 반도체 프로세싱이 아닌 분야에서 이용될 수 있는 이송 시스템도 바람직하다.
본 발명은 일반적으로 로드 포트로 제품을 이송하는 시스템에 관한 것으로, 특히 컨베이어와 로드 포트 사이로 제품을 이동시키는 시스템에 관한 것이다.
도 1은 본 발명에 따른 이송 조립체와 관련된 컨베이어 시스템의 일 예를 도시한 개략도.
도 2a는 본 발명의 일 실시예에 따라 도 1에 도시된 컨베이어 시스템의 섹션을 도시한 사시도.
도 2b는 본 발명의 다른 실시예에 따라 도 1에 도시된 컨베이어 시스템의 섹션을 도시한 사시도.
도 3a는 도 2a의 라인 3a-3a를 따라 취한 단면도.
도 3b는 도 2b의 라인 3b-3b를 따라 취한 단면도.
도 4는 본 발명에 따라 한 쌍의 이송 조립체를 도시한 사시도.
도 5 내지 도 8은 도 4의 이송 조립체의 단면도로서, 컨베이어로부터 워크 스테이션으로의 이송 포드의 이동을 도시한 도면.
도 9는 본 발명의 다른 실시예에 따른 이송 조립체의 사시도로서, 수축 위치에서 도시한 도면.
도 10은 도 9의 이송 조립체의 사시도로서, 상승 위치에서 도시한 도면.
도 11은 도 9의 이송 조립체의 사시도로서, 로드 포트로부터 상승시키기 전에 연장 위치에서 도시한 도면.
도 12는 도 9의 이송 조립체의 측면도로서, 도 11의 위치에서 도시한 도면.
도 13은 도 9의 이송 조립체의 측면도로서, 이송 포드를 지지하는 상승 위치에서 도시한 도면.
도 14는 도 9의 이송 조립체의 측면도로서, 이송 포드가 컨베이어상에 배치되는 상승 위치에서 도시한 도면.
도 15는 도 9의 이송 조립체의 개략도로서, 연장 위치에서 도시한 도면.
도 16은 본 발명의 또 다른 실시예에 따른 이송 조립체의 평면도로서, 수축 위치에서 도시한 도면.
도 17은 도 16의 이송 조립체의 측면도로서, 이송 포드를 지지하는 연장 위치에서 도시한 도면.
본 발명의 주요 목적은 컨베이어와 워크 스테이션 사이로 제품을 이송하기위한 시스템을 제공하는 것이다.
본 발명의 다른 목적은 세정룸 주위의 컨베이어와 워크 스테이션 사이로 제품을 이송하기 위한 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 컨베이어의 동작을 상당히 간섭하지 않고 컨베이어와 워크 스테이션 사이에 제품을 자동적으로 이송하기 위하여 이용될 수 있는 이송 시스템을 제공하는 것이다.
본 발명의 또 다른 목적은 프로세싱 머신의 컨베이어와 로드 포트 사이에 다수의 반도체 웨이퍼를 보유하는 이송 포드 또는 다른 용기를 이동시키기 위한 이송 시스템을 제공하는 것이다.
본 발명의 더욱 전체적인 목적은 효율적으로 구성되고, 작동되며 유지될 수 있으며 다양한 표준 로드 포트와 양립할 수 있는 이송 시스템을 제공하는 것이다.
요약하면, 본 발명은 컨베이어와 스테이션 사이에 제품을 이동시키기 위한 이송 시스템을 제공하는 것이다. 예를 들면, 제품은 반도체 웨이퍼와 같은 하나 이상의 제품(본 명세서에서 단수 뿐만 아니라 복수로서 지칭된다.)을 수용하는 포드 또는 다른 용기와 같은 이송 장치일 수 있으며, 스테이션은 반도체 프로세싱 머신 또는 워크 스테이션일 수 있다. 선택적으로, 이송 시스템은 빈 용기를 이송하기 위하여 이용될 수 있다. 시스템은 제품을 이동시키기 위한 이송 조립체를 포함한다. 이송 조립체는 일반적으로 컨베이어에 의하여 운반된 제품과 결합시키며 컨베이어상의 상승 위치로 제품을 상승시키도록 형성된 리프팅 메카니즘을 포함할 수 있다. 리프팅 메카니즘은 또한 제품이 복귀될 때 상승 위치로부터 컨베이어로 제품을 낮추도록 형성된다. 이송 조립체는 컨베이어상의 상승 위치로부터 스테이션으로 제품을 이동시키며, 제품을 워크 스테이션에 배치하며, 스테이션으로부터 컨베이어상의 상승 위치로 제품을 복귀시키도록 형성된 변위장치를 포함한다.
본 발명의 이송 시스템은 또한 이격된 한 쌍의 레일을 가지는 컨베이어와 컨베이어의 일 측면에 배치된 스테이션 사이에 제품을 이송하는 방법을 포함한다. 본 방법은 레일 사이와 제품의 하부면을 결합시키는 단계 및 상승 위치로 제품을 상승시키는 단계, 및 상승 위치로부터 스테이션 근처의 위치로 제품을 이동시키는 단계를 포함한다.
본 발명의 부가적인 목적 및 특징은 첨부된 도면을 참조하면 후술되는 상세한 설명과 첨부된 청구범위로부터 더욱 명백하다.
지금부터, 첨부한 도면들을 참고로 본 발명의 양호한 실시예에 대해서 상세하게 하겠다. 도면을 참조하면, 유사한 부품은 여러 도면들에서 유사한 참고부호에의해 표시되어 있으며, 기본 참고부호는 도 1 내지 도 3에 도시되어 있다.
본 발명의 이송 시스템(10)은 특히 컨베이어(14)와 워크 스테이션와 같은 스테이션(16)사이로 하나 이상의 제품(12)을 이송하는데 적합하다. 본 발명의 도시된 실시예에서 설명된 바와 같이 하나의 적용분야에서, 이송 조립체(10)는 컨베이어와 프로세싱 머신 사이로 반도체 웨이퍼(W)를 수용하는 다른 용기 또는 이송 포드를 이동시키기 위해 이용된다. 그러나, 이송 시스템(10)이 반도체 처리에 제한되지 않음을 알 수 있다. 웨이퍼 이송 대신에, 이송 시스템은 다른 형태의 재료, 특히 세밀한 재료를 이송하는데 사용될 수 있으며, 여기서는 약제품, 의학 시스템, 평면 디스플레이, 리소그래픽 리티클(lithography reticles), 하드 디스크 드라이브 및 다른 형태의 컴퓨터 장비와 같은 재료를 컨베이어와 스테이션 사이에서 핸들링함에 있어 매우 주의를 기울어야 한다. 용어 "이송 장치" 또는 "이송 퍼드"는 편리를 위해서 상세한 전체에 거쳐서 사용되지만, 본 발명의 이송 시스템은 이송 퍼드에 제한되지 않고, 반도체 웨이퍼 또는 다른 품목을 유지하는 용기, 펠릿 또는 개별 이송 장치를 필요치 않고 컨베이어에 의해 직접 이송될 수 있는 제품과 빈 용기를 포함하는 어떠한 제품에서도 사용될 수 있다.
반도체 처리 분야에서, 제조 설비는 통상적으로 다수의 베이(bay)로 조직되어 있으며, 베이 각각은 몇 개의 처리 기계를 포함한다. 도 1은 다양한 스테이지에서 웨이퍼를 세척 및/또는 조절 등을 하기 위한, 웨이퍼상에 필름을 증착하기 위한 장비를 포함하는 몇 개의 처리 기계(16)를 가진 베이(18)의 가능한 예를 도시한다. 종래 기술에서 알려진 바와 같이, 처리 기계의 입구에는 종종 로드 포트(22)가 제공되어 있으며, 로드 포트에서 웨이퍼는 보호된 환경내에서 이송 퍼드 또는 다른 용기로부터 자동적으로 제거될 수 있다. 아래에 보다 상세히 설명되어 있는 바와 같이, 본 발명의 이송 시스템(10)은 이송 퍼드를 로드 포트(22)상에 놓는다. 이송 퍼드가 로드 포트에 적절히 위치설정되면, 퍼드는 자동적으로 개방되며 웨이퍼는 로봇 장치에 의해서 퍼드로부터 빠져나온다. 본 발명의 이송 시스템이, 워크 스테이션이 제품(12)을 위치설정시킬 수 있는 선반, 표면 또는 다른 지지체를 포함한다면, 로드 포트를 포함하지 않은 워크 스테이션(16)과 함께 사용될 수 있음을 알 수 있다. 변경적으로, 이송 시스템(10)은 제품(12)을 워크 스테이션의 제 위치에서 지지하는데 사용될 수 있으며, 이 경우에 제품(12)은 워크 스테이션상에 놓여지지 않는다.
컨베이어(14)는 프로세싱 머신(16)으로부터 프로세싱 머신(16)으로 제품을 이동한다. 도시한 예에서, 컨베이어(14)는 베이(18)둘레의 연속 통로내에 배열되어 있다. 그러나, 다른 통로 형상에서, 컨베이어(14)는 베이(18)의 다른 영역으로 바로 가는 지름길로서 또는 주 컨베이어 루프상의 교통흐름을 중단하지 않고 주 컨베이어 루프로부터 일시적으로 퍼드를 제거하는 유지 영역으로서 사용될 수 있는 하나 이상의 가로지름부(cross sections)를 포함할 수 있다. 컨베이어(14)의 형상은 특정 제조 설비의 한정조건에 따라서 상당히 변경하기 쉽다. 인터 베이 컨베이어는 베이사이로 퍼드를 이송하며, 한편 스토커(24)는 퍼드를 인터 베이 컨베이어와 컨베이어(14) 사이로 이송한다.
이송 시스템(10)은 특히 계류중인 미국 특허 출원 제 09/103, 479 호에 도시한 형태의 컨베이어 시스템과 함께 사용하기 적합하며, 상기 특허출원의 전체 내용은 여기서 참고로 사용된다. 도 1 내지 도 3의 예에서 도시한 바와 같이, 컨베이어 시스템(14)은 이송 퍼드가 일반적으로 컨베이어 통로를 따라서 이동할 때, 이를 지지하기 위한 한 쌍의 레일(32, 34)을 포함한다. 레일(32)은 레일(32, 34)을 따라서 이송 퍼드(12)를 추진하고 선택적으로 안내하는 구동 레일로서 작용한다. 퍼드(12)를 이동하기 위한 모든 추진력은 구동 레일(32)을 통해서 공급된다. 추진력은 종래 수단에 의해서 구동 레일(32)에 공급될 수 있다. 변경적으로, 추진력은 파워 버스(21, 도 3a에 도시함)에 의해 구동 레일(32)에 공급될 수 있다. 레일(34)은 이송 퍼드가 컨베이어 통로를 따라서 이동할 때 어느 레벨의 방위에 유지하도록 이송 퍼드를 지지하는 기능을 가진 아이들러(idler) 또는 지지 레일이다. 선택적으로, 구동 레일(32)과 대조적으로, 지지 레일(34)은 이송 퍼드가 컨베이어 시스템을 따라서 이동할 때 이송 퍼드를 안내하는데 사용될 수 있다. 컨베이어 시스템(14)은 또한 웨이퍼 또는 다른 재료를 이동하기 위한 이송 장치를 포함한다. 본 실시예에서, 도 2 및 도 3에 도시한 바와 같이, 이송 장치는 이송 퍼드의 일부이다. 본 발명의 다른 실시예에서, 이송 장치는 다른 용기 또는 제품의 일부분일 수 있거나 또는 이송 장치는 컨베이어를 따라서 재료를 이송하는데 사용될 수 있는 개별 장치일 수 있다.
구동 레일(32)은 레일(32, 34)을 따라서 퍼드(12)를 추진하기 위한, 전체적으로 도면부호 36으로 도시한 구동 시스템을 포함한다. 본 발명의 도시한 실시예에서, 구동 시스템(36)은 구동 레일(32)의 상부면으로부터 돌출하는 다수의 휠(38)을포함한다. 구동 휠(38)은 구동 레일(32)을 따라 퍼드를 추진하도록 이송 퍼드의 하측과 마찰적으로 결합한다. 구동 시스템(36)은 또한 모터와 같은 휠을 구동하기 위한 수단과 휠에 결합된 벨트를 포함한다. 양호하게, 모터는 독립적으로 작동하여 다수의 독립적으로 제어되는 구동 영역을 제공함으로, 각 영역의 구동 속도와 방향(전방향 또는 역방향)은 독립적으로 제어될 수 있다. 인접한 작동 영역의 휠(38)들은 동일한 속도로 가속 및 감속되므로, 이송시 인접한 영역내의 휠에 의한 이송 퍼드상에 부과된 속도는 영역 사이의 이송시에 동시에 일어난다. 퍼드가 컨베이어를 따라서 추진되면, 퍼드 바로 아래에 있는 작동 영역과 퍼드에 인접한 하나 이상의 영역 만은 언제든지 활성 모션에 있다. 이것은 시스템의 전력소비를 감소하고 구동 시스템(36)의 부품의 작동 수명을 연장한다. 다른 퍼드에 인접하고 아래에 있는 구동 영역은 고정상태 또는 비활성 모드로 유지될 수 있어, 프로세싱 머신(16)중 하나 앞에서 처럼, 다수의 퍼드가 컨베이어의 영역내에서 축적하도록 허용한다. 양호하게, 퍼드는 이송 시스템이 중단되거나 비활성 모드일 때 인접 영역내에 배치된다. 구동 시스템(36)의 작동은 제어 시스템에 의해 제어된다. 제어 시스템은 또한 양호하게 컨베이어를 따라서 퍼드의 진행을 모니터하는 하나 이상의 센서를 포함할 수 있다. 제어 시스템은 계류중인 미국 특허출원 제 09/212,002 호에 보다 잘 설명되어 있으며, 이 특허출원은 여기서 참고로 사용된다.
도 3에 도시한 바와 같이, 구동 휠(38)은 통로를 따라서 퍼드를 추진하고 선택적으로 안내하도록 이송 장치와 협력하며, 이 실시예에서는 이송 퍼드이다. 구동 휠(38)은 퍼드의 아래측내에 형성된, 홈(40) 또는 다른 적합한 표면과 결합한다.홈(40)은 퍼드가 구동 휠(38)상에 놓이는 수평 평면을 한정한다. 구동 휠(38)과 홈(40)사이의 결합은 퍼드의 측면 또는 측면 대 측면(side-to-side) 이동뿐만 아니라 퍼드의 수직이동을 제어한다. 홈(40)과 구동 휠(38)의 조합이 바람직하지만, 이송 장치, 구동 레일(32) 또는 아이들러 레일(34)이 레일(32, 34)를 따라서 이동할 때 퍼드를 안내하기 위한 안내 장치를 포함한다면 홈(40)은 완전히 제거될 수 있다. 변경 실시예에서, 아이들러 레일(34)은 이송 장치를 안내하고, 그리고 이송 장치와 협력해서 수직과 측면 양 방향으로 장치의 이동을 억제할 수 있으며 본 예에서는 구동 레일(32)는 이송 장치의 수직 이동만을 억제하고 있다.
아이들러 레일(34)은 구동 레일(32)에 평행하고 이로부터 이격되어 있다. 하나 이상의 커넥터(44)는 컨베이어의 시설 설치물과 레일사이의 예정된 간격을 유지하도록 구동 및 아이들러 레일(32, 34)에 장착되어 있다. 구동 레일(32)과 커넥터(44)는 적당한 장착 프레임에 장착되거나 오버헤드 프레임(도시 생략)에 의해 천정으로부터 매달려 있을 수 있거나 또는 프로세스 툴로부터 직접 또는 간접적으로 지지될 수 있다. 퍼드는 아이들러 레일(34)의 상면을 따라서 얹혀 있으며, 아이들러 레일(34)은 이송 퍼드의 한 측면을 지지하도록 이송 장치와 협력한다. 도시한 실시예에서, 패드 또는 쿠션재(46)는 보다 부드러운 얹힘을 퍼드에 제공하도록 레일(34)의 상면에 따라서 제공되어 있다. 이것이 바람직할 지라도, 패드(46)는 생략될 수 있으며 퍼드는 레일(34)의 상면상에 직접 얹혀질 수 있다. 변경적으로, 퍼드, 쿠션 또는 탄성재는 구동 휠의 외주변에 설치될 수 있다. 이송 장치, 여기서 이송 퍼드에 의해 운반된 하나 이상의 슈(48)는 아이들러 레일(34)의 상면을 따라서 얹혀 있다. 슈(48)는 적합하게 휠에 의해 제공되며, 슈는 또한 감마재 플라스틱면을 가진 고정 지지체, 에어 베어링 및 자기 부상 베어링을 포함하는 다른 형태를 취할 수 있으며, 그러나 이에 국한되지는 않는다. 퍼드가 컨베이어(14)를 다라서 추진되면, 슈(48)는 퍼드의 동요, 상하요동 또는 심한 진동을 최소화하면서, 퍼드가 부드럽고 제어된 방식으로 이동하도록 허용하는 방식으로 퍼드를 지지하기 위해서 아이들러 레일(34)을 따라서 얹혀있다.
도 2b와 도 3b에 도시한 다른 변경 실시예에서, 슈(48)는 고정 지지체(49)에 의해 제공된다. 이 실시예에서, 아이들러 레일(34)은 고정 지지체(49)를 지지하기 위한 다수의 롤러(41)를 포함한다. 롤러(41)는 아이들러 레일(34)을 따라서 이동할 때 이송 퍼드의 하측상에서 고정 지지체(49)를 지지한다. 양호하게, 고정 지지체(49)는 감마 플라스틱 표면을 가질 것이다. 여전히 다른 실시예에서, 아이들러 레일(34)은 이송 장치의 안내뿐만 아니라 지지를 제공한다. 안내를 제공하기 위해서, 슈(48) 또는 아이들러 레일(34)은 안내 장치를 포함한다. 안내 장치가 아이들러 레일과 합체되어 있는 한 예는 v-레일의 사용하는 것이다. 변경적으로 슈는 안내 장치에 합체될 수 있다. 예를 들어, 슈(48)는 아이들러 레일(34)상에 롤러(41)를 결합하는 여기에 형성된 홈(도시 생략)을 가진 고정 지지체에 의해 제공될 수 있다. 슈의 특정 실시예가 기술되어 있어도, 슈(48)는 이송 장치를 지지 또는 지지 및 안내의 기능을 제공하는 많은 다른 형태를 취할 수 있다.
양호한 실시예에서 이송 시스템(10)이 계류중인 미국 특허출원 제 09/103,479 호의 컨베이어 시스템(14)에 사용될지라도, 이송 시스템(10)은 다른 형태의 평행 레일 컨베이어, 표준 롤러형 컨베이어 등을 포함하지만, 이에 제한되지 않고 여러 형태의 컨베이어에 사용될 수 있음을 알 수 있다.
이송 퍼드(12)는 프로세싱, 측정 및/또는 간단한 저장을 위해서 이송 시스템(10)에 의해 컨베이어(14)로부터 워크 스테이션(16)까지 자동적으로 이동되고, 그리고 나서 컨베이어(14)로 복귀된다. 상술한 바와 같이, 이송 시스템(10)은 특히 반도체 처리 분야에 사용하기 적합하지만, 또한 본 발명내에서 다른 분야에 대해서도 사용될 수 있다. 도시한 실시예에서, 이송 시스템(10)에 컨베이어(14)가 사용되고 있으면, 이송 퍼드(12)는 컨베이어로부터 해제되어야 하며, 즉, 퍼드(12)는 홈(40)이 구동 레일(32)을 제거하고 슈(48)가 구동 및 아이들러 레일(32, 34)을 제거하도록 구동 및 아이들러 레일(32, 34) 위로 충분히 상승되어 있어야 한다. 퍼드(12)가 컨베이어로 복귀된 후, 홈(40) 또는 다른 적합한 표면은 구동 휠(38)상에 놓여지고 슈(48)는 아이들러 레일(34)상에 놓여지게 된다. 로드 포트(22)와 포드(12)의 정렬은 또한 정확히 제어되어야 한다. 본 기술분야에 공지된 바와 같이, 로드 포트(22)는 이송 포드의 하부면의 슬롯(도시안됨)과 결합되는 다수의 키네매틱 핀(23)(도 4)를 포함한다. 이송 포드를 정렬하기 위하여 이용된 키네매틱 핀 또는 커플링의 이용 및 설명은 본 발명은 이에 제한 되지는 않지만 본 명세서에서 참고로서 첨부되는 관련 규정인 SEMI E47.1-0298, SEMI E57-0298, SEMI E15.1-0298 및 SEMI E19.4-94와 같은 소정의 반도체 장비 및 재료 국제 산업 표준(Semiconductor Equipment and Material Industrial(SEMI(R))에서 참고된다. 이송 포드(12)가 로드 포트(22)로 하강하기 전에, 슬롯은 로드 포트상의 키네매틱핀(23)과 주의깊게 정렬되어야 한다. 컨베이어(도시안됨)상의 하나 이상의 센서는 이송 조립체(10)에 의한 컨베이어와 로드 포트 사이로 포드의 이송을 위한 로드/언로드 위치에서 컨베이어상의 이송 포드(12)의 정확한 배치를 확인한다. 이송 조립체(10)는 도 4 내지 도 8에 대해 더욱 상세하게 설명된다.
이송 시스템(10)은 일반적으로 리프트 메카니즘(62)에 결합되는 지지부(60)를 포함한다. 도 4 내지 도 8에 도시된 실시예에서, 지지부(60)는 상기 컨베이어(14)와 상기 워크 스테이션(16) 사이로 연장된다. 지지부(60)는 포드(12)의 하부면과 결합되며 컨베이어(14)로부터 포드를 상승시키는 제 1 부분(64) 및 포드가 로드 포트(22)상으로 하강될 때 포드를 지지하는 제 2 부분(66)을 포함한다. 제 1 부분(64)은 컨베이어(14)의 형상에 상보적으로 형성된다. 즉, 제 1 부분(64)은 지지부(60)가 컨베이어에 대해 상승될 때 구동 및 아이들러 레일(32, 34)을 수용하도록 형성된다. 도시된 실시예에서, 제 1 부분(64)은 구동 및 아이들러 레일(32, 34) 사이에 실질적으로 배치된다. 포켓(70)은 제 1 부분(64)이 레일 사이의 영역에 포드(12)의 하부면과 결합하도록 구동 및 아이들러 레일(32, 34)을 수용하도록 형성되며, 레일과 접촉하지 않는 것이 바람직하다. 그러나, 본 발명의 다른 실시예에서, 지지부의 제 1 부분(64)은 아이들러 레일(34)을 넘어 연장되는 포드(12)의 일부분을 상승시키도록 아이들러 레일(34)의 외부로 연장될 수 있다. 이 형상은 구동 및 아이들러 레일(32, 34)가 서로 더 근접하게 배치되는 위치 또는 이송 포드(12) 또는 다른 장치가 레일(32, 34) 사이의 간격보다 실질적으로 더 넓은 위치에서 바람직할 수 있다. 지지부(60)의 제 1 부분(64)의 형상 및 포드(12)가 레일상에 시팅되는 방법은 레일 사이의 간격을 결정함으로써 거의 결정되는 것을 알 수 있다.
지지부(60)의 제 2 부분(66)은 로드 포트(22)의 형상에 상보적으로 형성된다. 도시된 실시예에서, 특히 도 4에 도시된 바와 같이, 제 2 부분(66)에는 로드 포트(22)의 대응면에 배치되는 한 쌍의 외팔보 레그가 제공된다. 이 형상은 포드가 컨베이어로부터 프로세싱 기계로 이동될 때 지지부의 제 2 부분(66)이 로드 포트의 표면상으로 상승되는 것을 허용한다. 포드가 로드 포트(22)와 수직으로 정렬될때, 포드(12)는 제 2 부분(66)의 표면을 로드 포트의 표면 아래로 이동시키도록 지지부(60)를 하강시킴으로써 로드 포트상에 배치될 수 있다. 도시된 실시예의 제 2 부분(66)의 형상은 포트가 제공된 현재의 로드 포트의 상당한 변형이 이송 포드(12)의 폭보다 더 좁아지는 것을 요구하지 않는다는 점에서 특히 유용하다. 그러나, 제 2 부분(66)은 본 발명의 범위내에서 다른 형상을 가질 수 있으며 본 발명은 설명된 특별히 도시된 실시예에 의하여 제한되지 않는다. 예를 들면, 로드 포트는 하나 이상의 내부로 연장되는 슬롯이 제공될 수 있으며 제 2 부분(66)은 슬롯내에 배치될 수 있다.
도시된 실시예에서, 특히 도 5에 도시된 바와 같이, 제 2 부분(66)은 지지부(60)의 제 1 부분과 통합적으로 형성된다. 바람직하다면, 제 1 및 제 2 부분은 적절한 설치 장치를 이용하여 서로 고정되는 개별적인 부품 또는 하나의 리프팅 메카니즘이 제 1 및 제 2 부분(64, 66)을 동시에 상승 및 하강시키기 위하여 이용될 수 있도록 리프트 메카니즘(62)으로 개별적으로 설치되는 개별적인 부품으로제공될 수 있다. 선택적으로, 제 1 및 제 2 부분(64, 66)은 상이한 리프트 메카니즘에 의하여 개별적으로 상승되는 개별적인 부품일 수 있다. 이러한 실시예는 포드 또는 다른 제품이 로드 포트 또는 유사한 구조물 대신 제 2 부분 지지부(60)에 의하여 워크 스테이션에 고정되는 적용분야에 유용할 수 있으며, 이는 제 1 부분이 컨베이어의 작동과 간섭되지 않도록 지지부의 제 1 부분이 하강될 수 있는 것을 의미한다.
리프트 메카니즘(62)은 컨베이어(14)와 로드 포트에 대해 지지부(60)의 상승 및 하강을 제어한다. 리프트 메카니즘은 일반적으로 적절한 프레임(도시안됨)에 설치되는 한 쌍의 고정 플레이트(72)를 포함한다. 플레이트(72)는 컨베이어(14)를 지지하는 동일한 프레임 또는 개별적인 프레임에 설치될 수 있다. 플레이트(72)는 수평 가이드 슬롯(74) 및 수직 가이드 슬롯(76)을 포함한다. 특히 도 5 내지 도 8에 도시된 바와 같이, 리프트 메카니즘(62)은 또한 지지부(60)를 상승시키기 위한 캠 조립체(78)를 포함한다. 캠 조립체(78)는 지지부(60)에 설치되는 한 쌍의 이격된 로터(80), 및 로터(80)에 의하여 운반되는 핀(84) 사이로 연장되고 상기 핀을 경유하여 로터(80)로 결합되는 캠 링키지(82)를 포함한다. 핀(84)은 고정 플레이트(72)에 형성된 수평 가이드 슬롯(74)을 통하여 연장된다. 핀(84)이 로터(80)의 회전에 의하여 원형 통로로 이동될 때 핀(84)이 가이드 슬롯(74)을 따라 슬라이드된다. 지지부에 의하여 운반되는 가이드 핀(86)은 수직 가이드 슬롯(76)을 따라 슬라이드되어 지지부(60)가 지지부의 측방향 요동이 거의 또는 전혀 없는 수직 방향으로 상방 및 하방으로 이동한다. 선택적으로, 리프트 메카니즘(62)은 단일 모터 구동(도시안됨)을 가지는 수 개의 동기화된 리드 스크류를 포함할 수 있다. 또한, 리프트 메카니즘은 링키지 시스템 또는 공기 실린더 시스템과 같은 특정된 예에 주어진 부품 대신 다른 적절한 부품을 포함할 수 있다.
도 5는 핀(84)이 로터의 중앙 축선상에 배치되도록 지향된 로터(80)를 구비한 하강 위치의 지지부(60)를 보여준다. 어느 한쪽 방향으로의 로터의 180°회전은 핀(84)을 도 6에 도시된 바와 같은 로터(80)의 축선 아래 위치로 이동시킨다. 핀(84)이 도 5 및 도 6에 도시된 위치 사이로 이동할 때, 핀(84)은 슬롯(74)을 따라 이동하고 컨베이어(14)의 레벨위로 포드(12)를 상승시키도록 고정 플레이트(72)에 대해 지지부를 상승시킨다. 지지부(60)는 도 8에 도시된 로터 축선상의 위치로 핀(84)을 복귀시키도록 로터의 180°회전에 의하여 하강한다.
이송 조립체(10)는 컨베이어(14)로부터 로드 포트상의 위치로 이송 포드(12)를 이동시키고, 프로세스 또는 다른 목적이 완료된 후 포드(12)를 컨베이어(14)로 복귀시키기 위한 변위 시스템(90)을 더 포함한다. 도시된 실시예에서, 다수의 휠(92)은 지지부(60)를 따라 제공된다. 도 6 및 도 7에 도시된 바와 같이, 이송 포드(12)는 직접 휠(92)에 지지된다. 휠(92)은 이송 포드(72)의 대응 측면을 지지하기 위하여 두 개의 평행한 로(rows)에 배치된다. 휠(92)은 지지부의 제 2 부분(66)으로 지지부(60)를 가로질러 이송 포드(12)를 추진하도록 휠(92)을 구동시키는 엔들리스 벨트(endless belt; 98) 및 감속 기어(96)를 경유하여 모터(94)에 결합된다. 지지부(60)의 두 개의 측면상의 휠(92)은 동일한 모터 또는 상이한 모터에 의하여 구동될 수 있다. 다른 수단이 휠(92)에 동력을 공급하거나지지부(60)를 가로질러 이송 포드(12)를 추진하도록 이용될 수 있다는 것을 이해할 수 있다.
도시되지는 않았지만, 로터(80) 및 모터(94)는 리프트 메카니즘과 변위 시스템의 작동 및 활성화를 제어하는 제어 시스템으로 결합된다. 제어 장치는 이송 조립체와 컨베이어의 작동을 동기화하기 위하여 컨베이어(14)의 제어 시스템으로 결합되는 것이 바람직하다. 하나 이상의 센서(도시안됨)는 지지부의 이송 포드(12)의 위치를 감지하도록 제공될 수 있다.
도시된 실시예의 이송 조립체(10)의 작동 순서는 도 5 내지 도 8에 도시된다. 도 5에서, 이송 포드(12)는 컨베이어(14)에 의하여 로드 포트(22)의 전면에 있는 위치로 이동된다. 로터(80)는 도 6에 도시된 위치로 지지부(60)를 상승시키도록 활성화된다. 지지부(60)가 상방으로 이동할 때, 지지부는 이송 포드(12)의 하부면과 결합되고 이송 포드(12)를 컨베이어(14)의 레벨위로 상승시킨다. 상승 위치에서, 모터(94)는 도 6에 도시된 위치로부터 이송 포드(12)가 로드 포트(23)의 키네매틱 핀(kinematic pins)과 거의 정렬되는 도 7에 도시된 위치로 지지부(60)를 가로질러 이송 포드(12)를 추진시키기 위하여 휠(92)을 전방 방향으로 회전시키도록 활성화된다. 이송 포드(12)가 지지부(60)의 제 2 부분(66)상에 배치될 때, 로터(80)는 지지부(60)를 낮추기 위하여 활성화되고 도 8에 도시된 바와 같이 로드 포트(22)의 이송 포드(12)를 배치한다.
이송 포드(12)가 로드 포트(22)상으로 이동된 후, 지지부(60)는 간섭없이 컨베이어(14)를 따라 하나 이상의 이송 포드(12)를 이동시키는 도 8에 도시된 하강위치에 남는다. 따라서, 이송 조립체(10)는 컨베이어(14)의 작동을 간섭하지 않고 워크 스테이션으로 이송 포드의 편리하고 효율적인 수단을 제공한다. 이러한 특징은 이송 포드(12)가 로드 포트에 배치된 포드를 지나서 빈 로드(empty load) 또는 다음의 프로세싱 머신으로 이동될 때 프로세싱 머신이 도 4에 도시된 바와 같은 다중 로드 포트를 포함하는 장소에서 유용하다. 이러한 특징은 제조 설비가 프로세싱 베이에 나란이 배치된 이중 머신을 포함할 수 있는 장소에서 또한 유용하다.
이송 포드(12)에 의하여 운반된 웨이퍼가 프로세싱된 후, 리프트 메카니즘(62)은 지지부가 로드 포트(22)로부터 이송 포드(12)를 상승시키도록 지지부(60)를 올리도록 활성화된다. 포드가 상승 위치에 있을 때, 모터(94)는 역전 방향으로 휠을 회전시키고 컨베이어를 가로질러 이송 포드를 후방으로 이동시키도록 활성화된다. 모터가 이송 포드의 이동을 정지하도록 비활성화될 때, 포드는 그루브(40) 또는 다른 적절한 표면, 및 포드의 하부면의 슈(shoe, 48)가 구동 및 아이들러 레일(32, 34)과 거의 수직으로 정렬되는 위치에 있다. 리프트 메카니즘은 그때 지지부(60)를 하강시키고 컨베이어(14)의 이송 포드(12)를 배치하도록 활성화되며, 컨베이어는 다음 프로세싱 머신으로 이송 포드(12)를 추진하기 시작한다.
도 4 내지 도 8에 도시된 이송 조립체(10)에 따라, 이송 포드(12)는 컨베이어(14)로부터 로드 포트(22)로 연장되는 지지부에 의하여 컨베이어상으로 상승된다. 그때 이송 포드(12)는 컨베이어(14)로부터 로드 포트(22)로 이동하며 지지부를 가로질러 포드를 추진함으로써 후방으로 이동한다. 도 9 내지 도 15는 컨베이어(14)와 워크 스테이션 사이로 이송 포드(12)를 이동시키기 위한 이송조립체(110)의 또 다른 실시예를 보여준다. 도 9는 이송 포드(12)가 로드 포트(22)상으로 하강된 후 철회 위치의 이송 조립체(110)를 보여준다. 이러한 위치에서, 이송 조립체(110)는 구동 및 아이들러 레일(32, 34)의 레벨 아래에 있어, 포드(12)가 로드 포트중 하나에 배치되는 동안, 하나 이상의 이송 포드(12)는 로드 포트(22)를 지나서 이동한다. 따라서, 이송 조립체(110)는 컨베이어(14)의 작동에 간섭되지 않는다. 이송 포드(12) 또는 다른 제품이 워크 스테이션을 지나서 옮겨가지 않도록 하거나 다른 포드(12)를 지나서 이동하지 않도록 하는 장소 또는 이송 조립체(110)가 또한 워크 스테이션에서 제품을 지지하는 기능을 하는 장소와 같은 본 발명의 소정의 적용분야에서, 이송 조립체(110)는 컨베이어(14) 아래로 철회될 필요가 없다.
이송 조립체(110)는 일반적으로 지지부(112), 그리고 컨베이어(14) 및 로드 포트(22)의 레벨에 대해 지지부(112)를 상승 및 하강시키고 컨베이어(14) 및 로드 포트(22) 사이로 지지부(112)를 연장 및 수축시킴으로써 지지부(112)를 이동시키는 변위 조립체(114)를 포함한다. 이송 포드(12)를 컨베이어로 복귀시키기 위하여, 변위 조립체(114)는 도 9에 도시된 수축 위치로부터 도 10에 도시된 상승 위치로 지지부(112)를 이동시키도록 활성화된다. 다음으로, 변위 조립체는 지지부(112)를 도 11 및 도 12에 도시된 연장 위치로 이동시키도록 활성화되고, 로드 포트(22)의 일측면에 이송 포드(12) 아래 지지부(112)를 배치한다. 그때 변위 조립체(114)는 로드 포트(22)에 대해 지지부(112)를 상승시키도록 활성화되어 지지부(112)를 이송 포드(12)의 하부면과 결합시키고 로드 포트(22)상으로 이송 포드를 상승시킨다.그때 지지부(112)는 이송 조립체(110)가 구동 및 아이들러 레일(32, 34)에 배치된 이송 포드(12)와 컨베어어(14)의 레벨 아래로 수축되면서, 변위 조립체(114)에 의하여 도 13에 도시된 위치로 수축되고 그때 도 14에 도시된 위치로 하강된다.
포드(12)를 로드 포트(22)로 이송하기 위하여, 지지부(112)는 도 14에 도시된 수축 위치로부터, 이송 포드(12)를 컨베이어(14)로부터 상승시키는 도 13에 도시된 상승 위치로 이동한다. 이러한 위치에서, 도 10에 도시된 상승 위치와 달리, 지지부(112)는 완전히 상승된 위치로 상승되어 이송 포드(12)가 로드 포트(22)의 높이상에 있다. 도 10에서, 지지부(112)는 하강 위치로 상승되어 지지부(112)가 로드 포트에 지지된 이송 포드(12)의 레벨보다 더 낮다. 변위 조립체(114)는 로드 포트(22)상의 이송 포드(12)를 배치하도록 상승된 지지부(112) 및 이송 포드(12)를 연장한다. 그때 지지부(112)는 도 11 및 도 12에 도시된 바와 같이 로드 포트(22)상에 이송 포드(12)를 배치하도록 하강한다. 제 위치에 있을 때, 지지부(12)는 도 10에 도시된 바와 같이 컨베이어(14)로 복귀되며, 도 19에 도시된 바와 같이, 구동 및 아이들러 레일(32, 34)의 레벨 아래로 수축된다.
특히 도 10에 도시된 바와 같이, 지지부(112)는 일반적으로 한 쌍의 이격된 지지부재(116)를 포함한다. 지지부재(116)는 이송 포드(12)의 외부 엣지를 지지하도록 각각 L형 플랜지(118)를 포함한다. 플랜지(118)로부터 상방으로 돌출되는 비드(120)는 컨베이어(14)와 로드 포트(22) 사이로 이동할 때 변화에 대하여 이송 포드(12)를 안정화시키도록 이송 포드의 베이스 플레이트(124)에 형성된 통공(122)에 시팅된다. 다른 수단이 비드(120) 및 L형 플랜지(118) 대신 또는 이에 부가하여지지 부재(116)로 이송 포드(12)를 고정시키기 위하여 이용될 수 있다. 지지부재(116)의 형상은 이송 포드(12)의 베이스의 형상에 따라 상당히 변화될 수 있으며 부분적으로 종속된다.
변위 조립체(114)는 일반적으로 로드 포트(22)와 컨베이어(14) 사이에서 지지부를 연장 및 수축시키기 위한 한 쌍의 이격된 슬라이드 조립체(126), 도 15에 도시된 것중 하나를 포함한다. 슬라이드 조립체(126)는 도 11 및 도 12에 도시된바와 같이 하우징(128, 130) 및 지지 부재(116)에 배치된 다수의 링크(132a-f)를 포함한다. 링크(132b 내지 132e)는 화살표의 선형 방향으로 강제로 미끄러지게 한다. 각각의 링크(132a 내지 132d)는 링크(132a 내지 132d)의 일 단부에 제공된 한 쌍의 풀리(134) 및 풀리(134) 주위로 연장되는 연속 벨트(136)를 포함한다. 벨트(136)는 슬라이드 조립체(126)의 반복 작동상에서 슬라이드 조립체(126)의 신뢰성있는 작동에 대한 상당한 스트레치 저항(strech resistant)을 가진 재료로 형성되는 것이 바람직하다. 모터(138)는 풀리(134)중 하나, 바람직하게는 링크(132a)의 제 1 풀리(134)에 결합된다. 도시된 실시예에서, 비록 본 발명의 다른 실시예에서 개별적인 모터가 적용되는 것으로 이해되더라도 모터(138)는 두 개의 슬라이드 조립체(126)의 제 1 풀리(134)에 결합된다. 모터(138)는 특히 도 11에 도시된 바와 같이, U형 하우징(128)내에 수용된다.
제 1 블록(140)은 링크(132b)의 하부면에 설치되고 링크(132a)에 의하여 운반된 벨트(136a)에 결합된다. 제 2 블록(142)은 링크(132a)로부터 상방으로 연장되고 링크(132b)에 의하여 운반된 벨트(136b)에 결합된다. 유사하게, 각각의링크(132c 내지 132e)는 링크(132b 내지 132e)로부터 하방으로 연장되고 링크 아래의 벨트(136)로 연결되는 블록(140)을 포함한다. 링크(132b 내지 132d)는 링크(132b 내지 132d)로부터 상방으로 연장되고 링크 상의 벨트(136)에 결합되는 블록(140)을 포함한다. 볼록(140, 142)은 링크(132a 내지 132e)의 상대적인 선형 이동을 형성하도록 서로 링크(132a 내지 132e)에 결합된다. 제 1링크(132a)로 주위를 기울이면, 모터(138)는 시계 및 반시계 방향으로 풀리(134) 주위를 벨트(136)가 이동하도록 선택적으로 전방 및 후방으로 제 1 풀리(134)를 구동시킨다. 벨트(136a)의 이러한 이동은 블록(140)이 링크(132a)에 대해 링크(132b)를 이리저리 이동시키면서 블록(140)을 링크(132a)를 따라 이러지러 이동하게 한다. 링크(132b)가 링크(132b)에 대해 이러저리 이동할 때, 블록(142)은 링크(132b) 주위로 이동시키도록 벨트(136b)가 링크(132b)에 의하여 운반되도록 한다. 이러한 프로세스는 각각의 링크(132b 내지 132d)에 대해 반복된다. 단부 링크(132e)는 블록(140)에 의한 링크(132d)에 대해 이동하며 풀리(134) 또는 벨트(136)를 필요로하지 않는다.
하우징(128)은 링크(132a)에 결합되며, 링크 중 두개는 구동 및 아이들러 레일(32, 34) 사이에 남는다. 하우징(130)은 링크(132c)에 결합되어 하우징(130)은 링크(132c)와 함께 이러저리 이동한다. 링크(132e)는 지지 부재(116)에 부착되어 지지 부재(116)가 링크와 함께 이동한다. 하우징(128, 130) 및 지지 부재는 하우징 및 지지 부재가 도 10에 도시된 바와 같이 수축할 때 서로 안착된다.
변위 조립체(114)는 슬라이드 조립체(126)를 상승 및 하강시키기 위한 리프팅 조립체(148)를 더 포함한다. 도 15에 도시된 바와 같이, 리프트 조립체(148)는 지지부(152) 및 링크(132a)에 설치되는 선형 측면(150)을 포함한다. 모터(158)는 지지부(152)에 대해 슬라이드(150)를 연장 및 수축하도록 벨트(160)를 통하여 스크류(156)를 구동시킴으로써, 슬라이드 조립체(126)를 상승 및 하강시킨다. 리프트 조립체(148)는 두 개의 슬라이드 조립체(126)의 링크(132a)에 부착된 지지부(162)에 결합되는 하나의 슬라이드(150)를 포함할 수 있다. 선택적으로, 리프트 조립체(148)는 한 쌍의 슬라이드(150)를 포함하며, 하나가 각각의 슬라이드 조립체(126)에 부착된다. 다중 슬라이드(150)가 적용될 때, 슬라이드(150)는 통상적인 모터(158)에 의하여 구동되는 것이 바람직하며, 슬라이드의 이동을 보장하는 것은 리프트 조립체(148)의 부품을 동기화하고 최소화한다. 그러나, 별개의 모터 뿐만 아니라 다른 적절한 부품이 적용될 수 있다.
도 9 내지 도 15에 도시된 이송 조립체(110)는 다중 포트(22)를 포함하는 프로세싱 머신의 이용에 대해 특히 적절하다. 종전의 실시예와 달리, 별개의 이송 조립체는 각각의 로드 포트(22)가 요구되었으며, 하나의 이송 조립체(110)는 단일 프로세싱 머신의 다중 로드 포트를 이용할 수 있다. 이송 조립체(110)는 로드 포트(22)중 선택된 하나의 정면에 지지부(112)를 배치하도록 컨베이어(14)에 평행한 방향으로 지지부(112)와 변위 조립체(144)를 이동시키는 슬라이드 조립체(168)를 더 포함한다. 지지부(12)가 선택된 포트 정면에 배치될 때, 변위 조립체(114)는 포드를 컨베이어로부터 상승시키도록 지지부(112)를 상승시키며 그때 전술한 바와 같이 이송 포드(12)를 로드 포트(22)로 이송시키도록 워크 스테이션을 향하여 지지부(112) 및 포드(12)를 이동시킨다.
슬라이드 조립체(168)는 일반적으로 표준적이고 상업적으로 이용가능한 선형 액츄에이터로 이루어질 수 있다. 선형 액츄에이터는 벨트로 구동되거나 리드 스크류로 구동될 수 있다. 특히, 슬라이드 조립체(168)는 파커 모우션 앤 콘트롤(Parker Motion & Control)로부터 이용가능한 HLE 시리이즈 선형 구동 부재중 하나로부터 선택된다. 도 9 내지 도 12에 도시된 바와 같이, 이송 조립체(110)는 플레이트(119)의 하부면에 운반되는 x-축선 휠(117)을 지지하기위한 지지 레일(115)을 더 포함한다. 도 10 및 도 12를 참조하면, 지지 레일(115)은 컨베이어(14)에 설치되고, 플레이트(119)의 하부면에 설치되는 휠(117)을 지지한다. 플레이트(119)는 좌측에서 우측으로 슬라이드되는 변위 조립체(114)에 설치된다. 이러한 배치는 이송 조립체(110)가 도 11에 도시된 바와 같은 연장 위치에 있을 때 슬라이드 조립체(168)상에 외팔보 로드에 대한 지지부를 제공한다. 슬라이드 조립체(168) 및 지지 레일(115)은 특별한 적용분야에 기초하여 길이가 변화될 수 있다.
슬라이드 조립체(168)는 이송 조립체(110)가 수 개의 로드 포트(22)를 포함하는 프로세싱 머신이 이용될 때 상당한 가요성을 제공한다. 단일 포트 머신을 이용할 때, 슬라이드 조립체(168)는 이송 조립체(110)의 비용 및 크기를 줄이기 위하여 제거될 수 있다.
도 16 및 도 17은 본 발명에 따른 이송 조립체(200)의 또 다른 실시예를 보여준다. 이송 조립체(200)는 컨베이어(14)상으로 이송 포드(12)를 상승시키는 리프트 메카니즘(202)를 포함한다. 이러한 실시예에서, 리프트 메카니즘(202)은 이송 포드(12)를 로드 포트(22)로 결합하는 키네매틱 핀과 같은 동일한 패턴으로 배치되는 다수의 핀(204)을 포함한다. 리프트 메카니즘(202)이 액츄에이터(206)에 의하여 상승될 때, 핀은 이송 포드(12)의 하부면에 형성된 키네매틱 슬롯과 결합된다.
연장 조립체(216)는 컨베이어(14)와 로드 포트(22) 사이에 설치된다. 도시된 실시예에서, 연장 부재(216)가 컨베이어(14)를 지지하는 프레임 또는 또 다른 프레임 또는 지지부에 설치될 수 있지만, 연장 조립체(216)는 로드 포트(22)의 하부면에 설치된다. 연장 조립체(216)는 일반적으로 도 16에 도시된 수축 위치와 도 17에 도시된 연장 위치 사이로 지지부(218)를 이동시키는 한 쌍의 로보틱 아암(220, 222)에 의하여 운반되는 지지부(218)를 포함한다. 아암(220, 222)은 하우징(226)에 배치된 모터(도시안됨)를 포함하는 구동 조립체(224)에 의하여 이동된다. 모터는 기어(230)와 서로 맞물리는 기어(228)에 결합되어 기어(228)의 회전이 기어(230)의 동기화되고 대응된 회전을 발생시킨다.
각각의 로보틱 아암(220, 222)은 기어(228, 230)중 하나에 일단부에서 단단히 부착되는 제 1 링크(232)를 포함한다. 링크(232)의 타단부는 피봇(236)에 의하여 제 2 링크(234)의 인접 단부로 피봇적으로 결합된다. 각각의 아암(220, 222)의 제 2 링크(234)의 말단부는 기어(238, 240)에 단단히 부착된다. 기어(238, 240)는 대응 방향으로 동기화된 회전을 위해 서로 맞물린다. 모터가 기어(228)를 구동시킬 때, 링크(232, 234)는 연장 및 수축 위치 사이의 직선 라인으로 지지부(218)를 이동시키도록 제어되고 동기화된 방식으로 피봇된다. 선택적으로, 다른 수단은 제한되지는 않지만 벨트, 밴드, 및 피봇팅 링크와 같은 소정의 운동을 달성하도록 적용된다.
지지부(218)가 원래 위치(home position)로부터 상승 포드(12) 바로 아래 위치로 이동할 때, 리프트 메카니즘(202)은 지지부(218)상으로 이송 포드(12)를 하강시키도록 작동된다. 선택적으로, 연장 조립체(216)는 핀(204)으로부터 이송 포드(12)를 상승시키도록 액츄에이터(242)에 의하여 상승될 수 있다. 그때 연장 조립체(216)는 로드 포트(22)상의 이송 포드(12)를 배치하기 위하여 액츄에이터(242)에 의하여 하강한다. 이송 포드(216)는 포드(22)로부터 포드(12)를 상승시키도록 연장 조립체(216)를 상승시키고 컨베이어(14)상의 위치로 지지부(218)를 이동시키도록 연장 조립체(216)를 구동시킴으로써 컨베이어로 용이하게 복귀될 수 있다. 이송 포드(12)는 리프트 메카니즘(202)를 상승시키고 및/또는 연장 조립체(216)를 하강시킴으로써 리프트 메카니즘(202)상에 배치된다. 연장 조립체(216)가 수축된 후, 리프트 메카니즘(202)은 이송 포드(12)를 컨베이어상으로 하강시킨다. 선택적으로, 보편적인 스카라/바이폴드(Scara/Bifold) 타입 로보틱 아암 접근은 로보틱 조립체로서 채용될 수 있다.
본 발명의 특정 실시예의 전술된 상세한 설명은 도면 및 상세한 설명의 목적을 위해 제시된다. 특정 실시예는 본 발명을 공개된 정확한 형태로 제한하거나 속속들이 규정하는 것으로 의도되지 않으며, 명백히 많은 변경 및 변형은 상술된 발명의 사상의 견지에서 가능하다. 실시예는 본 발명의 사상 및 본 발명의 실제적인 적용분야를 가장 잘 설명하기 위하여 선택되고 설명됨으로써, 다양하게 변경된 다양한 실시예 및 본 발명을 최상으로 이용할 수 있는 본 기술분야의 숙련된 기술자가 심사숙고된 특별한 이용에 적절하다. 본 발명의 범위는 첨부된 청구범위 및 균등물에 의하여 한정될 수 있다.

Claims (31)

  1. 컨베이어와 스테이션 사이로 하나 이상의 제품을 이동시키기 위한 이송 조립체로서,
    상기 제품이 컨베이어에 의하여 운반될 때 상기 제품과 결합되고, 상기 제품이 지지부상의 상승 위치로 상승시키며 상기 제품이 상기 상승 위치로부터 상기 컨베이어로 낮추어지도록 형성된 리프팅 메카니즘과, 그리고
    상기 제품이 상기 상승 위치로부터 상기 스테이션으로 이동되고, 상기 제품이 상기 스테이션에 배치되며, 상기 제품을 상기 스테이션으로부터 상기 컨베이어상의 상승 위치로 복귀되도록 형성된 변위 장치를 포함하는 이송 조립체.
  2. 제 1 항에 있어서, 상기 리프팅 메카니즘은 상기 상승 위치에서 상기 제품을 지지하도록 형성된 지지부를 포함하며, 상기 변위 장치는 상기 컨베이어로부터 상기 워크 스테이션으로 상기 지지부를 가로질러 상기 제품을 추진하도록 상기 지지부에 의하여 운반되는 이송 조립체.
  3. 제 2 항에 있어서, 상기 지지부는 상기 제품을 지지하기 위한 다수의 휠을 포함하며, 상기 변위 장치는 상기 지지부를 가로질러 상기 제품을 추진하기 위한 상기 휠을 구동시키는 상기 휠에 결합되는 구동 시스템을 포함하는 이송 조립체.
  4. 제 3 항에 있어서, 상기 구동 시스템은 하나 이상의 벨트에 의하여 상기 휠에 결합되는 이송 조립체.
  5. 제 2 항에 있어서, 상기 리프팅 메카니즘은 상승 위치와 하강 위치 사이로 상기 지지부를 이동시키기 위한 캠 시스템, 리드 스크류 시스템, 링키지 시스템 및 공압 실린더 시스템으로 이루어지는 그룹으로부터 선택된 하나 이상의 부품을 포함하는 이송 조립체.
  6. 제 1 항에 있어서, 상기 리프팅 메카니즘은 상기 상승 위치에서 상기 제품을 지지하도록 형성되며, 상기 변위 장치는 상기 지지부가 상기 컨베이어상에 매달리는 제 1 위치와 상기 지지부가 상기 워크 스테이션 근처에 위치되는 제 2 위치 사이로 상기 지지부를 이동시키도록 상기 지지부에 결합되는 이송 조립체.
  7. 제 5 항에 있어서, 상기 변위 장치는 상기 스테이션의 다수의 로드 포트 사이로 상기 지지부를 이동시키 위하여 상기 컨베이어의 이동 방향에 평행한 방향으로 상기 지지부를 이동시키도록 형성되는 이송 조립체.
  8. 제 1 항에 있어서, 상기 변위 장치는 상기 상승 위치에서 상기 제품을 지지하도록 형성된 지지부 및 상기 지지부가 상기 컨베이어상에 매달리는 제 1 위치와 상기 지지부가 상기 스테이션에 인접하게 위치하는 제 2 위치 사이로 상기 지지부를 이동시키도록 상기 지지부에 결합되는 연장 조립체를 포함하는 이송 조립체.
  9. 제 8 항에 있어서, 상기 리프팅 메카니즘은 상기 상승 위치에서 상기 제품을 지지하고, 상기 제품과 결합하도록 형성된 다수의 핀을 포함하는 이송 조립체.
  10. 제 9 항에 있어서, 상기 다수의 핀은 적어도 SEMI E47.1-0298, SEMI E57-0298, SEMI E15.1-0298 및 SEMI E19.4-94로서 공지된 SEMI 표준에 따라 형성되는 이송 조립체.
  11. 제 1 항에 있어서, 상기 변위 장치는 상기 스테이션에서 상기 제품을 놓을 수 있도록 형성되는 이송 조립체.
  12. 컨베이어와 워크 스테이션 사이로 하나 이상의 제품을 이송하기 위한 조립체로서,
    상기 컨베이어상의 상승 위치에서 상기 제품을 지지하도록 형성된 지지부와,
    상기 지지부가 상기 컨베이어를 따라 상기 지지부를 지나서 제품의 통과를 위해 배치되는 제 1 위치와 상기 지지부가 상기 컨베이어상으로 상승되는 제 2 위치 사이로 상기 지지부를 이동시키도록 형성된 리프팅 메카니즘과,
    상기 상승 위치로부터 상기 워크 스테이션으로 상기 지지부에 의하여 지지된 상기 제품을 이동시키기 위한 이송 장치를 포함하는 조립체.
  13. 제 12 항에 있어서, 상기 지지부는 상기 제품을 지지하기 위한 다수의 휠을 포함하고, 상기 이송 장치는 상기 워크 스테이션을 향하여 상기 지지부를 가로질러 상기 제품을 이동시키도록 상기 휠을 추진하기 위하여 상기 휠에 결합되는 구동 시스템을 포함하는 조립체.
  14. 제 13 항에 있어서, 상기 구동 시스템은 상기 워크 스테이션을 향하여 상기 제품을 이동시키는 전진 방향 및 상기 컨베이어를 향하여 상기 제품을 이동시키는 역전 방향으로 상기 휠을 선택적으로 회전시키도록 형성되는 조립체.
  15. 제 12 항에 있어서, 상기 리프트 메카니즘은 상승 위치와 하강 위치 사이로 상기 지지부를 이동시키기 위한 캠 시스템, 리드 스크류 시스템, 링키지 시스템 및 공압 실린더 시스템으로 이루어지는 그룹으로부터 선택된 하나 이상의 부품을 포함하는 조립체.
  16. 제 12 항에 있어서, 상기 이송 장치는 상기 컨베이어와 상기 워크 스테이션 사이로 상기 지지부를 이동시키도록 상기 지지부에 결합되는 조립체.
  17. 제 16 항에 있어서, 상기 이송 장치는 상기 컨베이어에 평행한 방향으로 상기 지지부를 선택적으로 이동시키도록 형성되는 조립체.
  18. 제 12 항의 조립체와 한 쌍의 이격된 레일을 포함하는 컨베이어의 조합물로서,
    상기 지지부는 상기 레일 사이로 상기 제품의 일부분과 결합되도록 형성되는 조합물.
  19. 컨베이어와 워크 스테이션 사이에 제품을 이송하기 위한 조립체로서,
    상기 제품이 상기 컨베이어에 의하여 지지되는 제 1 위치와 상기 제품이 상기 컨베이어상으로 상승되는 제 2 위치 사이로 상기 제품을 이동시키도록 형성된 리프팅 메카니즘과,
    상기 상승 위치로부터 상기 워크 스테이션으로 상기 지지부에 의하여 지지된 상기 제품을 이동시키는 이송 장치로서, 상기 제품이 상기 리프팅 메카니즘에 의하여 상기 제 2 위치에서 고정될 때 상기 제품을 수용하기 위한 지지부 및 상기 지지부에 결합되고 상기 제품을 상기 워크 스테이션으로 전달하기 위하여 상기 컨베이어와 상기 워크 스테이션 사이로 상기 지지부를 이동시키도록 형성되는 연장 메카니즘을 포함하는 이송 장치를 포함하는 조립체.
  20. 제 19 항에 있어서, 상기 리프팅 메카니즘이 상기 리프팅 메카니즘을 지나서 상기 제품의 통과를 위해 상기 컨베이어 표면 아래로 위치되는 제 1 위치와 상기 리프팅 메카니즘이 상기 컨베이어상으로 상기 결합된 제품을 상승시키는 제 2 위치사이로 상기 리프팅 메카니즘이 가동되는 조립체.
  21. 제 19 항에 있어서, 상기 리프팅 메카니즘은 상기 제품과 결합되고 상기 제품을 상기 제 2 위치에 고정되도록 배치되고 형성된 다수의 핀을 포함하는 조립체.
  22. 제 19 항에 있어서, 상기 리프팅 메카니즘은 상기 제 2 위치와 상기 제품이 상기 지지부상에 지지되는 제 3 위치 사이로 상기 제품을 이동시키도록 형성되는 조립체.
  23. 제 19 항에 있어서, 상기 연장 메카니즘은 상기 워크 스테이션의 로드 포트상으로 상기 제품을 하강시키도록 형성되는 조립체.
  24. 제 23 항의 조립체와 컨베이어와 상기 컨베이어로부터 이격된 워크 스테이션의 조합물로서,
    상기 이송 장치는 상기 컨베이어와 상기 워크 스테이션 사이에 배치되는 조합물.
  25. 한 쌍의 이격된 레일을 가지는 컨베이어와 상기 컨베이어의 일 측면으로 배치되는 스테이션 사이로 하나 이상의 제품을 이송시키는 방법으로서,
    상기 레일 사이로 상기 제품의 하부면을 결합하는 단계 및 상기 제품을 상승위치로 상승시키는 단계와,
    상기 상승 위치와 상기 스테이션 근처의 위치 사이로 상기 컨베이어에 수직한 방향으로 상기 제품을 이동시키는 단계와, 그리고
    상기 제품을 상기 스테이션에 배치시키는 단계를 포함하는 방법.
  26. 제 25 항에 있어서, 상기 결합, 이동 및 배치시키는 단계가 역순으로 실행되는 방법.
  27. 제 25 항에 있어서, 상기 결합 및 상승시키는 단계는 상기 지지부가 상기 컨베이어상에 상기 제품을 상승시킬 때까지 상기 지지부를 올리는 단계를 포함하며, 상기 이동시키는 단계는 상기 지지부를 가로질러 상기 제품을 구동시키는 단계를 포함하는 방법.
  28. 제 25 항에 있어서, 상기 결합 및 상승시키는 단계는 상기 지지부가 상기 컨베이어상으로 상기 제품을 상승시킬 때까지 상기 지지부를 올리는 단계를 포함하며, 상기 이동시키는 단계는 상기 컨베이어와 상기 워크 스테이션 사이로 상기 지지부를 이동시키는 단계를 포함하는 방법.
  29. 제 25 항에 있어서, 상기 결합 및 상승시키는 단계는 상기 컨베이어상으로 상기 제품을 상승시키도록 리프트 장치를 작동시키는 단계를 포함하며, 상기 이동시키는 단계는 지지부상에 상기 올려진 제품을 배치하는 단계 및 상기 컨베이어와 상기 스테이션 사이로 상기 지지부를 이동시키는 단계를 포함하는 방법.
  30. 제 25 항에 있어서, 상기 제품을 상기 워크 스테이션의 로드 포트상으로 하강시키는 단계를 더 포함하는 방법.
  31. 제 25 항에 있어서, 상기 로드 포트로부터 상기 제품을 상승시키는 단계, 상기 컨베이어로 상기 제품을 복귀시키는 단계, 및 상기 컨베이어로 상기 제품을 하강시키는 단계를 더 포함하는 방법.
KR1020017007675A 1998-12-18 1999-12-13 인/아웃 로드 포트 이송 메카니즘 KR20010082369A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11294798P 1998-12-18 1998-12-18
US60/112,947 1998-12-18
PCT/US1999/029457 WO2000037340A1 (en) 1998-12-18 1999-12-13 In/out load port transfer mechanism

Publications (1)

Publication Number Publication Date
KR20010082369A true KR20010082369A (ko) 2001-08-29

Family

ID=22346715

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020017007697A KR20010082371A (ko) 1998-12-18 1999-12-13 통합 로드 포트 컨베이어 이송 시스템
KR1020017007675A KR20010082369A (ko) 1998-12-18 1999-12-13 인/아웃 로드 포트 이송 메카니즘
KR1020017007674A KR20010082368A (ko) 1998-12-18 1999-12-15 통합식 인트라 베이 이송, 저장 및 전달 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020017007697A KR20010082371A (ko) 1998-12-18 1999-12-13 통합 로드 포트 컨베이어 이송 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020017007674A KR20010082368A (ko) 1998-12-18 1999-12-15 통합식 인트라 베이 이송, 저장 및 전달 시스템

Country Status (12)

Country Link
US (3) US6435330B1 (ko)
EP (3) EP1159213A4 (ko)
JP (3) JP2002532915A (ko)
KR (3) KR20010082371A (ko)
CN (3) CN1107009C (ko)
AT (1) ATE490203T1 (ko)
AU (3) AU2176000A (ko)
CA (3) CA2355183A1 (ko)
DE (1) DE69943004D1 (ko)
HK (1) HK1038544A1 (ko)
TW (2) TW446674B (ko)
WO (3) WO2000037340A1 (ko)

Families Citing this family (176)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6533101B2 (en) * 1998-06-24 2003-03-18 Asyst Technologies, Inc. Integrated transport carrier and conveyor system
US6283692B1 (en) 1998-12-01 2001-09-04 Applied Materials, Inc. Apparatus for storing and moving a cassette
DE19913628A1 (de) * 1999-03-25 2000-10-05 Siemens Ag Anlage zur Fertigung von Halbleiterprodukten
DE19921246C2 (de) * 1999-05-07 2003-06-12 Infineon Technologies Ag Anlage zur Fertigung von Halbleiterprodukten
US6698991B1 (en) * 2000-03-02 2004-03-02 Applied Materials, Inc. Fabrication system with extensible equipment sets
US6506009B1 (en) * 2000-03-16 2003-01-14 Applied Materials, Inc. Apparatus for storing and moving a cassette
TW514618B (en) * 2000-04-12 2002-12-21 Samsung Electronics Co Ltd A transfer system and apparatus for workpiece containers and method of transferring the workpiece containers using the same
JP3508130B2 (ja) * 2000-09-21 2004-03-22 村田機械株式会社 搬送システム
EP1202325A1 (en) 2000-10-25 2002-05-02 Semiconductor300 GmbH & Co KG Arrangement for transporting a semiconductor wafer carrier
JP2002137137A (ja) * 2000-10-27 2002-05-14 Mori Seiki Co Ltd 工作機械及びそのパレット交換装置
US6895294B2 (en) * 2000-12-04 2005-05-17 Freescale Semiconductor, Inc. Assembly comprising a plurality of mask containers, manufacturing system for manufacturing semiconductor devices, and method
US6573522B2 (en) 2001-06-27 2003-06-03 Applied Matrials, Inc. Locator pin integrated with sensor for detecting semiconductor substrate carrier
US6881020B2 (en) * 2002-04-26 2005-04-19 Taiwan Semiconductor Manufacturing Co., Ltd Pod transfer system having retractable mast and rotatable and vertically movable hoist
US6926489B2 (en) * 2002-05-09 2005-08-09 Taiwan Semiconductor Manufacturing Co., Ltd Latch sensor for pod transport gripper
US7780391B2 (en) * 2002-05-10 2010-08-24 Tokyo Electron Limited Substrate processing device
JPWO2003105216A1 (ja) * 2002-06-07 2005-10-13 平田機工株式会社 容器搬送システム
EP2790210A3 (en) 2002-06-19 2014-12-31 Murata Machinery, Ltd. Automated material handling system
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
EP1535313B1 (en) * 2002-07-22 2018-10-31 Brooks Automation, Inc. Substrate processing apparatus
US20070183871A1 (en) * 2002-07-22 2007-08-09 Christopher Hofmeister Substrate processing apparatus
US8960099B2 (en) * 2002-07-22 2015-02-24 Brooks Automation, Inc Substrate processing apparatus
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US20040081546A1 (en) * 2002-08-31 2004-04-29 Applied Materials, Inc. Method and apparatus for supplying substrates to a processing tool
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
KR101475662B1 (ko) 2002-10-11 2014-12-24 무라다기카이가부시끼가이샤 오버헤드 호이스트를 탑재한 오버헤드 호이스트 수송 차량
JP3991852B2 (ja) * 2002-12-09 2007-10-17 村田機械株式会社 天井搬送車システム
US7077264B2 (en) * 2003-01-27 2006-07-18 Applied Material, Inc. Methods and apparatus for transporting substrate carriers
US6990721B2 (en) * 2003-03-21 2006-01-31 Brooks Automation, Inc. Growth model automated material handling system
US20040182680A1 (en) * 2003-03-21 2004-09-23 Hinrich Stave Pallet changing system
JP4328575B2 (ja) 2003-07-08 2009-09-09 キヤノン株式会社 振動型駆動装置を用いた位置決め機構
US20050008467A1 (en) * 2003-07-11 2005-01-13 Rich Huang Load port transfer device
US6931303B2 (en) * 2003-10-02 2005-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated transport system
KR20050038134A (ko) * 2003-10-21 2005-04-27 삼성전자주식회사 기판 스토킹 시스템
US20050095976A1 (en) * 2003-10-29 2005-05-05 Taiwan Semiconductor Manufacturing Co. Design for LPT arm cover
US20050095087A1 (en) * 2003-10-30 2005-05-05 Sullivan Robert P. Automated material handling system
TWI367192B (en) * 2003-11-13 2012-07-01 Applied Materials Inc Calibration of high speed loader to substrate transport system
JP4045451B2 (ja) * 2003-12-26 2008-02-13 村田機械株式会社 天井走行車システム
US20050191162A1 (en) * 2004-02-26 2005-09-01 Simon Chang Method for integrating interbay and intrabay material transportation systems within an integrated circuit factory
US20080019804A1 (en) * 2004-06-14 2008-01-24 Hirata Corporation Container Opening-Closing Apparatus and Container-Placement-Position Adjustment Method for the Same
TWI279379B (en) * 2004-07-23 2007-04-21 Au Optronics Corp Automated material handling system
US7578650B2 (en) * 2004-07-29 2009-08-25 Kla-Tencor Technologies Corporation Quick swap load port
JP4123383B2 (ja) * 2004-08-12 2008-07-23 村田機械株式会社 天井走行車システム
EP1803151B1 (en) * 2004-08-23 2011-10-05 Murata Machinery, Ltd. Elevator-based tool loading and buffering system
CN101027767A (zh) * 2004-09-24 2007-08-29 平田机工株式会社 容器输送装置
CN1301205C (zh) * 2004-09-29 2007-02-21 王传祯 一种用于辐照的自动装卸料和换层的方法
JP4266197B2 (ja) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 縦型熱処理装置
EP1855938B1 (en) * 2005-01-07 2010-09-15 Siemens Industry, Inc. Automated storage and retrieval system
US8497761B2 (en) 2005-01-13 2013-07-30 Rite-Hite Holding Corporation System and method for remotely controlling docking station components
US7410340B2 (en) * 2005-02-24 2008-08-12 Asyst Technologies, Inc. Direct tool loading
US7798759B2 (en) * 2005-05-16 2010-09-21 Muratec Automation Co., Ltd. Modular terminal for high-throughput AMHS
US20130226329A1 (en) * 2006-08-12 2013-08-29 Frederick A. Flitsch Cleanspace Fabricators for High Technology Manufacturing and Assembly Processing
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US7604449B1 (en) * 2005-06-27 2009-10-20 Kla-Tencor Technologies Corporation Equipment front end module
CN100593838C (zh) * 2005-07-08 2010-03-10 村田自动化机械有限公司 堆料机
KR20080038336A (ko) * 2005-07-11 2008-05-06 어사이스트 테크놀로지스, 인코포레이티드 반도체 컨테이너를 사용하는 벨트 컨베이어
JP4666215B2 (ja) 2005-08-10 2011-04-06 株式会社ダイフク 物品搬送装置
JP4632091B2 (ja) * 2005-08-30 2011-02-16 株式会社ダイフク 物品搬送設備
US20070059153A1 (en) 2005-09-14 2007-03-15 Applied Materials, Inc. Methods and apparatus for a transport lift assembly
US7661919B2 (en) * 2005-09-28 2010-02-16 Muratec Automation Co., Ltd. Discontinuous conveyor system
JP2009514235A (ja) * 2005-10-27 2009-04-02 アシスト テクノロジーズ インコーポレイテッド 水平方向配列ストッカ
KR20140091768A (ko) * 2005-11-07 2014-07-22 브룩스 오토메이션 인코퍼레이티드 반도체 작업대상물 공정처리 시스템
CN100443380C (zh) * 2005-12-15 2008-12-17 许敬敏 一种烟梗自动开包的方法及设备
CN1994158B (zh) * 2006-01-05 2010-09-08 许敬敏 一种烟梗预处理设备
JP2007217079A (ja) * 2006-02-14 2007-08-30 Asyst Shinko Inc ターンテーブル
JP4789688B2 (ja) * 2006-04-18 2011-10-12 ヤマハ発動機株式会社 クラッチ用アクチュエータ、エンジンユニットおよび鞍乗型車両
KR100743194B1 (ko) * 2006-03-22 2007-07-27 삼성전자주식회사 이송시스템
US20070289843A1 (en) * 2006-04-18 2007-12-20 Barry Kitazumi Conveyor System Including Offset Section
US8398355B2 (en) * 2006-05-26 2013-03-19 Brooks Automation, Inc. Linearly distributed semiconductor workpiece processing tool
US8376130B2 (en) * 2006-07-10 2013-02-19 Muratec Automation Co., Ltd. Direct drive modular belt conveyor, cartridge, and quick connect-disconnect constant velocity drive shaft, for high speed FOUP transport
KR101841753B1 (ko) * 2006-08-18 2018-03-23 브룩스 오토메이션 인코퍼레이티드 용량이 축소된 캐리어, 이송, 로드 포트, 버퍼 시스템
US20080050208A1 (en) * 2006-08-25 2008-02-28 Barry Kitazumi High speed transporter including horizontal belt
WO2008024520A2 (en) * 2006-08-25 2008-02-28 Aquest Systems Corporation Conveyor transfer system
JP5041207B2 (ja) * 2006-11-14 2012-10-03 株式会社ダイフク 物品搬送設備
JP5124474B2 (ja) * 2006-11-16 2013-01-23 平田機工株式会社 保管システム
JP4378655B2 (ja) * 2007-03-07 2009-12-09 株式会社ダイフク 物品処理設備
KR100831786B1 (ko) * 2007-03-09 2008-05-28 히라따기꼬오 가부시키가이샤 용기반송장치
US7966090B2 (en) * 2007-03-15 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Automated material handling system and method
US8814488B2 (en) * 2007-04-02 2014-08-26 Hitachi Kokusai Electric Inc. Substrate processing apparatus and semiconductor device manufacturing method
JP2009056656A (ja) * 2007-08-30 2009-03-19 Mimaki Engineering Co Ltd 印刷装置
ITUD20070196A1 (it) 2007-10-24 2009-04-25 Baccini S P A Magazzino automatico e procedimento per lo stoccaggio di piastre di circuiti elettronici
US9633881B2 (en) * 2008-02-05 2017-04-25 Brooks Automation, Inc. Automatic handling buffer for bare stocker
US8070410B2 (en) * 2008-02-05 2011-12-06 Lutz Rebstock Scalable stocker with automatic handling buffer
JP2009196748A (ja) 2008-02-20 2009-09-03 Murata Mach Ltd 載置台
JP5062485B2 (ja) * 2008-04-09 2012-10-31 株式会社ダイフク 物品搬送設備
US8473100B2 (en) * 2008-04-25 2013-06-25 Fanuc Robotics America, Inc. System and method for dynamically switching conveyors for robots
KR101015225B1 (ko) * 2008-07-07 2011-02-18 세메스 주식회사 기판 처리장치 및 이의 기판 이송 방법
US20100006394A1 (en) * 2008-07-14 2010-01-14 Barry Kitazumi Transitions between conveyance paths
US20100047053A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Die picker for picking printhead die from a wafer
US20100047962A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Multi-chip printhead assembler
US8701276B2 (en) * 2008-08-19 2014-04-22 Zamtec Ltd Placement head for a die placing assembly
US8092625B2 (en) * 2008-08-19 2012-01-10 Silverbrook Research Pty Ltd Integrated circuit placement system
US7805832B2 (en) * 2008-08-19 2010-10-05 Silverbrook Research Pty Ltd Transfer apparatus for transferring a component of integrated circuitry
US7877876B2 (en) * 2008-08-19 2011-02-01 Silverbrook Research Pty Ltd Method of attaching integrated circuits to a carrier
US8296937B2 (en) * 2008-08-19 2012-10-30 Silverbrook Research Pty Ltd Wafer positioning system
US20100043214A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Integrated circuit dice pick and lift head
US7979979B2 (en) * 2008-08-19 2011-07-19 Silverbrook Research Pty Ltd Clamp assembly for an assembler of integrated circuitry on a carrier
WO2010019985A1 (en) * 2008-08-19 2010-02-25 Silverbrook Research Pty Ltd Multi-chip printhead assembler
US9214372B2 (en) * 2008-08-28 2015-12-15 Tokyo Ohka Kogyo Co., Ltd. Substrate processing system, carrying device and coating device
DE102008045370B4 (de) * 2008-09-02 2010-07-08 Grenzebach Maschinenbau Gmbh Verfahren und Vorrichtung zum Transport großflächiger, dünner Glasplatten
CN101722575B (zh) * 2008-10-31 2012-04-25 柴标 一种隔墙条板输送线及其烘干方法
JP5381054B2 (ja) * 2008-12-02 2014-01-08 シンフォニアテクノロジー株式会社 ロードポート
CN101767079B (zh) * 2009-01-05 2011-11-16 富葵精密组件(深圳)有限公司 放板机、电路板生产系统以及制作基板的方法
CN102460675B (zh) * 2009-05-18 2015-04-29 布鲁克斯自动化公司 与基片容器存储系统交接的集成系统
JP5224199B2 (ja) * 2009-06-09 2013-07-03 村田機械株式会社 コンベヤ搬送システム間での移載装置と移載方法
JP5024337B2 (ja) * 2009-07-29 2012-09-12 村田機械株式会社 搬送システム及び保管装置
TWI496732B (zh) * 2009-07-31 2015-08-21 Murata Machinery Ltd 供工具利用之緩衝儲存和運輸裝置
US8602706B2 (en) * 2009-08-17 2013-12-10 Brooks Automation, Inc. Substrate processing apparatus
US8096401B2 (en) * 2009-09-16 2012-01-17 Bae Industries, Inc. Mechanical pallet lift incorporated into an assembly line process
EP2554495B1 (en) * 2010-04-02 2020-08-05 Murata Machinery, Ltd. Automated warehouse
WO2011148412A1 (ja) * 2010-05-26 2011-12-01 ムラテックオートメーション株式会社 装置前自動倉庫
US8800746B1 (en) * 2010-10-13 2014-08-12 Arrowhead Systems, Inc. Lift assembly
KR101533366B1 (ko) * 2010-11-04 2015-07-02 무라다기카이가부시끼가이샤 반송 시스템 및 반송 방법
JP5229363B2 (ja) 2010-11-04 2013-07-03 村田機械株式会社 搬送システム及び搬送方法
DE102011016855B4 (de) * 2011-04-13 2023-05-04 Krones Aktiengesellschaft Verfahren und Vorrichtung zum Transportieren von Behältnissen oder Behältnisgebinden
EP2706563B1 (en) * 2011-05-02 2015-09-16 Murata Machinery, Ltd. Automated warehouse
US9190304B2 (en) * 2011-05-19 2015-11-17 Brooks Automation, Inc. Dynamic storage and transfer system integrated with autonomous guided/roving vehicle
JP5472209B2 (ja) * 2011-05-31 2014-04-16 株式会社ダイフク 物品搬送設備
JP5827069B2 (ja) * 2011-08-11 2015-12-02 平田機工株式会社 搬送装置
JP5946617B2 (ja) 2011-09-26 2016-07-06 株式会社Screenホールディングス 基板処理システム
GB201118710D0 (en) * 2011-10-28 2011-12-14 Meadwestvaco Packaging Systems Packaging system,machine and transfer apparatus
JP5931409B2 (ja) * 2011-11-14 2016-06-08 川崎重工業株式会社 板材の搬送システム
CN102496593B (zh) * 2011-12-06 2013-12-25 爱普科斯科技(无锡)有限公司 一种陶瓷外壳转移装置
JP5713202B2 (ja) 2012-01-27 2015-05-07 株式会社ダイフク 物品搬送設備
US20130259617A1 (en) * 2012-03-27 2013-10-03 Ming Wang Overhead cartridge placement system
DE102012104539B4 (de) * 2012-05-25 2015-08-27 Askion Gmbh Modulares Zustellsystem
US8944739B2 (en) * 2012-06-01 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Loadport bridge for semiconductor fabrication tools
CN102745474A (zh) * 2012-07-05 2012-10-24 无锡金洋铝业有限公司 双c型轨道
CN102795494A (zh) * 2012-08-03 2012-11-28 立晔科技股份有限公司 芯片进料装置
CN102820747B (zh) * 2012-08-30 2014-07-16 永济新时速电机电器有限责任公司 用于定子线圈绝缘的流程化生产系统
TWI488251B (zh) * 2012-10-19 2015-06-11 Inotera Memories Inc 軌道緩衝裝置及晶圓傳輸系統
US9356822B2 (en) * 2012-10-30 2016-05-31 Kla-Tencor Corporation Automated interface apparatus and method for use in semiconductor wafer handling systems
CN103983797B (zh) 2013-01-31 2018-05-04 希森美康株式会社 容器分类装置、样本处理系统及容器分类方法
JP5741618B2 (ja) * 2013-03-19 2015-07-01 株式会社安川電機 ワークの組立装置及び組立方法
US9008825B2 (en) 2013-04-01 2015-04-14 Honda Logistics North America, Inc. Container unloading system with auto-unload capability
CN104180998B (zh) * 2013-05-20 2018-03-16 中集集团集装箱控股有限公司 集装箱型式和常规刚性试验中加减箱内砝码的设备及方法
DE102013219171B3 (de) * 2013-07-16 2014-04-17 Leica Biosystems Nussloch Gmbh Transporteinrichtung für Proben in einem Mikrotom
CN103434832B (zh) * 2013-08-28 2016-04-27 深圳市海目星激光科技有限公司 一种效率匹配生产线
US9342063B1 (en) * 2013-09-19 2016-05-17 Amazon Technologies, Inc. Determining capacities of work buffers
US10177020B2 (en) 2015-02-07 2019-01-08 Kla-Tencor Corporation System and method for high throughput work-in-process buffer
KR102561719B1 (ko) * 2015-04-22 2023-07-31 인티그레이티드 다이나믹스 엔지니어링 게엠베하 메인터넌스 장치
WO2017037785A1 (ja) * 2015-08-28 2017-03-09 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
JP6562803B2 (ja) * 2015-09-30 2019-08-21 株式会社Screenホールディングス 基板処理システム
CN105564966B (zh) * 2015-12-30 2018-01-16 深圳翠涛自动化设备股份有限公司 一种多工作台固晶机的上下料系统
KR101857056B1 (ko) * 2016-10-31 2018-05-11 세메스 주식회사 집적회로 소자 제조용 이송 장치
CN106586532A (zh) * 2016-12-06 2017-04-26 苏州博众精工科技有限公司 一种双工位抓取机构
DE102017206652A1 (de) 2017-04-20 2018-10-25 Kuka Deutschland Gmbh Robotergreifer zum Handhaben von Objekten, insbesondere Behältern
EP3622302A1 (en) * 2017-05-12 2020-03-18 Thermo Fisher Scientific Oy Receptacle terminal
US11069549B2 (en) * 2017-08-16 2021-07-20 Murata Machinery, Ltd. Overhead transport vehicle, overhead transport system, and control method for overhead transport vehicle
US10965201B2 (en) * 2017-09-25 2021-03-30 Canon Kabushiki Kaisha Transport system, processing system and manufacturing method of article
US10589423B2 (en) * 2018-06-18 2020-03-17 Shambhu Nath Roy Robot vision super visor for hybrid homing, positioning and workspace UFO detection enabling industrial robot use for consumer applications
CN108974893B (zh) * 2018-07-31 2020-04-07 郑州宝钢钢材加工配送有限公司 一种翻转机用输送装置
CN109264437B (zh) * 2018-08-09 2022-10-04 杭州乾锦输送设备有限公司 一种箱体分拣输送装置、转线机构及箱体码垛系统
US10854490B2 (en) * 2018-08-14 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer carrier handling apparatus and method thereof
DE102018213800A1 (de) * 2018-08-16 2020-02-20 Bausch + Ströbel Maschinenfabrik Ilshofen GmbH + Co. KG Transportvorrichtung mit Transportrechen und Gegenrechen
CN112930312B (zh) * 2018-11-06 2022-08-30 村田机械株式会社 桥式输送车
US10556749B1 (en) * 2018-12-31 2020-02-11 Ppg Industries Ohio, Inc. Conveyor system including an incrementally adjustable lift frame
US11767181B2 (en) * 2019-03-14 2023-09-26 Mujin, Inc. Robotic system with handling mechanism and method of operation thereof
EP3726569A1 (en) 2019-04-18 2020-10-21 Schiller Automatisierungstechnik GmbH Method and gripper for rotating a cleanroom container
CN110289238B (zh) * 2019-06-13 2021-05-11 上海提牛机电设备有限公司 晶圆框架盒水平移动装置与晶圆上料系统
CN110867402A (zh) * 2019-11-27 2020-03-06 北京北方华创微电子装备有限公司 晶圆清洗设备
CN111099292B (zh) * 2019-12-18 2021-06-11 南京视莱尔汽车电子有限公司 一种汽车零件生产车间用的搬运机器人及使用方法
IT201900024574A1 (it) * 2019-12-18 2021-06-18 Inpeco Holding Ltd Apparato di automazione di laboratorio di analisi
WO2021181923A1 (ja) * 2020-03-13 2021-09-16 村田機械株式会社 グリッパ装置、搬送車、及び搬送方法
US11396432B1 (en) * 2020-12-04 2022-07-26 Amazon Technologies, Inc. Automated container shaking systems
CN112340345A (zh) * 2021-01-08 2021-02-09 潍坊维润金属科技有限公司 一种自带货物提升功能的仓储智能货架
CN112908912A (zh) * 2021-02-02 2021-06-04 金华博蓝特电子材料有限公司 晶片加工过程中的自动化流转线及自动化流转方法
CN112875125A (zh) * 2021-02-03 2021-06-01 艾迪森科技有限公司 半导体晶圆盒仓储运输结构系统
CN112499187A (zh) * 2021-02-07 2021-03-16 荣智工企智能技术(昆山)有限公司 一种对中输送装置
TWI776412B (zh) * 2021-03-03 2022-09-01 迅得機械股份有限公司 物件容器的移載裝置
CN113060548A (zh) * 2021-04-29 2021-07-02 珠海格力智能装备有限公司 壶身生产系统
CN114472255B (zh) * 2022-02-16 2023-07-28 维尔医疗技术(云南)有限公司 一种医用外科用具有消毒功能的器械清洗装置
NL2031798B1 (nl) * 2022-05-09 2023-11-16 Tfa Europe B V Werkwijze en inrichting voor het nabewerken van behuisde geïntegreerde circuits
WO2023217697A1 (en) * 2022-05-09 2023-11-16 Tfa Europe B.V. Method and device for post-processing of encapsulated integrated circuits
NL2031799B1 (nl) * 2022-05-09 2023-11-16 Tooling Specialist Derksen B V Werkwijze en inrichting voor het nabewerken van behuisde geïntegreerde circuits
CN115338210B (zh) * 2022-07-29 2023-11-14 浙江世宏实业有限公司 一种自动清屑系统
CN115241106A (zh) * 2022-08-03 2022-10-25 魅杰光电科技(上海)有限公司 一种晶圆工位提升机

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3746148A (en) 1972-03-08 1973-07-17 Logan Co Shuttle car mechanism for transferring loads between two stations
US3840110A (en) * 1972-07-24 1974-10-08 Ermanco Inc Conveyor apparatus
US4014428A (en) * 1973-05-04 1977-03-29 Ossbahr C Modular article conveyor
US3927620A (en) * 1973-12-03 1975-12-23 Thomas J Clapham Magnetic propulsion system
SU685569A1 (ru) 1974-03-06 1979-09-15 Ленинградский Государственный Проектный Институт Министерства Промышленнсти Средств Связи Устройство дл загрузки и разгрузки стеллажей склада штучными грузами
DE2440682C3 (de) 1974-08-24 1978-08-24 Karl L. Dipl.-Ing. 7967 Bad Waldsee Ringer Umschlagsystem für Container und Paletten
US3976330A (en) * 1975-10-01 1976-08-24 International Business Machines Corporation Transport system for semiconductor wafer multiprocessing station system
JPS54134661A (en) * 1978-04-12 1979-10-19 Canon Inc Parts discriminating device
NL173732C (nl) 1978-05-16 1984-03-01 Nederlanden Staat Transportsysteem voor het vervoeren van rolcontainers.
US4453627A (en) * 1980-02-08 1984-06-12 The E. W. Buschman Co. Accumulator conveyor
US4572358A (en) * 1981-03-10 1986-02-25 Rexnord Inc. Powered transmission assembly for an accumulating conveyor
US4534462A (en) * 1981-11-16 1985-08-13 Pentek Corporation Motion detector and control system for an accumulating live conveyor
US4461382A (en) * 1981-11-16 1984-07-24 Pentek Corporation Motion detector and control system for an accumulating live conveyor
AT390044B (de) * 1982-03-05 1990-03-12 Sticht Fertigungstech Stiwa Foerdereinrichtung fuer werkstuecke bzw. werkstuecktraeger
FR2522566B2 (fr) * 1982-03-05 1986-06-13 Prodel Maurice Dispositif d'entrainement a courroie pour palettes d'une machine pour l'assemblage ou l'usinage de pieces
AT382808B (de) * 1982-03-05 1987-04-10 Sticht Fertigungstech Stiwa Einrichtung zum montieren bzw. bearbeiten von werkstuecken
AT393819B (de) * 1983-03-29 1991-12-27 Sticht Fertigungstech Stiwa Foerdereinrichtung fuer werkstuecke bzw. werkstuecktraeger, insbesondere fuer eine montagemaschine
FR2580262B1 (fr) * 1985-04-12 1987-05-22 Efcis Installation de manutention d'objets fragiles en atmosphere a empoussierement controle
FR2585008A1 (fr) 1985-07-17 1987-01-23 Sysmo Sa Dispositif de transfert automatique de supports
SE451831B (sv) 1985-09-16 1987-11-02 Knight Konsult Ing Materialhanteringssystem
US4845843A (en) * 1985-10-28 1989-07-11 Cimm, Inc. System for configuring, automating and controlling the test and repair of printed circuit boards
US5273392A (en) 1986-01-02 1993-12-28 Computer Aided Systems, Inc. Automated work center and method
US4826360A (en) 1986-03-10 1989-05-02 Shimizu Construction Co., Ltd. Transfer system in a clean room
US4926753A (en) * 1986-04-29 1990-05-22 Programmation, Inc. Flexible material transport system
US4718536A (en) 1986-10-15 1988-01-12 Toby Enterprises Stack storage elevator for sliced, stacked comestible products
US4793262A (en) 1987-10-03 1988-12-27 Middlesex General Industries, Inc. Transport system for computer integrated manufacturing/storage and drive component therefor
US4964776A (en) 1987-12-01 1990-10-23 Tsubakimoto Chain Co. Article transfer and storage system
US4996753A (en) * 1988-09-30 1991-03-05 The Boeing Company Robot end effector exchange system
US5536128A (en) * 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
FR2638694B1 (fr) 1988-11-10 1991-08-02 Ism Equipements Indls Montage Installation de transfert comportant un circuit ferme de rails
US5061144A (en) 1988-11-30 1991-10-29 Tokyo Electron Limited Resist process apparatus
IT1235835B (it) 1989-08-08 1992-11-03 Sgs Thomson Microelectronics Movimentazione automatica di contenitori diversi con punti di prelievo e di codificazione standarizzati
MY105440A (en) 1990-03-08 1994-10-31 Daifuku Kk Roller conveyor.
US5086910A (en) * 1990-08-13 1992-02-11 Giddings & Lewis, Inc. Zone controlled conveyance system
DE4200985C2 (de) 1991-01-31 1994-05-05 Bavaria Cargo Tech Elektronische Steueranordnung für Antriebsrolleneinheiten
JP2525297B2 (ja) * 1991-06-21 1996-08-14 村田機械株式会社 移載装置
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
EP0552756A1 (en) 1992-01-21 1993-07-28 Shinko Electric Co. Ltd. Article storage house in a clean room
JPH0616206A (ja) 1992-07-03 1994-01-25 Shinko Electric Co Ltd クリーンルーム内搬送システム
ES2078717T3 (es) 1992-08-04 1995-12-16 Ibm Aparato de reparticion con un sistema de distribucion y alimentacion de gas para manipular y almacenar recipientes transportables estancos a presion.
US5285887A (en) 1992-11-23 1994-02-15 Interroll Holding A. G. Accumulating conveyor and control system
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
US5318167A (en) 1993-03-12 1994-06-07 Newcor, Inc. Control system for power driven conveyor line
KR100221983B1 (ko) 1993-04-13 1999-09-15 히가시 데쓰로 처리장치
US5351801A (en) * 1993-06-07 1994-10-04 Board Of Regents - Univ. Of Nebraska Automated laboratory conveyor system
JPH0724812U (ja) * 1993-10-25 1995-05-12 神鋼電機株式会社 クリーンルーム用自動移載機
US5570990A (en) 1993-11-05 1996-11-05 Asyst Technologies, Inc. Human guided mobile loader stocker
DE4409829C2 (de) 1994-03-22 1996-08-22 Manfred Kobler Vorrichtung und Verfahren zur Herstellung von Bauwerken unter Verwendung von aus Mauerstein und/oder Schalungen bestehenden Bauteilen
JP3331746B2 (ja) 1994-05-17 2002-10-07 神鋼電機株式会社 搬送システム
US5452801A (en) 1994-07-13 1995-09-26 Middlesex General Industries, Inc. Conveyor cassette for wafers
US5533844A (en) 1994-11-15 1996-07-09 Ekleberry; Donald A. Travelling platen with extended axis
US5680936A (en) 1995-03-14 1997-10-28 Automated Technologies Industries, Inc. Printed circuit board sorting device
US5521563A (en) 1995-06-05 1996-05-28 Emc Technology, Inc. Microwave hybrid coupler
JPH09221223A (ja) * 1996-02-19 1997-08-26 Heiwa Corp 遊技機製造用遊技基板ブロック搬送装置
JP3483016B2 (ja) * 1996-04-18 2004-01-06 株式会社ダイフク 物品保管装置
US5679055A (en) * 1996-05-31 1997-10-21 Memc Electronic Materials, Inc. Automated wafer lapping system
JPH1067406A (ja) * 1996-08-27 1998-03-10 Daifuku Co Ltd 物品保管装置
US5680986A (en) * 1996-12-17 1997-10-28 Jefferson Smurfit Corporation Carton with pour spout formed by liner
US5673804A (en) 1996-12-20 1997-10-07 Pri Automation, Inc. Hoist system having triangular tension members
US5980183A (en) 1997-04-14 1999-11-09 Asyst Technologies, Inc. Integrated intrabay buffer, delivery, and stocker system
CH692778A5 (de) * 1997-05-07 2002-10-31 Komax Holding Ag Greifer.
JP3454347B2 (ja) * 1998-05-26 2003-10-06 株式会社ダイフク 昇降駆動装置及びそれを備えた物品保管装置

Also Published As

Publication number Publication date
EP1159213A1 (en) 2001-12-05
CN1118428C (zh) 2003-08-20
JP4109423B2 (ja) 2008-07-02
US6435330B1 (en) 2002-08-20
WO2000037338A1 (en) 2000-06-29
HK1038544A1 (zh) 2002-03-22
JP2002532915A (ja) 2002-10-02
TW446674B (en) 2001-07-21
AU2186200A (en) 2000-07-12
EP1159214A1 (en) 2001-12-05
ATE490203T1 (de) 2010-12-15
JP2002532362A (ja) 2002-10-02
WO2000037339A1 (en) 2000-06-29
US6468021B1 (en) 2002-10-22
CN1333731A (zh) 2002-01-30
CN1333730A (zh) 2002-01-30
TW505605B (en) 2002-10-11
CN1107009C (zh) 2003-04-30
WO2000037338A9 (en) 2001-05-17
CA2355183A1 (en) 2000-06-29
DE69943004D1 (de) 2011-01-13
CA2355093A1 (en) 2000-06-29
AU2176100A (en) 2000-07-12
KR20010082371A (ko) 2001-08-29
WO2000037340A1 (en) 2000-06-29
EP1159212A4 (en) 2009-09-30
JP5147149B2 (ja) 2013-02-20
EP1159214A4 (en) 2007-06-27
CA2355135A1 (en) 2000-06-29
JP2002532363A (ja) 2002-10-02
CN1123519C (zh) 2003-10-08
EP1159214B1 (en) 2010-12-01
US6481558B1 (en) 2002-11-19
AU2176000A (en) 2000-07-12
EP1159212A1 (en) 2001-12-05
KR20010082368A (ko) 2001-08-29
EP1159213A4 (en) 2007-01-10
CN1333732A (zh) 2002-01-30

Similar Documents

Publication Publication Date Title
KR20010082369A (ko) 인/아웃 로드 포트 이송 메카니즘
KR100443597B1 (ko) 일체식 이송 캐리어 및 디렉터를 갖춘 이송 시스템
KR100432380B1 (ko) 부품을 이송하는 보호 컨테이너, 컨베이어 및 방법
US6279724B1 (en) Automated semiconductor processing system
KR101716524B1 (ko) 기판 컨테이너 보관 시스템
US6723174B2 (en) Automated semiconductor processing system
US6942738B1 (en) Automated semiconductor processing system
US20070013642A1 (en) System for transferring flat panel display substrates during manufacture
KR20120050931A (ko) 기판 컨테이너 보관 시스템과 연결하기 위한 일체형 시스템

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid