KR20000051046A - 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 - Google Patents

반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 Download PDF

Info

Publication number
KR20000051046A
KR20000051046A KR1019990001279A KR19990001279A KR20000051046A KR 20000051046 A KR20000051046 A KR 20000051046A KR 1019990001279 A KR1019990001279 A KR 1019990001279A KR 19990001279 A KR19990001279 A KR 19990001279A KR 20000051046 A KR20000051046 A KR 20000051046A
Authority
KR
South Korea
Prior art keywords
gas
reaction
reaction gas
shower head
inflow
Prior art date
Application number
KR1019990001279A
Other languages
English (en)
Other versions
KR100331544B1 (ko
Inventor
채윤숙
전인상
강상범
이상인
류규완
Original Assignee
윤종용
삼성전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 윤종용, 삼성전자 주식회사 filed Critical 윤종용
Priority to KR1019990001279A priority Critical patent/KR100331544B1/ko
Priority to US09/467,313 priority patent/US6478872B1/en
Priority to JP2000008699A priority patent/JP2000212752A/ja
Publication of KR20000051046A publication Critical patent/KR20000051046A/ko
Application granted granted Critical
Publication of KR100331544B1 publication Critical patent/KR100331544B1/ko
Priority to US10/213,078 priority patent/US20030000473A1/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45559Diffusion of reactive gas to substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 상호 반응성있는 2 이상의 반응가스를 유입하여 기판 상에 소정의 막을 증착할 때, 그 반응가스를 유입하는 방법 및 그 가스유입 방법에 사용되는 샤워헤드에 관한 것이다. 본 발명의 가스유입 방법은, 제1반응가스와 나머지 반응가스가 서로 섞이지 않도록 각각 독립적인 가스 주입구를 통하여, 제1반응가스는 기판의 가장자리부를 향하여 유입하고, 나머지 반응가스는 기판의 중심부를 향하여 독립적으로 유입하는 것을 특징으로 한다. 또한, 본 발명의 샤워헤드는, 제1 및 제2반응가스가 샤워헤드 내에서 서로 혼합되지 않도록 각각의 통로를 독립적으로 마련하고, 제1반응가스의 방출구는 샤워헤드의 저면 가장자리에 형성하고, 제2반응가스의 방출구는 그 중앙부에 형성한다.
본 발명에 따르면, 상호 반응성 있는 가스를 하나는 기판의 중심부를 향해 유입하고, 나머지는 기판의 가장자리를 향해 유입함으로써, 샤워헤드 및 반응챔버 내부에 오염 입자의 생성을 억제하면서 증착속도도 증가하는 효과가 있다.

Description

반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드{Method for introducing gases into a reactor chamber and a shower head used therein}
본 발명은 반도체 장치의 제조시 상호 반응성있는 2 이상의 반응가스를 유입하여 기판 상에 소정의 막을 증착할 때, 그 반응가스를 유입하는 방법에 관한 것이다. 또한, 본 발명은 반응가스를 유입할 때 사용되는 샤워헤드의 구조에 관한 것이다.
기체상의 물질을 기판 상에 증착함으로 소정의 막을 형성하는 방법에는 물리기상증착법(Physical Vapor Deposition, 스퍼터링(sputtering)이라고도 한다. 이하, PVD라 한다), 화학기상증착법(Chemical Vapor Deposition, 이하 CVD라 한다), 원자층 성장법(Atomic Layer Deposition, 이하 ALD라 한다), 펄스 CVD(Pulsed CVD, 펄스 CVD는, 그 상세한 내용에 대해 기재된 본 출원인에 의해 1998년 7월 22일 출원된 특허출원 제98-29531호, "화학기상증착법에 의한 금속질화막 형성방법 및 이를 이용한 반도체 장치의 금속컨택 형성방법"을 참조하면, 제1반응가스→퍼지가스→제2반응가스→퍼지가스의 순으로 반응가스 및 퍼지가스를 번갈아가며 소정 시간 동안 유입하여 화학기상증착하는 방법으로서 원자층 성장법과 유사하나, 원자층 성장법은 기판 상에 화학흡착된 반응가스만을 남기고 퍼지하는 반면, 펄스 CVD는 화학흡착뿐만 아니라 물리흡착된 반응가스까지 남겨 증착속도를 현저하게 증가시킨 점에서 다르다. 이하 PCVD라 한다) 등이 있다. 본 발명은 이중 특히 ALD, PCVD에 적용가능한 가스유입 방법에 관한 것으로, 종래의 가스유입 방법 및 그 문제점을 첨부도면을 참조하여 설명하면 다음과 같다.
일반적으로 가스의 증착에 의해 소정의 막을 형성할 때, 소스가스(반응가스)는 반응챔버의 상부에 장착된 샤워헤드에 의해 공급된다. 도1 및 도2는 혼합방식의 샤워헤드를 도시한 것으로서, 제1 및 제2반응가스들은 각각의 주입구(12 및 14)를 통하여 밸브(16)의 개폐동작에 따라 동시에 또는 다른 타이밍을 갖고 샤워헤드(10) 내로 유입된다. 제1 및 제2반응가스들은 샤워헤드(10) 내에서 혼합되고 샤워헤드(10) 저면의 방출구(18)로 나와 반응챔버 내에 안착된 기판(미도시) 상에 증착된다. 그런데, 이러한 구조의 혼합방식 샤워헤드(10)는 특히 제1 및 제2반응가스가 상호 반응성이 있는 경우, 샤워헤드(10) 내에서 서로 반응하여 입자를 생성하고 샤워헤드(10) 내에 증착됨으로써 샤워헤드가 쉽게 오염이 되는 문제가 있다.
한편, 도3 및 도4는 이러한 문제점을 해결하기 위하여 제1 및 제2반응가스의 공급을 따로따로 수행할 수 있는 분리방식의 샤워헤드(30)를 도시한 것이다. 도3 및 도4를 참조하면, 제1 및 제2반응가스들이 샤워헤드(30) 내에서 서로 반응하지 않도록 각각 서로 다른 통로를 마련하여 각각 다른 방출구(38 및 40)로 나오게 되어 있다. 그러나, PCVD 방식의 증착시에는 하나의 반응가스, 예를 들어 제1반응가스만이 유입하는 타이밍일 때, 제2반응가스를 위한 통로로 제1반응가스 및 반응챔버 내에 잔류하는 다른 반응가스가 역류하여 머물고 있다가 이후에 제2반응가스가 유입하면 서로 반응하여 오염 입자를 생성하는 문제점이 있다. 이점은 제1반응가스를 위한 통로도 마찬가지이다.
한편, 제1 및 제2반응가스의 유입시에는 반응가스의 운반을 돕기 위해 불활성 가스로 이루어진 운반가스를 함께 소정 유량 유입할 수 있는데, 상기한 문제점을 해결하기 위하여 이 운반가스를 반응가스의 유입과는 무관하게 계속 유입하거나, 퍼지가스(위와 같이 운반가스를 계속적으로 유입하는 경우는, 두 반응가스가 모두 유입하는 않는 타이밍에 이 운반가스가 바로 퍼지가스가 된다)의 유량을 증가시켜 샤워헤드 및 반응챔버 내에 잔류하는 반응가스를 퍼지시킴으로써, 현재 유입하고 있지 않는 반응가스의 통로로 다른 반응가스가 역류하는 것을 막는 방법이 있다.
그러나, 이러한 운반가스의 계속적인 유입이나 퍼지가스의 유량증가는 기판 상에 흡착되어 있는 반응가스까지 퍼지하게 되어 막의 증착속도가 현저하게 떨어지는 문제점이 있다. 퍼지가스의 유량과 증착속도와의 관계를 그래프로 도시한 것이 도5이다. 도5에서 제1반응가스로는 TiCl4, 제2반응가스로는 NH3를 사용하여 기판 상에 TiN막을 형성하고, 퍼지가스로는 Ar을 사용했다. 도5를 보면 알 수 있듯이, 퍼지가스의 유량을 200sccm 이상으로 증가하면 TiN막의 증착속도가 10Å/min 이하로 현저하게 떨어져 실용성이 떨어진다. 수회의 실험에 의하면 퍼지가스의 유량을 대략 500sccm을 경계로 하여 그 이상으로 하면 오염 입자의 문제점은 없어짐을 알 수 있었다. 그러나 500sccm 이상으로 하면 성장속도가 너무 늦음을 알 수 있다.
본 발명은 상기의 문제점을 감안하여 안출된 것으로서, 오염 입자의 문제와 증착속도의 문제를 동시에 해결할 수 있는 가스유입 방법을 제공하는 것을 목적으로 한다.
또한, 본 발명은 상기의 가스유입 방법에 사용하기 적합한 샤워헤드를 제공하는 것을 다른 목적으로 한다.
도1은 종래의 혼합방식 샤워헤드(shower head)의 구조를 도시한 단면도이다.
도2는 도1에 도시한 샤워헤드의 저면도이다.
도3은 종래의 분리방식 샤워헤드의 구조를 도시한 단면도이다.
도4는 도3에 도시한 샤워헤드의 저면도이다.
도5는 도3의 샤워헤드를 이용하여 기판 상에 TiN막을 형성할 때, 퍼지가스의 유량과 증착속도의 관계를 나타낸 그래프이다.
도6은 본 발명에 따른 가스유입 방법에 사용되는 샤워헤드의 일실시예의 구조를 도시한 단면도이다.
도7은 도6에 도시한 샤워헤드의 저면도이다.
도8은 본 발명에 따른 가스유입 방법에 사용되는 샤워헤드의 다른 실시예의 구조를 도시한 단면도이다.
도9는 도8에 도시한 샤워헤드의 저면도이다.
도10 내지 도13은 본 발명의 각실시예에 따른 가스유입 방법을 개념적으로 도시한 도면들이다.
상기의 목적을 달성하기 위한 본 발명에 따른 가스유입 방법은, 반응챔버 내에 안착된 기판에 소정의 막을 증착하기 위하여 상호 반응성 있는 제1 및 제2반응가스를 포함하여 2 또는 그 이상의 반응가스들을 반응챔버 안으로 유입하는 방법으로서, 제1반응가스와 나머지 반응가스는 서로 섞이지 않도록 독립적인 가스 주입구를 통하여, 제1반응가스는 기판의 가장자리부를 향하여 유입하고, 나머지 반응가스는 기판의 중심부를 향하여 유입하는 것을 특징으로 한다.
이때, 상기 소정의 막은 원자층 성장법이나 PCVD 방법으로 증착할 수 있다.
또한, 상기 제1반응가스와 각각 반응성이 있는 제2 및 제3반응가스를 함께 유입할 수 있는데, 상기 제2 및 제3반응가스는 동일한 가스 주입구를 통하여 서로 섞이도록 동시에 유입하거나, 서로 섞이지 않도록 시차를 두고 번갈아가며 유입할 수도 있고, 독립적인 가스 주입구를 통하여 유입할 수도 있다.
또한, 본 발명의 실시예에 따르면, 본 발명의 가스유입 방법은, 반응챔버 내에 안착된 기판 상에 소정의 물질을 증착하기 위하여 상기 물질의 일부 원소를 포함하는 제1반응가스, 및 제1반응가스와 반응하여 상기 물질을 형성하는 제2반응가스를 각각 별도의 가스 주입구를 통하여 유입하는 방법으로서, 기판의 가장자리부를 향하여 제1반응가스를 소정 시간 동안 유입하는 단계, 제1반응가스의 유입을 차단하고, 반응챔버 내에 잔류하는 반응가스를 퍼지하는 단계, 기판의 중심부를 향하여 제2반응가스를 소정 시간 동안 유입하는 단계, 및 제2반응가스의 유입을 차단하고, 반응챔버 내에 잔류하는 반응가스를 퍼지하는 단계를 포함하는 것을 특징으로 한다.
이때, 제1 및 제2반응가스를 유입하는 단계 각각은, 제1 및 제2반응가스의 원활한 공급을 위하여 운반가스를 함께 유입하고, 상기 퍼지하는 단계 각각은 제1 및 제2반응가스를 차단하고 운반가스만을 계속 유입함으로써 퍼지하도록 할 수 있다.
또한, 상기 물질을 원하는 두께로 증착하기 위하여 상기 제1반응가스 유입단계, 퍼지단계, 제2반응가스 유입단계 및 퍼지단계를 반복하여 수행할 수도 있다.
상기의 다른 목적을 달성하기 위한 본 발명에 따른 샤워헤드는, 하부에 기판이 안착된 반응챔버의 상부에 장착되어 제1 및 제2반응가스를 공급하는 샤워헤드로서, 샤워헤드의 상면에 형성되고, 제1반응가스의 공급원으로부터 제1반응가스를 공급받는 제1가스 공급구, 샤워헤드의 상면에 형성되고, 제2반응가스의 공급원으로부터 제2반응가스를 공급받는 제2가스 공급구, 샤워헤드의 저면 가장자리에 형성된 다수의 제1가스 방출구, 샤워헤드의 저면 중앙부에 형성된 다수의 제2가스 방출구, 샤워헤드의 몸체 내부에 형성되고, 제1가스 공급구와 다수의 제1가스 방출구를 연결하는 제1가스 통로, 및 샤워헤드의 몸체 내부에 제1가스 통로와 독립적으로 형성되고, 제2가스 공급구와 다수의 제2가스 방출구를 연결하는 제2가스 통로를 포함하는 것을 특징으로 한다.
이때, 제1가스 방출구는 제2가스 방출구에 비해 아래쪽으로 더 연장되어, 샤워헤드가 증착챔버 상부에 장착되었을 때, 제1가스 방출구가 챔버 내에 안착된 기판에 더욱 근접하도록 할 수도 있다.
또한, 제3가스의 공급을 위해, 샤워헤드의 상면에 형성되고, 제3반응가스의 공급원으로부터 제3반응가스를 공급받는 제3가스 공급구, 샤워헤드의 저면 중앙부에 제2가스 방출구와는 별도로 형성된 다수의 제3가스 방출구, 및 샤워헤드의 몸체 내부에 제1 및 제2가스 통로와 독립적으로 형성되고, 제3가스 공급구와 다수의 제3가스 방출구를 연결하는 제3가스 통로를 더 포함할 수도 있다.
이와 같이 본 발명에 의하면, 상호 반응성이 있는 반응가스들을 하나는 기판의 가장자리부로, 나머지는 기판의 중심부를 향해 독립적으로 유입함으로써, 샤워헤드 및 반응챔버 내부에서 오염 입자의 생성을 방지하면서도 빠른 증착속도를 얻을 수 있다.
이하, 첨부도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명한다. 먼저, 본 발명의 가스유입 방법에 사용하기 적합한 샤워헤드의 구조에 대하여 설명한다.
도6 및 도7은 본 발명의 가스유입 방법에 적합한 샤워헤드의 일실시예의 구조를 도시한 도면들이다. 도6에 도시된 바와 같이, 본 실시예에 사용되는 샤워헤드(60)의 상부에는 제1 및 제2반응가스 각각을 위한 가스 공급라인(62 및 64)과 밸브(66), 제1 및 제2반응가스의 운반 및 샤워헤드(60)와 반응챔버의 퍼지를 위한 퍼지가스(운반가스)를 주입하기 위한 공급라인(68) 및 밸브(70)가 구비된다.
샤워헤드(60)의 내부는 제1 및 제2반응가스들이 서로 혼합되지 않도록 각각의 통로를 두고, 특히 하나의 반응가스는 샤워헤드(60)의 밑면 가장자리로 방출되도록 하고(72), 다른 하나의 반응가스는 샤워헤드(60)의 밑면 중앙으로 방출되도록 한다(74). 즉, 도7에 도시된 바와 같이, 샤워헤드(60)의 밑면은 그 가장자리에 제1반응가스의 방출구(72)가 소정 간격으로 다수 형성되고, 중앙에 제2반응가스의 방출구(74)가 소정 간격으로 다수 형성된다.
또한, 제2반응가스의 공급라인(64)으로는 제1반응가스와 반응성이 있는 제3반응가스를 제2반응가스와 함께 공급할 수 있다. 이때, 제2반응가스와 제3반응가스가 서로 반응성이 없거나 약하면 제2 및 제3반응가스를 동시에 공급할 수도 있고, 반응성이 있으면 시차를 두어 번갈아가며 공급할 수 있다.
도8 및 도9는 본 발명의 가스유입 방법에 적합한 샤워헤드의 다른 실시예의 구조를 도시한 도면들이다. 도8 및 도9에 도시된 샤워헤드는 도6 및 도7에 도시된 샤워헤드에 제3반응가스의 유입을 위해 제3반응가스 공급라인(86), 및 저면 중앙부에 제2가스 방출구(96)와 독립된 제3가스 방출구(98)를 더 구비한다. 여기서, 제3반응가스 공급라인(86)과 제3가스 방출구(98)를 연결하기 위한 제3반응가스 통로는 제2반응가스 통로와 서로 분리되도록 하여, 세 반응가스를 서로 독립적으로 유입할 수 있도록 한다.
또한, 도6 내지 도9의 샤워헤드는, 제1반응가스의 방출구(72, 94)가 제2 및/또는 제3반응가스의 방출구(74, 96, 98)에 비해 아래쪽으로 더 연장되어 반응챔버 내에 안착된 기판과 더 근접하도록 할 수 있다(도11 참조). 그리고, 샤워헤드의 반경은 기판보다 크거나 같게 함으로써 제1반응가스의 방출구(72, 94)가 기판의 가장자리 또는 그 바깥을 향하도록 하는 것이 바람직하다.
다음으로, 본 발명의 실시예에 따라 가스를 유입하는 방법을 설명한다. 도10 내지 도13은 본 발명의 실시예들에 따라 가스를 유입하는 상태를 개념적으로 도시한 것이다.
먼저 도10을 보면, 제1반응가스 및 운반가스는 샤워헤드의 가장자리에 형성된 방출구(140)에서 나와 반응챔버(100) 내의 기판 홀더(120)에 안착된 기판(110)의 가장자리부를 향하여 유입되고(B), 제2반응가스 및 운반가스는 샤워헤드의 중앙에 형성된 방출구(130)에서 나와 기판(110)의 중심부로 유입된다(A). 이렇게 함으로써, 퍼지가스(운반가스)의 직접적인 퍼지효과가 감소되어 퍼지가스의 유입량을 증가시킬 수 있고, 증착속도의 감소도 억제된다. 또한 반응챔버(100) 내의 반응가스 각각은 서로 다른 반응가스의 방출구로 역류하기 힘들게 되고, 그만큼 샤워헤드 내부 및 가스라인의 오염은 방지된다.
이때, 기판(110) 상에 흡착된 제2반응가스의 과도한 퍼지를 방지하기 위해서는, 제1반응가스의 방출구(140)가 기판(110)의 가장자리를 벗어나 되도록 기판(110) 바깥을 향하도록 하는 것이 바람직하다. 이는, 상기한 바와 같은, 그 반경이 기판의 반경보다 크거나 같은 샤워헤드를 사용함으로써 쉽게 이루어진다.
도11 내지 도13은 각각 본 발명의 다른 실시예들에 따라 가스를 유입하는 상태를 개념적으로 도시한 것이다. 도10과 동일한 요소에 대해서는 동일한 참조부호로서 표시하고, 그 상세한 설명을 생략한다.
도11의 실시예는 제1반응가스의 방출구(142)가 제2반응가스의 방출구(130)에 비해 기판(110)쪽으로 더 길게 연장된 구조의 샤워헤드를 사용함으로써, 유입되는 제1반응가스(C)와 제2반응가스(A)의 상호 역류를 더욱 효과적으로 방지할 수 있다. 이는, 상기한 바의 제1반응가스의 방출구가 제2반응가스의 방출구에 비해 아래쪽으로 더 연장되어 있는 샤워헤드를 사용함으로써 쉽게 이루어진다.
도12의 실시예에서는 샤워헤드로는 제2반응가스만 유입하고(A), 제1반응가스는 반응챔버의 측면에서 기판(110) 쪽으로 유입한다(D).
그리고, 도13의 실시예에서는 샤워헤드로는 제2반응가스만 유입하고(A), 제1반응가스는 반응챔버의 아래쪽 가장자리에서 유입한다(E).
한편, 상술한 실시예들에서 제1 및 제2반응가스는 각각 소정 시간 동안 번갈아가며 유입하는 PCVD 방식으로 유입할 수도 있는데, 이하에서는 이를 상세히 설명한다.
먼저, 도10 내지 도13중 어느 하나의 구조를 갖는 반응챔버(100)에 반도체 웨이퍼(110) 또는 다수의 기판이 장착된 웨이퍼 보트(미도시)를 인입하고, 반응챔버(100) 내의 온도와 압력을 소정의 정해진 수준으로 설정하여 안정화한다.
이어서, 제1반응가스 공급라인(도6의 62)에 설치된 밸브(66)를 열어 제1반응가스를 소정 시간 동안 유입한다(B,C,D 또는 E). 그러면, 웨이퍼(110)의 가장자리부를 향하여 유입된 제1반응가스는 웨이퍼(110) 상에 물리적 또는 화학적으로 흡착된다. 이때, 제1반응가스의 원활한 공급을 위해 운반가스를 제1반응가스와 함께 유입할 수 있다. 이 운반가스는 불활성 가스를 사용하고, 퍼지가스를 위한 공급라인(68)을 통해 유입할 수 있다.
이어서, 제1반응가스 공급라인(62)에 설치된 밸브(66)를 닫아 제1반응가스의 공급을 차단하고 소정 시간 동안 퍼지가스를 유입하여 웨이퍼(110) 상에 흡착되지 않고 샤워헤드 및 반응챔버 내부에 남아 있는 반응가스를 퍼지한다. 이때, 제1반응가스와 함께 운반가스를 유입한 경우에는 별도의 퍼지가스를 유입할 필요없이 제1반응가스만 차단하고 운반가스를 계속 유입함으로써 퍼지를 수행한다.
이어서, 제2반응가스를 소정 시간 동안 유입한다(A). 그러면, 웨이퍼(110)의 중심부를 향하여 유입된 제2반응가스는 웨이퍼(110) 상에 흡착되어 있는 제1반응가스와 반응하여 원하는 물질막을 형성한다. 이때 제2반응가스의 원활한 공급을 위해 불활성 가스로 이루어진 운반가스를 제2반응가스와 함께 유입할 수 있다.
이어서, 제2반응가스의 공급을 차단하고 소정 시간 동안 퍼지가스를 유입하여 웨이퍼(110) 상에서 소정의 물질막을 형성하지 않고 샤워헤드 및 반응챔버 내부에 남아있는 반응가스 또는 오염 입자를 퍼지한다. 이때, 제2반응가스와 함께 운반가스를 유입한 경우에는 별도의 퍼지가스를 유입할 필요없이 제2반응가스만 차단하고 운반가스를 계속 유입함으로써 퍼지를 수행한다.
이상과 같은 제1반응가스 유입→퍼지→제2반응가스 유입→퍼지의 사이클을 원하는 두께의 물질막이 형성될 때까지 반복할 수 있다. 또한, 제1반응가스와 제2반응가스중 어느 것을 먼저 유입할 것인가는 형성하고자 하는 막의 성질에 따라 바뀔 수도 있다.
다음으로, 본 발명의 가스유입 방법을 이용하여 PCVD 방식으로 기판 상에 물질막을 증착한 실험예를 기재한다.
본 실험예에서는 종래의 방법과 본 발명에 따른 방법의 효과를 비교하기 위하여 각각 도3 및 도6에 도시된 샤워헤드를 사용하여 기판 상에 TiN막을 형성하였다. 제1반응가스로서는 NH3, 제2반응가스로서는 TiCl4, 운반 및 퍼지가스로서는 Ar을 사용하였다. 종래의 방법과 본 발명에 따른 방법 각각의 공정조건 및 1사이클은 다음과 같다.
<종래의 방법>
기판온도 : 500℃
압력 : 3torr
1사이클 : NH3100sccm + Ar 120sccm (2초) → Ar 120sccm (4초)
→ TiCl43sccm + Ar 120sccm (2초) → Ar 120sccm (4초)
<본 발명에 따른 방법>
기판온도 : 500℃
압력 : 4torr
1사이클 : NH3100sccm + Ar 600sccm (2초) → Ar 600sccm (4초)
→ TiCl43sccm + Ar 600sccm (2초) → Ar 600sccm (4초)
이상과 같은 조건으로 각각 수십 사이클을 수행하여 얻어진 결과는 다음 표1과 같다.
종래의 방법 본 발명에 따른 방법
증착 속도(Å/min) ?? 30 > 30
오염 입자수 > 8000 < 50
면저항(μΩ-cm) 150 116
면저항의 균일도(%) 14.3 (표준편차)30 (최대값-최소값) 8.4 (표준편차)14.1 (최대값-최소값)
표1로부터 알 수 있는 바와 같이, 증착속도는 두 방법이 비슷하나 기판 상에 형성된 오염 입자수에서는 현격한 차이를 보인다. 즉, 종래의 방법에서는 8000개 이상의 오염 입자가 관찰되었으나, 본 발명에 따른 방법에서는 50개 미만이 관찰되었다. 한편, 전술한 바와 같이 종래의 방법에서 운반 및 퍼지가스(Ar)의 유량을 증가시키면 오염 입자수는 감소한다. 예를 들어, 위와 같은 조건의 종래의 방법에서 Ar의 유량을 600sccm으로 증가하고 나머지 조건은 동일하게 하면, 증착속도는 8Å/min 이하로 떨어졌다. 이러한 낮은 증착속도는 이 방법을 사용하기 어렵게 한다.
또한, 본 발명에 따른 방법은 증착속도 및 오염 입자수에서 뿐만아니라, 면저항 및 그 균일도 면에서도 종래의 방법에 비해 더 좋은 결과를 얻을 수 있음을 알 수 있다. 즉, 본 실험예에서 증착한 TiN막은 일반적으로 장벽금속층으로 사용되는 만큼 그 면저항이 적을수록 바람직한데, 표1에서 보는 바와 같이, 본 발명에 따른 방법은 종래의 방법에 비해 더 적은 면저항값이 얻어졌을 뿐만 아니라, 그 균일도 면에서도 우수한 결과를 얻을 수 있다. 참고로, 면저항은 4점 탐침방식으로 기판의 49개 지점에서 측정하였다.
이상 상호 반응성 있는 제1 및 제2반응가스를 유입하는 방법의 본 발명에 따른 실시예 및 실험예를 기재하였는데, 본 발명의 가스유입 방법은 두 가지의 반응가스를 이용하는 증착공정뿐만 아니라, 예를 들어 BST((Ba,Sr)TiO3) 등과 같이 3 이상의 반응가스를 이용하는 증착공정에도 적용가능하다. 이렇게 세 가지의 반응가스를 이용하는 증착공정에서는, 도8에 도시된 바와 같은 샤워헤드(80)를 통하여 반응가스들을 유입할 수 있다. 이때, 가장 역류하기 쉬운 반응가스를 제1반응가스 공급라인(82)를 통하여 기판의 가장자리로 공급하고, 나머지 반응가스들은 제2 및 제3반응가스 공급라인(84, 86)을 통하여 기판의 중심부로 공급한다. 또한, 제2 및 제3반응가스의 상호 반응성이 없거나 약한 경우에는, 도6 또는 도10 내지 도13에 도시된 바와 같은 샤워헤드(60) 또는 가스유입 시스템을 이용할 수도 있는데, 반응성이 강한 어느 하나의 반응가스를 제1반응가스 공급라인(62 또는 140, 142, 144, 146)을 통하여 기판의 가장자리로 유입하고, 나머지 제2 및 제3반응가스는 제2반응가스 공급라인(64 또는 130)을 통하여 기판의 중심부로 유입한다. 이때, 제2 및 제3반응가스는 동시에 유입할 수도 있고, 시차를 두어 순차적으로 유입할 수도 있다.
이상 상술한 바와 같이 본 발명의 가스유입 방법 및 샤워헤드에 따르면, 상호 반응성 있는 반응가스를 하나는 기판의 가장자리부를 향해 유입하고, 나머지는 기판의 중심부를 향해 유입함으로써, 샤워헤드 및 반응챔버 내부에 오염 입자의 생성을 억제하면서 증착속도도 증가하는 효과가 있다.

Claims (19)

  1. 반응챔버 내에 안착된 기판에 소정의 막을 증착하기 위하여 상호 반응성 있는 제1 및 제2반응가스를 포함하여 2 또는 그 이상의 반응가스들을 상기 반응챔버 안으로 유입하는 방법에 있어서,
    상기 제1반응가스와 나머지 반응가스는 서로 섞이지 않도록 독립적인 가스 주입구를 통하여, 상기 제1반응가스는 상기 기판의 가장자리부를 향하여 유입하고, 상기 나머지 반응가스는 상기 기판의 중심부를 향하여 유입하는 것을 특징으로 하는 가스유입 방법.
  2. 제1항에 있어서, 상기 소정의 막은 원자층 성장법으로 증착되는 것을 특징으로 하는 가스유입 방법.
  3. 제1항에 있어서, 상기 제1반응가스 및 나머지 반응가스는 각각 소정 시간 동안 번갈아가며 유입하는 것을 특징으로 하는 가스유입 방법.
  4. 제3항에 있어서, 상기 제1반응가스 및 나머지 반응가스는 각각 원활한 공급을 위한 운반가스와 함께 유입되는 것을 특징으로 하는 가스유입 방법.
  5. 제4항에 있어서, 상기 운반가스는 불활성 기체인 것을 특징으로 하는 가스유입 방법.
  6. 제4항에 있어서, 상기 제1반응가스 및 나머지 반응가스의 유입 사이에 소정 시간 동안 상기 운반가스만을 유입하여 상기 반응챔버를 퍼지하는 것을 특징으로 하는 가스유입 방법.
  7. 제1항에 있어서, 상기 나머지 반응가스에는 상기 제1반응가스와 각각 반응성이 있는 제2 및 제3반응가스가 포함되고, 상기 제2 및 제3반응가스는 동일한 가스 주입구를 통하여 서로 섞이도록 동시에 유입하거나, 서로 섞이지 않도록 시차를 두고 번갈아가며 유입하는 것을 특징으로 하는 가스유입 방법.
  8. 제1항에 있어서, 상기 나머지 반응가스에는 상기 제1반응가스와 각각 반응성이 있는 제2 및 제3반응가스가 포함되고, 상기 제2 및 제3반응가스는 서로 섞이지 않도록 독립적인 가스 주입구를 통하여 유입하는 것을 특징으로 하는 가스유입 방법.
  9. 제1항에 있어서, 상기 제1반응가스는 상기 반응챔버의 윗쪽 가장자리에 마련된 가스 주입구를 통하여 윗쪽에서 유입하고, 상기 나머지 반응가스는 상기 반응챔버의 윗쪽 중앙에 마련된 가스 주입구를 통하여 윗쪽에서 유입하는 것을 특징으로 하는 가스유입 방법.
  10. 제1항에 있어서, 상기 제1반응가스는 상기 반응챔버의 측면에 마련된 가스 주입구를 통하여 측면에서 유입하고, 상기 나머지 반응가스는 상기 반응챔버의 윗쪽 중앙에 마련된 가스 주입구를 통하여 윗쪽에서 유입하는 것을 특징으로 하는 가스유입 방법.
  11. 제1항에 있어서, 상기 제1반응가스는 상기 반응챔버의 밑면 가장자리에 마련된 가스 주입구를 통하여 아래쪽에서 유입하고, 상기 제2반응가스는 상기 반응챔버의 윗쪽 중앙에 마련된 가스 주입구를 통하여 윗쪽에서 유입하는 것을 특징으로 하는 가스유입 방법.
  12. 반응챔버 내에 안착된 기판 상에 소정의 물질을 증착하기 위하여 상기 물질의 일부 원소를 포함하는 제1반응가스, 및 상기 제1반응가스와 반응하여 상기 물질을 형성하는 제2반응가스를 각각 별도의 가스 주입구를 통하여 유입하는 방법에 있어서,
    (a) 상기 기판의 가장자리부를 향하여 상기 제1반응가스를 소정 시간 동안 유입하는 단계;
    (b) 상기 제1반응가스의 유입을 차단하고, 상기 반응챔버 내에 잔류하는 반응가스를 퍼지하는 단계;
    (c) 상기 기판의 중심부를 향하여 상기 제2반응가스를 소정 시간 동안 유입하는 단계; 및
    (d) 상기 제2반응가스의 유입을 차단하고, 상기 반응챔버 내에 잔류하는 반응가스를 퍼지하는 단계를 포함하는 것을 특징으로 하는 가스유입 방법.
  13. 제12항에 있어서, 상기 (a) 및 (c) 단계 각각은 상기 제1 및 제2반응가스의 원활한 공급을 위하여 운반가스를 함께 유입하고, 상기 (b) 및 (d) 단계 각각은 상기 제1 및 제2반응가스를 차단하고 운반가스만을 계속 유입함으로써 퍼지하는 것을 특징으로 하는 가스유입 방법.
  14. 제13항에 있어서, 상기 운반가스는 불활성 기체인 것을 특징으로 하는 가스유입 방법.
  15. 제12항에 있어서, 상기 물질을 원하는 두께로 증착하기 위하여 상기 (a) 내지 (d) 단계를 반복하여 수행하는 것을 특징으로 하는 가스유입 방법.
  16. 하부에 기판이 안착된 반응챔버의 상부에 장착되어 제1 및 제2반응가스를 공급하는 샤워헤드에 있어서,
    상기 샤워헤드의 상면에 형성되고, 상기 제1반응가스의 공급원으로부터 상기 제1반응가스를 공급받는 제1가스 공급구;
    상기 샤워헤드의 상면에 형성되고, 상기 제2반응가스의 공급원으로부터 상기 제2반응가스를 공급받는 제2가스 공급구;
    상기 샤워헤드의 저면 가장자리에 형성된 다수의 제1가스 방출구;
    상기 샤워헤드의 저면 중앙부에 형성된 다수의 제2가스 방출구;
    상기 샤워헤드의 몸체 내부에 형성되고, 상기 제1가스 공급구와 상기 다수의 제1가스 방출구를 연결하는 제1가스 통로; 및
    상기 샤워헤드의 몸체 내부에 상기 제1가스 통로와 독립적으로 형성되고, 상기 제2가스 공급구와 상기 다수의 제2가스 방출구를 연결하는 제2가스 통로를 포함하는 것을 특징으로 하는 샤워헤드.
  17. 제16항에 있어서, 상기 다수의 제1가스 방출구는 상기 다수의 제2가스 방출구에 비해 아래쪽으로 더 연장되어, 상기 반응챔버 상부에 장착되었을 때, 상기 다수의 제1가스 방출구가 상기 기판에 더욱 근접하도록 한 것을 특징으로 하는 샤워헤드.
  18. 제16항에 있어서, 상기 다수의 제1가스 방출구가 형성된 상기 샤워헤드의 저면 가장자리의 반경은, 상기 기판의 반경보다 크거나 같은 것을 특징으로 하는 샤워헤드.
  19. 제16항에 있어서,
    상기 샤워헤드의 상면에 형성되고, 제3반응가스의 공급원으로부터 제3반응가스를 공급받는 제3가스 공급구;
    상기 샤워헤드의 저면 중앙부에 상기 제2가스 방출구와는 별도로 형성된 다수의 제3가스 방출구; 및
    상기 샤워헤드의 몸체 내부에 상기 제1 및 제2가스 통로와 독립적으로 형성되고, 상기 제3가스 공급구와 상기 다수의 제3가스 방출구를 연결하는 제3가스 통로를 더 포함하여, 상기 제1, 제2 및 제3반응가스를 각각 독립적으로 공급하는 것을 특징으로 하는 샤워헤드.
KR1019990001279A 1999-01-18 1999-01-18 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드 KR100331544B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1019990001279A KR100331544B1 (ko) 1999-01-18 1999-01-18 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US09/467,313 US6478872B1 (en) 1999-01-18 1999-12-20 Method of delivering gas into reaction chamber and shower head used to deliver gas
JP2000008699A JP2000212752A (ja) 1999-01-18 2000-01-18 反応チャンバガス流入方法及びそれに用いるシャワ―ヘッド
US10/213,078 US20030000473A1 (en) 1999-01-18 2002-08-07 Method of delivering gas into reaction chamber and shower head used to deliver gas

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019990001279A KR100331544B1 (ko) 1999-01-18 1999-01-18 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드

Publications (2)

Publication Number Publication Date
KR20000051046A true KR20000051046A (ko) 2000-08-16
KR100331544B1 KR100331544B1 (ko) 2002-04-06

Family

ID=19571596

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019990001279A KR100331544B1 (ko) 1999-01-18 1999-01-18 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드

Country Status (3)

Country Link
US (2) US6478872B1 (ko)
JP (1) JP2000212752A (ko)
KR (1) KR100331544B1 (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100402332B1 (ko) * 2001-09-07 2003-10-22 주식회사 시스넥스 균일하게 가스분사가 이루어지는 샤워헤드와유도가열방식에 의해 서셉터 상부의 온도를 균일하게가열하는 수직형 화학기상증착 반응기
KR100408519B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 원자층 형성용 반응챔버
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
KR100741180B1 (ko) * 2001-08-01 2007-07-19 동경 엘렉트론 주식회사 가스 처리 장치 및 가스 처리 방법
KR101434217B1 (ko) * 2012-03-19 2014-08-29 (주)레벨컴퍼니 통신 네트워크를 이용하는 공모 시스템 및 공모 방법
KR20190096287A (ko) * 2018-02-08 2019-08-19 주성엔지니어링(주) 챔버 세정 장치 및 챔버 세정 방법
KR20240031783A (ko) 2022-09-01 2024-03-08 주식회사 테스 건식 식각 방법

Families Citing this family (587)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4230596B2 (ja) 1999-03-12 2009-02-25 東京エレクトロン株式会社 薄膜形成方法
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7482283B2 (en) 2000-12-12 2009-01-27 Tokyo Electron Limited Thin film forming method and thin film forming device
US6825447B2 (en) 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6596643B2 (en) 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US20030198754A1 (en) * 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
KR100427996B1 (ko) * 2001-07-19 2004-04-28 주식회사 아이피에스 박막증착용 반응용기 및 그를 이용한 박막증착방법
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US7085616B2 (en) 2001-07-27 2006-08-01 Applied Materials, Inc. Atomic layer deposition apparatus
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US6670071B2 (en) * 2002-01-15 2003-12-30 Quallion Llc Electric storage battery construction and method of manufacture
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7013834B2 (en) * 2002-04-19 2006-03-21 Nordson Corporation Plasma treatment system
JP4338355B2 (ja) * 2002-05-10 2009-10-07 東京エレクトロン株式会社 プラズマ処理装置
JP4151308B2 (ja) * 2002-05-17 2008-09-17 東京エレクトロン株式会社 処理装置のガス導入方法
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US6896730B2 (en) * 2002-06-05 2005-05-24 Micron Technology, Inc. Atomic layer deposition apparatus and methods
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
KR100464855B1 (ko) * 2002-07-26 2005-01-06 삼성전자주식회사 박막 형성 방법과, 이를 이용한 커패시터 형성 방법 및트랜지스터 형성 방법
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP4113755B2 (ja) * 2002-10-03 2008-07-09 東京エレクトロン株式会社 処理装置
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
WO2004044970A1 (ja) * 2002-11-11 2004-05-27 Hitachi Kokusai Electric Inc. 基板処理装置
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
US20040118519A1 (en) * 2002-12-20 2004-06-24 Applied Materials, Inc. Blocker plate bypass design to improve clean rate at the edge of the chamber
WO2004064147A2 (en) 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US6994319B2 (en) * 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6868859B2 (en) * 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6818249B2 (en) * 2003-03-03 2004-11-16 Micron Technology, Inc. Reactors, systems with reaction chambers, and methods for depositing materials onto micro-device workpieces
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
KR100520900B1 (ko) * 2003-03-13 2005-10-12 주식회사 아이피에스 Ald 박막증착방법
US7342984B1 (en) 2003-04-03 2008-03-11 Zilog, Inc. Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character
US20040198069A1 (en) 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7601223B2 (en) * 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100626366B1 (ko) * 2003-07-18 2006-09-20 삼성전자주식회사 기상 증착 시스템
JP3913723B2 (ja) 2003-08-15 2007-05-09 株式会社日立国際電気 基板処理装置及び半導体デバイスの製造方法
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
KR100527048B1 (ko) * 2003-08-29 2005-11-09 주식회사 아이피에스 박막증착방법
US7056806B2 (en) * 2003-09-17 2006-06-06 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for controlling deposition of materials on microfeature workpieces
US7282239B2 (en) * 2003-09-18 2007-10-16 Micron Technology, Inc. Systems and methods for depositing material onto microfeature workpieces in reaction chambers
US20050067103A1 (en) 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) * 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
KR100513920B1 (ko) * 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US7258892B2 (en) * 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US20050249873A1 (en) * 2004-05-05 2005-11-10 Demetrius Sarigiannis Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
US8133554B2 (en) * 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7572337B2 (en) * 2004-05-26 2009-08-11 Applied Materials, Inc. Blocker plate bypass to distribute gases in a chemical vapor deposition system
US7622005B2 (en) * 2004-05-26 2009-11-24 Applied Materials, Inc. Uniformity control for low flow process and chamber to chamber matching
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4399452B2 (ja) * 2004-06-15 2010-01-13 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US8202575B2 (en) * 2004-06-28 2012-06-19 Cambridge Nanotech, Inc. Vapor deposition systems and methods
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060165873A1 (en) * 2005-01-25 2006-07-27 Micron Technology, Inc. Plasma detection and associated systems and methods for controlling microfeature workpiece deposition processes
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US20060237138A1 (en) * 2005-04-26 2006-10-26 Micron Technology, Inc. Apparatuses and methods for supporting microelectronic devices during plasma-based fabrication processes
KR100731164B1 (ko) * 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
JP4794942B2 (ja) * 2005-08-03 2011-10-19 古河機械金属株式会社 原子層堆積装置
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US20070119371A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
JP4890012B2 (ja) * 2005-12-01 2012-03-07 株式会社フジクラ プラズマcvd装置
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR100849929B1 (ko) * 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
US7605078B2 (en) * 2006-09-29 2009-10-20 Tokyo Electron Limited Integration of a variable thickness copper seed layer in copper metallization
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8092695B2 (en) 2006-10-30 2012-01-10 Applied Materials, Inc. Endpoint detection for photomask etching
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
KR101355638B1 (ko) * 2006-11-09 2014-01-29 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8821637B2 (en) 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP4981485B2 (ja) * 2007-03-05 2012-07-18 株式会社ニューフレアテクノロジー 気相成長方法および気相成長装置
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5444599B2 (ja) * 2007-09-28 2014-03-19 東京エレクトロン株式会社 ガス供給装置及び成膜装置
US20090095221A1 (en) * 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US20090211707A1 (en) * 2008-02-22 2009-08-27 Hermes Systems Inc. Apparatus for gas distribution and its applications
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
JP5108565B2 (ja) * 2008-03-07 2012-12-26 株式会社リコー 液滴吐出ヘッドとその製造方法、液滴吐出ヘッドを具備した画像記録装置
US8721836B2 (en) 2008-04-22 2014-05-13 Micron Technology, Inc. Plasma processing with preionized and predissociated tuning gases and associated systems and methods
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
KR101832478B1 (ko) * 2008-10-24 2018-02-26 어플라이드 머티어리얼스, 인코포레이티드 다중 가스 공급 장치 및 방법
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
CN102308368B (zh) * 2008-12-04 2014-02-12 威科仪器有限公司 用于化学气相沉积的进气口元件及其制造方法
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR20110004081A (ko) * 2009-07-07 2011-01-13 삼성모바일디스플레이주식회사 증착 장치용 캐니스터, 이를 이용한 증착 장치 및 증착 방법
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN102471886A (zh) * 2009-08-28 2012-05-23 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
TWI385272B (zh) * 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
TWI369251B (en) * 2010-02-01 2012-08-01 Ind Tech Res Inst Gas distribution module and gas distribution scanning apparatus using the same
JP5436674B2 (ja) * 2010-07-27 2014-03-05 パナソニック株式会社 不揮発性記憶装置の製造方法
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
JP5926742B2 (ja) * 2010-12-30 2016-05-25 ビーコ・インストゥルメンツ・インコーポレイテッド 反応器及びウェハを処理する方法
KR101956347B1 (ko) 2011-03-04 2019-03-08 어플라이드 머티어리얼스, 인코포레이티드 콘택 세정을 위한 방법들
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9062375B2 (en) * 2011-08-17 2015-06-23 Asm Genitech Korea Ltd. Lateral flow atomic layer deposition apparatus and atomic layer deposition method using the same
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9083182B2 (en) 2011-11-21 2015-07-14 Lam Research Corporation Bypass capacitors for high voltage bias power in the mid frequency RF range
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US9396908B2 (en) 2011-11-22 2016-07-19 Lam Research Corporation Systems and methods for controlling a plasma edge region
US9263240B2 (en) * 2011-11-22 2016-02-16 Lam Research Corporation Dual zone temperature control of upper electrodes
US10586686B2 (en) 2011-11-22 2020-03-10 Law Research Corporation Peripheral RF feed and symmetric RF return for symmetric RF delivery
KR101971312B1 (ko) * 2011-11-23 2019-04-22 램 리써치 코포레이션 다중 존 가스 주입 상부 전극 시스템
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
SG11201402447TA (en) 2011-11-24 2014-06-27 Lam Res Corp Plasma processing chamber with flexible symmetric rf return strap
JP6038618B2 (ja) * 2011-12-15 2016-12-07 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
KR101420333B1 (ko) * 2012-11-19 2014-07-16 삼성디스플레이 주식회사 기상 증착 장치, 이를 이용한 박막 형성 방법 및 유기 발광 표시 장치 제조 방법
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9123510B2 (en) * 2013-06-12 2015-09-01 ASM IP Holding, B.V. Method for controlling in-plane uniformity of substrate processed by plasma-assisted process
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
JP2015069987A (ja) * 2013-09-26 2015-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102263554B1 (ko) 2013-09-27 2021-06-09 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102376429B1 (ko) 2013-12-18 2022-03-17 램 리써치 코포레이션 균일성 베플들을 포함하는 반도체 기판 프로세싱 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR20150116600A (ko) * 2014-04-08 2015-10-16 삼성전자주식회사 에피텍시얼막 형성 방법 및 이를 수행하는데 사용되는 기판 처리 장치
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP5837962B1 (ja) * 2014-07-08 2015-12-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびガス整流部
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
US20170252756A1 (en) * 2014-09-17 2017-09-07 Tokyo Electron Limited Shower head and film forming apparatus
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
JP5968996B2 (ja) * 2014-12-18 2016-08-10 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP5963893B2 (ja) * 2015-01-09 2016-08-03 株式会社日立国際電気 基板処理装置、ガス分散ユニット、半導体装置の製造方法およびプログラム
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
TWI671792B (zh) 2016-12-19 2019-09-11 荷蘭商Asm知識產權私人控股有限公司 基板處理設備
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP6809304B2 (ja) * 2017-03-10 2021-01-06 東京エレクトロン株式会社 成膜装置
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102218855B1 (ko) * 2017-07-12 2021-02-23 주식회사 엘지화학 다공성 기재의 표면 코팅 장치 및 방법
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11004722B2 (en) 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
CN107502872B (zh) * 2017-08-24 2019-08-16 新乡市巨能合成材料有限公司 一种金属有机化学气相沉淀反应器喷淋装置
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN117936417A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
JP7515411B2 (ja) 2018-06-27 2024-07-12 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
AU2022345863A1 (en) * 2021-09-17 2024-04-04 Cem Corporation Solid phase peptide synthesis (spps) processes and associated systems
WO2023177950A1 (en) * 2022-03-17 2023-09-21 Lam Research Corporation Dual plenum showerhead with center to edge tunability
US20230313373A1 (en) * 2022-03-30 2023-10-05 Microsoft Technology Licensing, Llc Targeted temporal ald
WO2024076478A1 (en) * 2022-10-06 2024-04-11 Lam Research Corporation Showerhead gas inlet mixer

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61120416A (ja) * 1984-11-16 1986-06-07 Fujitsu Ltd 化学気相成長装置
US5160543A (en) * 1985-12-20 1992-11-03 Canon Kabushiki Kaisha Device for forming a deposited film
US4990374A (en) * 1989-11-28 1991-02-05 Cvd Incorporated Selective area chemical vapor deposition
US5316793A (en) * 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
JP3468859B2 (ja) * 1994-08-16 2003-11-17 富士通株式会社 気相処理装置及び気相処理方法
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
KR100190909B1 (ko) * 1995-07-01 1999-06-01 윤덕용 화학기상증착 반응기용 다구역 샤워헤드
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
JP2867946B2 (ja) * 1996-03-13 1999-03-10 日本電気株式会社 気相成長装置
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5684309A (en) * 1996-07-11 1997-11-04 North Carolina State University Stacked quantum well aluminum indium gallium nitride light emitting diodes
US5781693A (en) * 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
JPH10135315A (ja) 1996-10-29 1998-05-22 Tokyo Electron Ltd 試料載置台の温度制御装置及び検査装置
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
TW415970B (en) * 1997-01-08 2000-12-21 Ebara Corp Vapor-phase film growth apparatus and gas ejection head
KR19990020125A (ko) 1997-08-30 1999-03-25 이형도 자기 저항 헤드 제조용 웨이퍼 척킹 장치
US5972430A (en) * 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
KR100263889B1 (ko) 1997-12-30 2000-08-16 윤종용 광디스크 기판 냉각장치
KR100978372B1 (ko) 2009-06-19 2010-08-30 (주)위드솔루션 전력선 통신을 이용한 홈 시큐리티 시스템

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100423954B1 (ko) * 2001-03-19 2004-03-24 디지웨이브 테크놀러지스 주식회사 화학기상증착방법
KR100408519B1 (ko) * 2001-05-03 2003-12-06 삼성전자주식회사 원자층 형성용 반응챔버
KR100741180B1 (ko) * 2001-08-01 2007-07-19 동경 엘렉트론 주식회사 가스 처리 장치 및 가스 처리 방법
KR100754537B1 (ko) * 2001-08-01 2007-09-04 동경 엘렉트론 주식회사 가스 처리 장치 및 가스 처리 방법
KR100758049B1 (ko) * 2001-08-01 2007-09-11 동경 엘렉트론 주식회사 가스 처리 장치
KR100402332B1 (ko) * 2001-09-07 2003-10-22 주식회사 시스넥스 균일하게 가스분사가 이루어지는 샤워헤드와유도가열방식에 의해 서셉터 상부의 온도를 균일하게가열하는 수직형 화학기상증착 반응기
KR100450068B1 (ko) * 2001-11-23 2004-09-24 주성엔지니어링(주) Cvd 장치의 멀티섹터 평판형 샤워헤드
US7104476B2 (en) 2001-11-23 2006-09-12 Jusung Engineering Co., Ltd. Multi-sectored flat board type showerhead used in CVD apparatus
KR101434217B1 (ko) * 2012-03-19 2014-08-29 (주)레벨컴퍼니 통신 네트워크를 이용하는 공모 시스템 및 공모 방법
KR20190096287A (ko) * 2018-02-08 2019-08-19 주성엔지니어링(주) 챔버 세정 장치 및 챔버 세정 방법
US12065734B2 (en) 2018-02-08 2024-08-20 Jusung Engineering Co., Ltd. Chamber cleaning device and chamber cleaning method
KR20240031783A (ko) 2022-09-01 2024-03-08 주식회사 테스 건식 식각 방법

Also Published As

Publication number Publication date
JP2000212752A (ja) 2000-08-02
US6478872B1 (en) 2002-11-12
US20030000473A1 (en) 2003-01-02
KR100331544B1 (ko) 2002-04-06

Similar Documents

Publication Publication Date Title
KR100331544B1 (ko) 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
KR100332313B1 (ko) Ald 박막증착장치 및 증착방법
KR100444149B1 (ko) Ald 박막증착설비용 클리닝방법
JP4925558B2 (ja) ハイブリッド化学処理装置
KR101379015B1 (ko) 플라즈마 원자층 증착법을 이용한 루테늄 막 증착 방법 및고밀도 루테늄 층
US6849133B2 (en) CVD apparatuses and methods of forming a layer over a semiconductor substrate
US11830731B2 (en) Semiconductor deposition reactor manifolds
KR101554334B1 (ko) 샤워헤드 어셈블리 및 이를 구비한 박막증착장치 및 박막증착방법
US20030017268A1 (en) .method of cvd titanium nitride film deposition for increased titanium nitride film uniformity
US7771535B2 (en) Semiconductor manufacturing apparatus
US20040216668A1 (en) Showerhead assembly and ALD methods
US20100035427A1 (en) Methods for growing low-resistivity tungsten film
KR20060020194A (ko) Ald 박막 증착 장치 및 그를 이용한 증착 방법
KR20020047126A (ko) 집적회로 금속화 설계에서 바닥이 없는 장벽층의 증착을위한 방법
KR100802382B1 (ko) 가스분리형 샤워헤드를 이용한 원자층 증착 장치
KR20200112698A (ko) 반응기 매니폴드
US20040198025A1 (en) Methods of forming metal-containing layers
JP3854555B2 (ja) 薄膜形成装置および薄膜形成方法
KR20200127442A (ko) 기체 혼합 플라즈마를 이용한 금속 박막의 원자층 증착 방법 및 이의 박막 증착 구조
KR100668645B1 (ko) 2단계 원자층증착법에 의한 TaN 박막 증착방법
KR100972111B1 (ko) 배치 방식 반도체 제조 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130228

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20140228

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20150302

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20170228

Year of fee payment: 16

FPAY Annual fee payment

Payment date: 20180228

Year of fee payment: 17

EXPY Expiration of term