KR100758049B1 - 가스 처리 장치 - Google Patents

가스 처리 장치 Download PDF

Info

Publication number
KR100758049B1
KR100758049B1 KR1020067006261A KR20067006261A KR100758049B1 KR 100758049 B1 KR100758049 B1 KR 100758049B1 KR 1020067006261 A KR1020067006261 A KR 1020067006261A KR 20067006261 A KR20067006261 A KR 20067006261A KR 100758049 B1 KR100758049 B1 KR 100758049B1
Authority
KR
South Korea
Prior art keywords
gas
gas discharge
processing
substrate
refrigerant
Prior art date
Application number
KR1020067006261A
Other languages
English (en)
Other versions
KR20060032668A (ko
Inventor
시게루 가사이
노리히코 야마모토
마사유키 다나카
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20060032668A publication Critical patent/KR20060032668A/ko
Application granted granted Critical
Publication of KR100758049B1 publication Critical patent/KR100758049B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • C23C16/45521Inert gas curtains the gas, other than thermal contact gas, being introduced the rear of the substrate to flow around its periphery
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

가스 처리 장치(1)는 처리 가스를 사용하여 웨이퍼(W)에 처리를 실시하는 처리 용기(2)와, 상기 처리 용기(2)내에 배치되어, 웨이퍼(W)가 재치되는 재치대(5)와, 상기 재치대(5)상의 웨이퍼(W)에 대응하여 마련되어, 상기 처리 용기(2)내로 처리 가스를 토출하는 처리 가스 토출 기구(shower head)(22)와, 상기 처리 용기(2)내를 배기하는 배기 수단(132)을 구비하고, 상기 처리 가스 토출 기구(22)는, 상기 재치대(5)에 재치된 웨이퍼(W)에 대응하여 마련된 제 1 가스 토출 구멍(46)과, 상기 제 1 가스 토출 구멍(46)과는 별개로, 상기 제 1 가스 토출 구멍(46)의 주위에 마련되어, 상기 재치대(5)상의 웨이퍼(W)의 주변부에 처리 가스를 토출하는 제 2 가스 토출 구멍(47)을 갖는다. 그리고, 기판에 대하여 가스를 균일하게 공급하여 균일한 가스 처리를 실시할 수 있다.

Description

가스 처리 장치{GAS TREATING DEVICE AND GAS TREATING METHOD}
도 1a는 본 발명의 제 1 실시예에 따른 CVD 성막 장치의 정면도,
도 1b는 본 발명의 제 1 실시예에 따른 CVD 성막 장치의 측면도,
도 2는 도 1a 및 도 1b에 도시한 CVD 성막 장치의 본체를 나타내는 개략 단면도,
도 3은 도 2의 장치의 A-A 선에 의한 단면도,
도 4는 도 2의 장치의 B-B 선에 의한 단면도,
도 5는 본 발명의 제 1 실시예에 따른 CVD 성막 장치에 있어서의 샤워 플레이트와 샤워 베이스의 접합 부분을 확대하여 나타내는 단면도,
도 6은 본 발명의 제 1 실시예에 따른 CVD 성막 장치에 있어서의 샤워 플레이트(35)의 표면을 나타내는 단면도,
도 7은 도 2의 장치의 처리 가스 토출 기구 하부의 주변 부분을 확대하여 나타내는 단면도,
도 8은 제 2 가스 토출 구멍을 2중으로 마련한 경우에 있어서의 처리 가스 토출 기구 하부의 주변 부분의 근방을 확대하여 나타내는 단면도,
도 9a는 제 2 가스 토출 구멍을 2중으로 마련한 경우에 있어서의 제 2 가스 토출 구멍의 배치의 일례를 확대하여 나타내는 도면,
도 9b는 제 2 가스 토출 구멍을 2중으로 마련한 경우에 있어서의 제 2 가스 토출 구멍의 배치의 다른 예를 확대하여 나타내는 도면,
도 10은 제 2 가스 토출 구멍을 비스듬히 마련한 경우에 있어서의 처리 가스 토출 기구 하부의 주변 부분의 근방을 확대하여 나타내는 단면도,
도 11은 웨이퍼(W)의 외주연부보다도 내측에 제 2 가스 토출 구멍을 비스듬히 마련한 경우에 있어서의 처리 가스 토출 기구 하부의 주변 부분의 근방을 확대하여 나타내는 단면도,
도 12는 처리 가스 토출 기구의 다른 구조를 나타내는 단면도,
도 13은 도 2의 가스 도입부에서의 케이싱 내부의 구조를 분해하여 나타내는 사시도,
도 14는 도 3의 장치의 C-C 선에 의한 단면도,
도 15는 도 3의 장치의 D-D 선에 의한 단면도,
도 16은 도 1a 및 도 1b에 도시한 CVD 성막 장치의 커버체의 개폐 상태를 나타내는 배면도,
도 17은 제 1 실시예에 따른 CVD 성막 장치에 사용되는 냉각 제어계를 설명하기 위한 회로도,
도 18은 횡축에 주변 H2 가스 토출 구멍으로부터 토출하는 H2 가스의 유량을 취하고, 종축에 W막의 균일성을 취하여 나타낸 그래프,
도 19는 주변 H2 가스 토출 구멍으로의 H2 가스 공급량을 여러 가지로 변화시키고, 성막된 웨이퍼(W)의 직경을 따라 설정된 측정 포인트(1) 내지 포인트(161)의 각각에 있어서 W막의 두께를 측정하며, 횡축에 측정 포인트를 취하고 종축에 각 측정 포인트에 있어서의 W막 두께를 취하여 막 두께의 분포 상태를 나타낸 그래프,
도 20은 종래의 냉매 유로를 사용하여 처리 가스 토출 기구를 냉각했을 때의, 각 냉각수 온도에 있어서의 샤워 플레이트의 직경 방향의 위치와 온도의 관계를 나타내는 도면,
도 21은 본 발명의 제 2 실시예에 따른 CVD 장치의 본체의 처리 가스 토출 기구 부분을 나타내는 수직 단면도,
도 22는 본 발명의 제 2 실시예에 따른 CVD 장치의 본체의 처리 가스 토출 기구 부분을 나타내는 도 21의 E-E 선에 의한 수평 단면도,
도 23a는 도 21의 처리 가스 토출 기구에 있어서의 제 1 원형 유로의 구조를 나타내는 단면도,
도 23b는 도 21의 처리 가스 토출 기구에 있어서의 제 3 원형 유로의 구조를 나타내는 단면도,
도 24는 본 발명의 제 2 실시예에 따른 장치에 의해 W막이 성막되는 반도체 웨이퍼의 구조를 나타내는 단면도,
도 25는 본 발명의 제 2 실시예에 따른 장치에 의한 W막 형성 처리 흐름의 예를 설명하는 도면,
도 26은 도 24의 반도체 웨이퍼의 하지 배리어층상에 초기 W막을 형성한 상태를 나타내는 단면도,
도 27은 본 발명의 제 2 실시예에 따른 장치에 있어서의 샤워 플레이트의 냉각 상태의 계산예를 나타내는 도면,
도 28은 도 26의 반도체 웨이퍼의 하지 배리어층상에 초기 W막상에 메인 W막을 형성한 상태를 나타내는 단면도,
도 29는 도 26의 반도체 웨이퍼의 하지 배리어층상에 개시 처리를 실시하여 SiHx로 표시되는 반응 중간체가 형성된 상태를 나타내는 단면도,
도 30은 도 26의 초기 W막상에 패시베이션(Passivation) W막을 형성한 상태를 나타내는 단면도,
도 31은 본 발명의 제 2 실시예에 적용되는 냉매 유로의 다른 예를 나타내는 단면도,
도 32는 본 발명의 제 3 실시예에 따른 CVD 장치를 나타내는 단면도,
도 33a는 본 발명의 제 3 실시예의 장치를 사용하여 초기 W막을 성막할 때의 SiH4 가스 공급 공정에서의 가스의 흐름을 설명하기 위한 모식도,
도 33b는 본 발명의 제 3 실시예의 장치를 사용하여 초기 W막을 성막할 때의 WF6 가스 공급 공정에서의 가스의 흐름을 설명하기 위한 모식도,
도 34는 본 발명의 제 3 실시예에 있어서의 처리 가스 토출 기구의 다른 예를 나타내는 개략 단면도,
도 35는 도 34의 F-F 선에 의한 수평 단면도.
<도면의 주요부분에 대한 부호의 설명>
1 : 가스 처리 장치의 본체 2 : 처리 용기
5 : 재치대 10 : 클램 링
12 : 리프트 핀 18 : 세정 가스 공급 기구
22 : 처리 가스 토출 기구 29 : 가스 도입판
30 : 제 1 가스 유로 35 : 샤워 플레이트
39 : 샤워 베이스 46 : 제 1 가스 토출 구멍
47 : 제 2 가스 토출 구멍 50 : 가스 공급 기구
61 내지 67 : 가스 라인 121, 122 : 배기 유로
132 : 배기 기구 150 : 지지 기구
W : 웨이퍼
본 발명은, 처리 가스를 사용하여 피처리 기판의 가스 처리를 실행하는 가스 처리 장치 및 가스 처리 방법에 관한 것이다.
반도체 제조 공정에서는, 피처리체인 반도체 웨이퍼(이하, 간단히 웨이퍼라 기술함)상에 형성되는 컨택트 홀이나 배선과 배선을 접속하기 위한 배선 사이의 홀을 매립하기 위해서, W(텅스텐), WSi(텅스텐 실리사이드), Ti(티탄), TiN(티탄 나이트라이드), TiSi(티탄 실리사이드) 등의 금속 또는 금속 화합물을 퇴적시켜서 박막을 형성하고 있다.
이러한 성막 처리로서, 종래 물리적 증착(PVD)이 사용되고 있었지만, 최근과 같이 디바이스의 미세화 및 고집적화가 특히 요구되고, 디자인 룰이 특히 엄격해져서, 그에 수반하여 선폭이나 홀의 개구 직경이 한층 작아지고, 게다가 고 종횡비(aspect ratio)화됨에 따라, PVD막으로는 충분히 대응할 수 없게 되었다. 따라서, 최근 이와 같은 금속 또는 금속 화합물을 보다 양질의 막을 형성하는 것을 기대할 수 있는 화학적 증착(CVD)으로 성막하는 것이 실행되고 있다.
예컨대 W막은, 처리 가스로서 예컨대 WF6(6불화 텅스텐) 가스 및 환원 가스인 H2 가스를 사용하고, 웨이퍼상에서 WF6 + 3H2 → W + 6HF와 반응시킴으로써 성막된다. 이러한 CVD 성막 처리는, 웨이퍼를 처리 용기내에 마련된 재치대상에 재치하고, 처리 용기내를 배기하면서, 웨이퍼와 대향하는 위치에 마련된 가스 토출 기구인 처리 가스 토출 기구로부터 WF6 가스 및 H2 가스를 공급함으로써, 처리 용기내를 소정의 처리 가스 분위기로 함으로써 실행된다.
그러나, 이러한 처리에서는, 예컨대 H2와 같은 확산 속도가 높은 환원 가스는 처리 용기내에서 전체로 신속히 확산하여 배기되기 때문에, 웨이퍼 주변부에서 환원 가스의 농도가 낮아지기 쉽다. 특히, 최근 웨이퍼의 사이즈가 200㎜ 내지 300㎜로 대형화되고 있고, 그것에 수반하여 성막 장치가 대형화하고 있기 때문에, 이와 같은 환원 가스의 웨이퍼 주변부에서의 저하가 현저해지고, 그 부분에서의 성막 속도가 저하하게 되어, 막 두께 균일성이 현저히 저하하게 된다는 문제가 있다.
또한, SiO2이나 Si상에 W막을 형성하는 경우에는, 밀착성의 향상, Si와의 반응 억제 등의 이유에서, SiO2나 Si상에 Ti막, TiN막 또는 양자의 적층막을 배리어층으로서 얇고 또한 균일하게 형성하고, 그 위에 W막을 퇴적시키지만, 오목부 등의 매립을 실행하는 경우에는, 매립성을 양호하게 하기 위해서 실란계 가스(SinH2m +n, SiHnCl4-n)보다도 환원성이 약한 수소 가스가 주로 사용된다. 이 때, 미반응의 WF6 가스에 의해 하지(下地)의 배리어층이 공격받아 배리어층과 불소가 반응하여, 부피적으로 팽창하여 상방으로 돌출하는 볼케이노라 불리는 결함이 발생하거나, 매립 구멍에 보이드(void)가 발생하거나 하는 경우가 있다. 이것을 방지하기 위해서, 최초로 수소 가스를 대신하여, 이것보다도 환원력이 강한 실란 가스를 사용하여 30nm 내지 50㎚ 정도의 작은 두께만큼 W의 핵 부착막(new creation film; 신생막)을 형성하고, 그 후 이 신생막을 기점으로 하여 H2 가스와 WF6 가스를 사용하여 주요 W막을 형성하는 것이 실행되고 있다. 그러나, 이러한 방법을 채용해도, 하지막인 배리어층의 표면의 오염 등에 의해 신생막의 스텝 커버리지(step coverage)가 불량해져, 결과적으로 주요 W막 매립성이 불량해진다. 그리고, 이러한 경향은 반도체 디바이스의 미세화가 진행될수록 현저해진다.
이러한 문제점을 해결하기 위해서, 신생막을 형성하기 전에, 실란계 가스만을 단독으로 소정 시간만큼 공급하여 SiHx(X < 4)를 하지의 배리어층에 흡착시키는 개시 처리를 실행하여, 이것을 기점으로 신생막을 성장시키는 것도 시도되고 있지만, 아직 충분하다고는 말할 수 없다.
따라서, 본 출원인은 앞서, 피처리체의 표면에 초기 W막을 형성하는 것에 있어서, 환원 가스를 공급하는 환원 가스 공급 공정과 W 함유 가스를 공급하는 W 가스 공급 공정을, 이것들의 사이에 불활성 가스를 공유하면서 진공 흡인하는 세정 공정을 개재시켜서, 교대로 반복 실행하는 기술을 제안했다(일본 특허 출원 제 2001-246089 호). 이에 의해, 미세한 홀이라도 신생막을 높은 스텝 커버리지로 균일하게 형성할 수 있어 상기 불량을 해소할 수 있다.
그런데, 상기 기술을 통상의 W막 성막용의 장치에 적용하고자 하면, 가스 토출 기구인 처리 가스 토출 기구내에서 WF6 가스와 실란 가스가 반응하여, 샤워 내부에 W막이 성막되고, 웨이퍼면간 재현성이 저하된다는 문제가 발생한다. 이것을 피하기 위해서는, 처리 가스 토출 기구의 가스 토출 부분의 온도를 30℃ 이하로 저하시킬 필요가 있지만, 처리 가스 토출 기구의 냉각은 통상 측면으로부터 실행하고 있고, 통상의 냉각수로는 처리 가스 토출 기구의 중앙부의 온도를 30℃ 이하로 하기 어렵다. 특히, 웨이퍼 사이즈의 대형화에 수반하여 처리 가스 토출 기구도 커지고 있는 현 상태에서는, 처리 가스 토출 기구 중앙의 온도를 30℃ 이하로 하고자 하면, 극저온 냉각기의 도입이 필요해져, 결로 대책 등으로 시스템적으로 비용 상승이 커진다.
한편, 이러한 종류의 CVD 성막 장치에서는, TiN막이 노출된 기판상에 W를 성막하면, 불소에 의해 성막중에 TiN이 에칭되어 처리 가스 토출 기구나 챔버 내벽으로 불화 티탄(TiFx) 등의 반응 부생성물이 부착되고, 이러한 반응 부생성물은 박리되어 파티클 등의 원인이 됨으로써, 소정의 성막 처리가 종료한 후, 처리 가스 토출 기구를 거쳐 챔버내로 클리닝 가스로서 ClF3 가스를 도입하여, 클리닝을 실행하고 있다. 이 경우의 클리닝 효율은, 온도가 높을수록 크기 때문에, 처리 가스 토출 기구에 히터를 내장하고, 소정의 타이밍으로 처리 가스 토출 기구를 가열하면서 ClF3 가스를 도입하는 플러싱(flushing) 처리가 실행되고 있다.
그러나, 웨이퍼의 대형화에 따라 처리 가스 토출 기구가 대형화되고 있고, 그렇지 않아도 큰 파워의 히터가 필요한데, 처리 가스 토출 기구로부터 리드를 열 전달하여 산일(散逸)되는 열도 커져, 그것을 보상하는 전력도 필요하기 때문에, 처리 가스 토출 기구를 소망하는 온도까지 승온하기 어려워진다.
또한, 장치의 대형화와 수반하여, 히터에 의해 처리 가스 토출 기구를 가열하면, 그 때의 처리 가스 토출 기구의 열 팽창이 1㎜ 정도로 되고, 그것에 수반하는 열 변형이 문제가 된다.
본 발명은 이러한 사정을 감안하여 성립된 것으로서, 그 목적은 장치의 대형화에 수반하는 가스 토출 기구에 있어서의 불량을 피할 수 있는 가스 처리 장치 및 가스 처리 방법을 제공하는 것에 있다.
보다 구체적으로는, 기판에 대하여 가스를 균일하게 공급하여 균일한 가스 처리를 실시할 수 있는 가스 처리 장치 및 가스 처리 방법을 제공하는 것을 목적으로 한다. 또한, 가스 토출 기구를 가열할 때에, 고효율로 가열할 수 있는 가스 처리 장치를 제공하는 것을 목적으로 한다. 또한, 가스 토출 기구가 가열되었을 때에, 그 열 팽창의 영향을 저감할 수 있는 가스 처리 장치를 제공하는 것을 목적으로 한다. 또한, 가스 토출 기구의 온도를 낮게 유지할 필요가 있는 2개의 처리 가스를 교대로 공급하여 성막하는 장치의 경우에, 대형의 가스 토출 기구라도 극저온 냉각기 등의 특별한 설비를 사용하지 않고, 가스 토출 기구 전체를 소망하는 온도로 냉각할 수 있는 가스 처리 장치를 제공하는 것을 목적으로 한다.
또한, 2개의 처리 가스를 상호 공급하여 성막하는 경우에, 가스 토출 기구내에서의 원치 않는 성막을 특별한 냉각을 실시하지 않고 방지하여 성막하는 것이 가능한 가스 처리 장치 및 가스 처리 방법을 제공하는 것을 목적으로 한다.
상기 과제를 해결하기 위해서, 본 발명의 제 1 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 처리 가스 토출 기구는, 상기 재치대에 재치된 피처리 기판에 대응하여 마련된 제 1 가스 토출부와, 상기 제 1 가스 토출부와는 별개로, 상기 제 1 가스 토출부의 주위에 마련되어, 상기 재치대상의 피처리 기판의 주변부로 처리 가스를 토출하는 제 2 가스 토출부를 갖는 것을 특징으로 하는 가스 처리 장치를 제공한다.
본 발명의 제 2 관점에서는, 상대적으로 확산 속도가 높은 제 1 처리 가스 및 상대적으로 확산 속도가 낮은 제 2 처리 가스를 포함하는 가스를 사용하여 피처리 기판에 가스 처리를 실시하는 가스 처리 장치로서, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 처리 용기내로 상기 제 1 및 제 2 처리 가스를 포함하는 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 처리 가스 토출 기구는, 상기 재치대에 재치된 피처리 기판에 대응하여 마련되어, 상기 제 1 및 제 2 처리 가스를 포함하는 가스를 토출하는 제 1 가스 토출부와, 상기 제 1 가스 토출부와는 별개로, 상기 제 1 가스 토출부의 주위에 마련되어, 상기 재치대상의 피처리 기판의 주변부로 상기 제 1 처리 가스를 토출하는 제 2 가스 토출부를 갖는 것을 특징으로 하는 가스 처리 장치를 제공한다.
본 발명의 제 3 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 처리 용기내로 H2 가스 및 WF6 가스를 포함하는 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 처리 가스 토출 기구는, 상기 재치대에 재치된 피처리 기판에 대응하여 마련되어, H2 가스 및 WF6 가스를 포함하는 처리 가스를 토출하는 제 1 가스 토출부와, 상기 제 1 가스 토출부와는 별개로, 상기 제 1 가스 토출부의 주위에 마련되어, 상기 재치대상의 피처리 기판의 주변부로 H2 가스를 토출하는 제 2 가스 토출부를 갖는 것을 특징으로 하는 가스 처리 장치를 제공한다.
본 발명의 제 4 관점에서는, 처리 용기내의 피처리 기판에 처리 가스를 공급하여 가스 처리를 실시하는 가스 처리 방법으로서, 피처리 기판에 대향하여 마련된 제 1 가스 토출부로부터 처리 가스를 토출하는 동시에, 그 주위에 별개로 마련된 제 2 가스 토출부로부터 피처리 기판의 주변부로 상기 제 1 가스를 토출하여 가스 처리를 실행하는 것을 특징으로 하는 가스 처리 방법을 제공한다.
본 발명의 제 5 관점에서는, 처리 용기내의 피처리 기판에 상대적으로 확산 속도가 높은 제 1 처리 가스 및 상대적으로 확산 속도가 낮은 제 2 처리 가스를 포함하는 가스를 공급하여 가스 처리를 실시하는 가스 처리 방법으로서, 피처리 기판에 대향하여 마련된 제 1 가스 토출부로부터 상기 제 1 및 제 2 처리 가스를 포함하는 가스를 토출하는 동시에, 그 주위에 별개로 마련된 제 2 가스 토출부로부터 피처리 기판의 주변부로 상기 제 1 처리 가스를 토출하여 가스 처리를 실행하는 것을 특징으로 하는 가스 처리 방법을 제공한다.
본 발명의 제 6 관점에서는, 처리 용기내의 피처리 기판에 H2 가스 및 WF6 가스를 포함하는 처리 가스를 공급하여 피처리 기판상에 W막을 성막하는 가스 처리를 실시하는 가스 처리 방법으로서, 피처리 기판에 대향하여 마련된 제 1 가스 토출부로부터 H2 가스 및 WF6 가스를 포함하는 처리 가스를 토출하는 동시에, 그 주위에 별개로 마련된 제 2 가스 토출부로부터 피처리 기판의 주변부로 H2 가스를 토출하여 피처리 기판상에 W막을 성막하는 가스 처리를 실행하는 것을 특징으로 하는 가스 처리 방법을 제공한다.
상기 본 발명의 제 1 및 제 4 관점에 의하면, 상기 제 1 가스 토출부로부터 처리 가스를 토출하는 동시에, 상기 제 1 가스 토출부의 주위에 별개로 마련된 상기 제 2 가스 토출부로부터 상기 피처리 기판의 주변부로 처리 가스를 토출함으로써, 상기 피처리 기판의 주변부에 있어서 처리 가스의 농도가 낮아지는 것을 방지할 수 있고, 피처리 기판에 면내 균일한 가스 처리를 실시할 수 있다.
또한, 상기 본 발명의 제 2 및 제 5 관점에 의하면, 상기 제 1 가스 토출부로부터 상기 제 1 및 제 2 처리 가스의 혼합 가스를 토출하는 동시에, 상기 제 1 가스 토출부의 주위에 별개로 마련된 상기 제 2 가스 토출부로부터 상기 피처리 기판의 주변부로 상기 제 1 처리 가스를 토출함으로써, 상기 피처리 기판의 주변부에서, 상대적으로 확산 속도가 높고 확산하기 쉬운 상기 제 1 처리 가스의 농도가 낮아지는 것을 방지할 수 있고, 피처리 기판에 면내 균일한 가스 처리를 실시할 수 있다.
또한, 상기 본 발명의 제 3 및 제 6 관점에 의하면, 상기 제 1 가스 토출부로부터 H2 가스 및 WF6 가스를 포함하는 처리 가스를 토출하는 동시에, 상기 제 1 가스 토출부의 주위에 별개로 마련된 상기 제 2 가스 토출부로부터 상기 피처리 기판의 주변부로 H2 가스를 토출함으로써, 상기 피처리 기판의 주변부에서, 상대적으로 확산 속도가 높고 확산하기 쉬운 H2 가스의 농도가 낮아지는 것을 방지할 수 있고, 피처리 기판에 면내 균일하게 W막의 성막을 실행할 수 있다.
상기 어느 가스 처리 장치에 있어서도, 상기 가스 토출 기구는, 상기 제 1 가스 토출부와 상기 제 2 가스 토출부를 갖는 가스 토출 플레이트를 갖고, 상기 제 1 가스 토출부 및 상기 제 2 가스 토출부는, 상기 어느 가스 토출 플레이트에 형성된 복수의 가스 토출 구멍을 갖는 구성으로 할 수 있다. 이 경우에, 상기 가스 토출 기구는, 냉매 유로를 갖는 구성으로 할 수 있다. 또한, 냉매 유로는, 상기 가스 토출 플레이트의 가스 토출 구멍 형성 영역에 마련되어 있는 것이 바람직하다. 상기 냉매 유로는, 상기 가스 토출 플레이트의 상기 가스 토출 구멍 형성 영역의 상기 복수의 가스 토출 구멍의 사이의 부분의 형상에 대응하여 형성되고, 예컨대 동심원 형상으로 형성된다. 또한, 상기 가스 토출 기구는 히터를 갖는 것으로 할 수 있다.
또한, 상기 제 2 가스 토출부가 갖는 상기 복수의 가스 토출 구멍은 상기 재치대상의 피처리 기판의 주연보다 외측에 마련되어 있는 것이 바람직하다. 또한, 상기 제 2 가스 토출부가 갖는 상기 복수의 가스 토출 구멍은 상기 재치대상의 피처리 기판에 대하여 수직으로 마련되어 있는 것이 바람직하다. 이와 같이 함으로써, 상기 피처리 기판의 주변부에서 처리 가스의 농도가 낮아지는 것을 보다 확실히 방지할 수 있다. 이와 같은 상기 제 2 가스 토출부가 갖는 상기 복수의 가스 토출 구멍은 상기 제 1 가스 토출부의 주위에 일렬 이상 마련할 수도 있고, 상기 제 1 가스 토출부의 주위에 서로 동심원 형상의 제 1 열 및 제 2 열을 지어, 상기 제 1 열을 이루는 가스 토출 구멍과 상기 제 2 열을 이루는 가스 토출 구멍을 서로 상이하게 마련할 수도 있다.
또한, 상기 가스 처리 장치에 있어서, 상기 처리 가스 토출 기구내에 마련된 냉매 유로와, 상기 냉매 유로의 전후에 마련된 냉매 통류 배관과, 상기 처리 가스 토출 기구의 전후에, 상기 처리 가스 토출 기구를 우회하여 상기 냉매 통류 배관에 접속된 바이패스 배관과, 상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와, 상기 냉매의 통류 경로를 규정하는 밸브 그룹과, 상기 밸브 그룹을 제어하는 제어 수단과, 상기 처리 가스 토출 기구를 승온시키는 히터를 더 구비하고, 상기 제어 수단은, 상기 가스 토출 기구를 냉각할 때에는, 상기 냉매를 상기 냉매 유로로 통류시키도록 상기 밸브 그룹을 제어하며, 상기 가스 토출 기구를 승온시킬 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지하고, 냉매를 상기 바이패스 배관으로 통류시키도록 상기 밸브 그룹을 제어하며, 상기 가스 토출 기구를 승온 상태로부터 강온시킬 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양쪽으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하도록 하는 것이 바람직하다. 이에 의해, 가스 토출 기구가 신속한 승온 및 강온을 달성할 수 있다.
또한, 상기 어느 가스 처리 장치에 있어서도, 상기 배기 수단은, 상기 재치대상의 피처리 기판의 주변측으로부터 배기하는 것이 적절하다. 이 경우에, 상기 재치대의 외측에 마련되어, 복수의 배기 구멍을 갖는 환상(環狀)의 배플판을 더 구비하는 구성으로 하고, 상기 배기 수단은 상기 배기 구멍을 거쳐 상기 처리 용기내를 배기하는 것이 바람직하다. 또한, 상기 어느 가스 처리 방법에 있어서도, 가스 처리시에, 피처리 기판의 주변측으로부터 배기하는 것이 적절하다.
또한, 본 발명의 제 7 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 처리 가스 토출 기구는, 가스를 토출하는 토출 구멍을 갖는 가스 토출부와, 이 가스 토출부를 지지하는 베이스부와, 상기 가스 토출부에 마련된 히터와, 상기 가스 토출부와 상기 베이스부의 사이에 마련된 공간층을 갖는 것을 특징으로 하는 가스 처리 장치를 제공한다.
이러한 구성에 의하면, 상기 가스 토출부와 상기 베이스부와의 사이에 공간층을 형성하기 때문에 이것이 단열층으로서 기능하고, 가스 토출부의 히터로부터의 열의 산일을 억제할 수 있기 때문에, 가스 토출부를 균일하고 또한 고효율로 가열하는 것이 가능하다. 이 경우에, 이러한 공간층을 통해 가스 토출 기구로부터 가스가 누출될 우려가 있지만, 이것을 방지하기 위해서는, 상기 가스 토출부와 상기 베이스부와의 사이에 밀봉 링 등을 개재시키면 좋다.
본 발명의 제 8 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 처리 용기내로 처리 가스를 토출하는 처리 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 처리 가스 토출 기구는, 가스를 토출하는 토출 구멍을 갖는 가스 토출부와, 이 가스 토출부를 지지하는 베이스부와, 상기 가스 토출부에 마련된 히터와, 상기 가스 토출부와 상기 베이스부를, 그것들의 사이의 상대 이동을 허용하도록 체결하는 체결 기구를 갖는 것을 특징으로 하는 가스 처리 장치를 제공한다.
이와 같이, 상기 가스 토출부와 상기 베이스부의 사이의 상대 이동을 허용하도록 이것들을 체결하기 때문에, 히터에 의해 가스 토출부가 가열되어 열 팽창이 생겨도, 베이스 부재와의 사이에 상대 이동이 생겨 가스 토출부 및 베이스 부재에 거의 변형이 생기지 않고, 가스 토출부의 열 팽창의 영향을 저감할 수 있다.
본 발명의 제 9 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 처리 용기내에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 수단과, 상기 처리 용기내에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 수단과, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 제 1 및 제 2 처리 가스 공급 수단으로부터 각각 제 1 처리 가스 및 제 2 처리 가스가 공급되고 상기 처리 용기내로 제 1 처리 가스와 제 2 처리 가스를 토출하는 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 제 1 처리 가스와 제 2 처리 가스를 서로 공급하고 피처리 기판상에서 이것들을 반응시켜서 그 위에 소정의 막을 형성하는 가스 처리 장치로서, 상기 가스 토출 기구는, 상기 제 1 및 제 2 처리 가스를 토출하는 복수의 가스 토출 구멍을 갖는 가스 토출 플레이트와, 냉매 유로를 갖고, 상기 냉매 유로는, 상기 가스 토출 플레이트의 가스 토출 구멍 형성 영역에 마련되어 있는 것을 특징으로 하는 가스 처리 장치를 제공한다.
이러한 구성에 의하면, 가스 토출 기구의 가스 토출 부분의 온도를 낮게 유지할 필요가 있는 제 1 처리 가스 및 제 2 처리 가스를 교대로 공급하여 성막하는 장치에 있어서, 냉매 유로를 가스 토출 플레이트의 가스 토출 형성 영역에 마련하도록 했기 때문에, 피처리 기판의 대형화에 수반하여 가스 토출 기구가 대형화해도, 극저온 냉각기 등의 특별한 설비를 사용하지 않고 냉각수 등의 통상의 냉매로 가스 토출 부분을 필요한 온도로 효율적으로 냉각하는 것이 가능해진다.
이 경우에, 상기 냉매 유로는, 상기 가스 토출 플레이트의 상기 가스 토출 구멍 형성 영역에서의 상기 복수의 가스 토출 구멍의 사이의 부분의 형상에 대응하여 형성되고, 예컨대 동심원 형상으로, 예컨대 홈으로서 형성된다. 상기 가스 토출 기구는 히터를 갖는 것으로 할 수 있다.
상기 제 9 관점에 따른 가스 처리 장치에 있어서, 상기 냉매 유로의 전후에 마련된 냉매 통류 배관과, 상기 처리 가스 토출 기구의 전후에, 상기 처리 가스 토출 기구를 우회하여 상기 냉매 통류 배관에 접속된 바이패스 배관과, 상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와, 상기 냉매의 통류 경로를 규정하는 밸브 그룹과, 상기 밸브 그룹을 제어하는 제어 수단을 더 구비하고, 상기 제어 수단은, 상기 가스 토출 기구를 냉각할 때에는, 상기 냉매를 상기 냉매 유로로 통류시키도록 상기 밸브 그룹을 제어하며, 상기 가스 토출 기구를 승온시킬 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지시키고, 냉매를 상기 바이패스 배관으로 통류시키도록 상기 밸브 그룹을 제어하며, 상기 가스 토출 기구를 승온 상태로부터 강온시킬 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양쪽으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하는 것이 바람직하다.
본 발명의 제 10 관점에서는, 처리 용기내의 피처리 기판에 가스 토출 부재를 거쳐 제 1 처리 가스 및 제 2 처리 가스를 거로 공급하고, 피처리 기판상에서 이것들을 반응시켜서 그 위에 소정의 막을 형성하는 가스 처리 방법으로서, 상기 제 1 처리 가스 및 제 2 처리 가스를 상기 가스 토출 부재내의 서로 격리된 가스 공급 경로를 거쳐 처리 용기내에 공급하는 것을 특징으로 하는 가스 처리 방법을 제공한다.
본 발명의 제 11 관점에서는, 피처리 기판을 수용하는 처리 용기와, 상기 처리 용기내에 배치되어, 피처리 기판이 재치되는 재치대와, 상기 처리 용기내에 제 1 처리 가스를 공급하는 제 1 처리 가스 공급 수단과, 상기 처리 용기내에 제 2 처리 가스를 공급하는 제 2 처리 가스 공급 수단과, 상기 재치대상의 피처리 기판과 대향하는 위치에 마련되어, 상기 제 1 및 제 2 처리 가스 공급 수단으로부터 각각 제 1 처리 가스 및 제 2 처리 가스가 공급되고 상기 처리 용기내로 제 1 처리 가스와 제 2 처리 가스를 토출하는 가스 토출 기구와, 상기 처리 용기내를 배기하는 배기 수단을 구비하고, 상기 제 1 처리 가스와 제 2 처리 가스를 교대로 공급하고 피처리 기판상에서 이것들을 반응시켜서 그 위에 소정의 막을 형성하는 가스 처리 장치로서, 상기 가스 토출 기구는, 서로 격리된 제 1 가스 공급 경로와 제 2 가스 공급 경로를 갖고, 상기 제 1 처리 가스 및 제 2 처리 가스는 각각 상기 제 1 가스 공급 경로 및 제 2 가스 공급 경로를 통해 별개로 토출되는 것을 특징으로 하는 가스 처리 장치를 제공한다.
상기 제 10 및 제 11 관점에 의하면, 제 1 처리 가스 및 제 2 처리 가스를 교대로 공급하여 성막하는 것에 있어서, 가스 토출 부재내의 서로 격리된 가스 공급 경로를 거쳐 처리 용기내로 공급하기 때문에, 가스 토출 부재내에서의 제 1 처리 가스와 제 2 처리 가스가 접촉하지 않고, 따라서 가스 토출 부재내에서의 소망하지 않는 성막을 특별한 냉각을 실시하지 않고 방지하는 것이 가능해진다.
상기 제 10 관점에 있어서, 상기 제 1 처리 가스의 공급 및 제 2 처리 가스의 공급의 사이에, 상기 처리 용기내를 세정하는 세정 공정을 개재시키는 것이 바람직하다.
상기 제 11 관점에 있어서, 상기 제 1 처리 가스의 공급 및 제 2 처리 가스의 공급의 사이에 상기 처리 용기를 세정하는 세정 수단을 더 구비하는 것이 바람직하다. 또한, 상기 가스 토출 기구는, 가스 토출 플레이트를 갖고, 상기 제 1 가스 공급 경로에 연속하는 복수의 제 1 가스 토출 구멍이 상기 가스 토출 플레이트의 중앙부에 마련되고, 상기 제 2 가스 공급 경로에 연속하는 복수의 제 2 가스 토출 구멍이 상기 가스 토출 플레이트의 주연부에 마련된 구성으로 할 수 있다. 또한, 상기 가스 토출 부재는, 상기 제 1 가스 공급 경로에 연속하는 복수의 제 1 가스 토출구와, 상기 제 2 가스 공급 경로에 연속하는 복수의 제 2 가스 토출구가 그 하면에 교대로 마련된 구성으로 할 수 있다. 또한, 상기 가스 토출 기구는, 상기 가스 토출 플레이트의 가스 토출 구멍 형성 영역에 마련된 냉매 유로를 갖고 있는 것이 바람직하고, 상기 냉매 유로는, 상기 가스 토출 플레이트의 상기 가스 토출 구멍 형성 영역에서의 상기 복수의 가스 토출 구멍의 사이의 부분의 형상에 대응하여 형성, 예컨대 동심원 형상으로 형성된다. 상기 가스 토출 기구는 히터를 갖는 것으로 할 수 있다. 또한, 상기 냉매 유로의 전후에 마련된 냉매 통류 배관과, 상기 처리 가스 토출 기구의 전후에, 상기 처리 가스 토출 기구를 우회하여 상기 냉매 통류 배관에 접속된 바이패스 배관과, 상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와, 상기 냉매의 통류 경로를 규정하는 밸브 그룹과, 상기 밸브 그룹을 제어하는 제어 수단을 더 구비하고, 상기 제어 수단은, 상기 가스 토출 기구를 냉각할 때에는, 상기 냉매를 상기 냉매 유로로 통류시키도록 상기 밸브 그룹을 제어하고, 상기 가스 토출 기구를 승온할 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지시키고, 냉매를 상기 바이패스 배관으로 통류시키도록 상기 밸브 그룹을 제어하며, 상기 가스 토출 기구를 승온 상태로부터 강온시킬 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양쪽으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하는 것이 바람직하다.
이하, 첨부 도면을 참조하여 본 발명의 실시예에 대하여 구체적으로 설명한다.
도 1a는 본 발명의 제 1 실시예에 따른 CVD 성막 장치의 정면도이고, 도 1b는 도 1a의 측면도이다. 또한, 도 2는 이 CVD 성막 장치의 개략 단면도, 도 3은 도 2의 A-A선에 의한 단면도, 도 4는 도 2의 B-B선에 의한 단면도이다. 이 CVD 성막 장치는, H2 가스 및 WF6 가스를 사용하여 피처리 기판인 반도체 웨이퍼(W)[이하, 간단히 웨이퍼(W)라 기술함]상에 텅스텐(W)막을 성막하는 것이다.
이 CVD 성막 장치는, 도 1a 및 도 1b에 도시하는 바와 같이, 본체(1)를 갖고 있고, 이 본체(1)의 하부에는 램프 유닛(85)이 마련되어 있다. 본체(1)의 상부에는 후술하는 처리 가스 토출 기구(22)를 지지하는 덮개(lid)(3)가 개폐 가능하게 마련되어 있고, 또한 그 상방에는 후술하는 배기 유로(121, 122)와 연통하는 상부 배기관(128a, 128b)이 마련되어 있다. 또한, 본체(1)의 하부에는 상기 상부 배기관(128a, 128b)이 연결된 집합부(129) 및 후술하는 배기 유로(130)를 거쳐 접속된 하부 배기관(131)이 마련되어 있다. 이 하부 배기관(131)은, 본체(1)의 전방부 좌측의 코너부로서, 램프 유닛(85)으로부터 떨어진 위치에 마련되어 있다.
도 2에 도시하는 바와 같이, 본체(1)는 예컨대 알루미늄 등에 의해 바닥이 있는 원통 형상으로 형성된 처리 용기(2)를 갖고 있다. 처리 용기(2)내에는 원통 형상의 실드 베이스(shield base)(8)가 처리 용기(2)의 바닥부로부터 세워져 있다. 실드 베이스(8) 상부의 개구에는 환상의 베이스 링(7)이 배치되어 있고, 베이스 링(7)의 내주측에는 환상의 부착물(6)이 지지되며, 부착물(6)의 내주측 에지부로 돌출된 돌기부(도시하지 않음)에 지지되어 웨이퍼(W)를 재치하는 재치대(5)가 마련되어 있다. 실드 베이스(8)의 외측에는, 후술하는 배플 플레이트(9)가 마련되어 있다. 또한, 전술한 리드(3)는 처리 용기(2) 상부의 개구 부분에 마련되어 있고, 이 리드(3)의 재치대(5)상에 재치된 웨이퍼(W)와 대향하는 위치에, 후술하는 처리 가스 토출 기구(22)가 마련되어 있다.
재치대(5), 부착물(6), 베이스 링(7) 및 실드 베이스(8)로 둘러싸인 공간내에는, 원통 형상의 반사재(4)가 처리 용기(2)의 바닥부로부터 세워져 있고, 이 반사재(4)에는 예컨대 3개소에 슬릿부가 마련되며(도 2에는 이 중 1개소를 도시), 이 슬릿부와 대응한 위치에 웨이퍼(W)를 재치대(5)로부터 들어올리기 위한 리프트 핀(12)이 각각 승강 가능하게 배치되어 있다. 리프트 핀(12)은 반사재(4)의 외측에 마련된 원환상의 유지 부재(13) 및 커플링(14)을 거쳐 밀어올림 봉(15)에 지지되어 있고, 밀어올림 봉(15)은 액추에이터(16)에 연결되어 있다. 이 리프트 핀(12)은 열선을 투과하는 재료, 예컨대 석영으로 구성되어 있다. 또한, 리프트 핀(12)과 일체적으로 지지 부재(11)가 마련되어 있고, 이 지지 부재(11)는 부착물(6)을 관통하여 그 상방에 마련된 원환상의 클램프 링(10)을 지지하고 있다. 클램프 링(10)은 열선을 흡수하기 쉬운 무정형 탄소, SiC와 같은 탄소계 부재나, Al2O3, AlN, 흑색 AlN과 같은 세라믹으로 구성되어 있다.
이러한 구성에 의해, 액추에이터(16)가 밀어올림 봉(15)을 승강시킴으로써, 리프트 핀(12)과 클램프 링(10)은 일체적으로 승강한다. 리프트 핀(12)과 클램프 링(10)은 웨이퍼(W)를 수수할 때에는, 리프트 핀(12)이 재치대(5)로부터 소정 길이 돌출할 때까지 상승되고, 리프트 핀(12)상에 지지된 웨이퍼(W)를 재치대(5)상에 재치할 때에는, 도 2에 도시하는 바와 같이, 리프트 핀(12)이 재치대(5)로 퇴입되는 동시에, 클램프 링(10)이 웨이퍼(W)에 접하여 유지하는 위치까지 하강된다.
또한, 재치대(5), 부착물(6), 베이스 링(7) 및 실드 베이스(8)로 둘러싸인 공간내에는, 세정 가스 공급 기구(18)로부터의 세정 가스가, 처리 용기(2)의 바닥부에 형성된 세정 가스 유로(19) 및 이 세정 가스 유로(19)와 연통하는, 반사재(4) 내측 하부의 8개소에 등간격으로 배치된 유로(19a)를 거쳐 공급된다. 이렇게 해서 공급된 세정 가스를, 재치대(5)와 부착물(6)의 간극으로부터 직경 방향 외방을 따라 유출시킴으로써, 후술하는 처리 가스 토출 기구(22)로부터의 처리 가스가 재치대(5)의 이면측에 침입하는 것을 방지하고 있다.
또한, 실드 베이스(8)의 복수 개소에 개구(20)가 마련되어 있고, 이 개구(20)의 내주측에는 실드 베이스(8) 내외의 압력차가 일정 이상으로 된 경우에 동작하여, 실드 베이스(8) 내외를 연통시키는 압력 조절 기구(21)가 복수개 마련되어 있다. 이에 의해, 실드 베이스(8) 내외의 압력차가 과대하게 되어 클램프 링(10)에 오차가 발생하거나, 어느 부재에 큰 힘이 작용하여 파손되는 것을 방지할 수 있다.
재치대(5)의 바로 아래의 처리 용기(2) 바닥부에는, 반사재(4)에 주위를 둘러싸인 개구(2a)가 마련되어 있고, 이 개구(2a)에는 석영 등의 열선 투과 재료로 이루어지는 투과창(17)이 기밀하게 부착되어 있다. 투과창(17)은 도시하지 않은 홀더에 의해 유지되어 있다. 투과창(17)의 표면에는 사파이어 코팅이 형성되어 있다. 그리고, 상기 램프 유닛(85)은 투과창(17)의 하방에 마련되어 있다. 램프 유닛(85)은 가열실(90)과, 이 가열실(90)내에 마련된 회전대(87)와, 이 회전대(87)에 부착된 램프(86)와, 가열실(90)의 바닥부에 마련되어, 회전축(88)을 거쳐 회전대(87)를 회전시키는 회전 모터(89)를 갖고 있다. 또한, 램프(86)는 그 열선을 반사하는 반사부를 갖고 있고, 각각의 램프(86)로부터 방사되는 열선이 직접 또는 반사재(4)의 내주에 반사하여 재치대(5)의 하면에 균등하게 도달하도록 배치되어 있다. 이 램프 유닛(85)에 의해, 회전 모터(89)로 회전대(87)를 회전시키면서, 램프(86)로부터 열선을 방사시킴으로써, 램프(86)로부터 방출된 열선이 투과창(17)을 거쳐 재치대(5)의 하면에 조사되고, 이 열선에 의해 재치대(5)가 균등하게 가열되도록 되어 있다.
처리 가스 토출 기구(22)는 그 외측 가장자리가 리드(3) 상부와 결합하도록 형성된 통 형상의 샤워 베이스(39)와, 이 샤워 베이스(39)의 내주측 상부와 결합하고, 또한 그 상부에 후술하는 가스 도입부(23)가 마련된 원반 형상의 도입판(29)과, 샤워 베이스(39)의 하부에 부착된 샤워 플레이트(35)를 갖고 있다. 샤워 플레이트(35)의 외주에는 스페이서 링(40)이 배치되어 있다.
도입판(29)에는, 그 중앙에 메인 가스가 흐르는 제 1 가스 유로(30)가 형성되고, 이 제 1 가스 유로(30)를 둘러싸도록 복수개, 예컨대 5개(도 12 참조, 도 2에는 1개만 도시)의 주변 H2 가스를 공급하기 위한 제 2 가스 유로(44)가 형성되어 있다. 단, 제 2 가스 유로(44)는 H2 가스를 균일하게 흘릴 수 있으면 몇개라도 무 방하다.
샤워 플레이트(35)의 상부의 외측 가장자리 부분에는 환상의 냉매 유로(36)가 마련되어 있고, 이 냉매 유로(36)에는 냉매 공급로(37a)를 거쳐 냉매로서 냉각수를 공급하고, 냉매 배출로(37b)를 거쳐 냉각수를 배출하여, 냉매로서의 냉각수를 순환하도록 되어 있다. 이에 의해, 성막 처리시에 샤워 플레이트(35)를 소정의 온도, 예컨대 35℃ 정도로 냉각하여, SiH4 가스의 처리 가스 토출 기구(22) 표면에서의 반응을 억제할 수 있다. 또한, 이 때에 사용하는 냉각 제어계에 대해서는 후술한다. 또한, 샤워 플레이트(35)의 하부에는 환상의 히터(38)가 내장되어 있고, 이 히터(38)는 히터 전원(138)으로부터 급전되도록 되어 있다. 클리닝중에 이 히터(38)에 의해 샤워 플레이트(35)를 소정 온도, 예컨대 160℃ 이상으로 가열함으로써, 큰 에칭 속도로 ClF3 에칭을 실행할 수 있다. 샤워 플레이트(35)의 외주에는 스페이서 링(40)이 배치되어 있고, 샤워 플레이트(35)와 처리 용기(2)의 측벽과의 간격을 메우도록 되어 있다.
도 5에 도시하는 바와 같이, 샤워 플레이트(35)와 샤워 베이스(39)의 사이에는, 단열층으로서 기능하는 간극(공간층)(135)이 형성되어 있다. 간극(135)이 없는 경우에는, 히터(38)의 열이 직접 샤워 베이스(39)에 열 전달하고, 또한 리드(3)를 거쳐 산일되기 쉬우며, 히터(38)에 큰 출력이 요구된다. 특히, 장치가 300㎜ 웨이퍼용인 경우에는, 처리 가스 토출 기구(22)는 매우 큰 것으로 되고, 이러한 열의 산일이 있으면, 샤워 플레이트(35)를 균일하게 160℃ 이상으로 가열하는 것은 실질적으로 불가능해진다. 이에 반해, 이와 같이 간극(135)을 마련하여 단열함으로써, 이러한 열의 산일을 대폭 저감할 수 있고, 샤워 플레이트(35)의 온도를 균일하게 160℃ 이상으로 하는 것이 가능해진다. 샤워 플레이트(35)와 샤워 베이스(39)의 사이의 내주측 부분에는, 밀봉 링(136)이 개재되어 있고, 처리 가스 토출 기구(22)로부터의 가스가 간극(135)을 통해 누출되는 것을 방지하고 있다.
도 6은 샤워 플레이트(35)의 상면을 나타내는 도면이지만, 이 도면에 도시하는 바와 같이, 샤워 플레이트(35)의 주연의 일측에는 냉각수 등의 냉매 유로(37), 열전대 삽입부(141), 및 히터 단자부(142)가 집중 마련되어 있고, 이 샤워 플레이트(35)의 가장자리의 일측은 샤워 베이스(39)와 4개의 볼트(143)로 고정된 고정부(144)로 되어 있다. 이 고정부(144)에 있어서, 냉매 유로(37), 열전대 삽입부(141) 및 히터 단자부(142)는 냉각수의 누출 등이 발생하지 않도록 밀봉되어 있다. 샤워 플레이트(35)의 타측은 샤워 베이스(39)와의 사이에 상대 이동을 허용하도록 볼트(145)로 체결된 이동부(146)로 되어 있다. 이 이동부(146)에 있어서는, 상기 도 5에 도시하는 바와 같이, 볼트 삽입 구멍(147)의 직경이 볼트(145)의 직경보다도 2㎜ 정도 커져 있고, 볼트(145)와 샤워 플레이트(35)와의 사이에는 테플론 와셔(148)가 개재되어 있다. 이에 의해, 클리닝시에 샤워 플레이트(35)가 히터(38)에 의해 가열되어 열 팽창한 경우에, 볼트(145)와 테플론 와셔(148) 사이를 적극적으로 슬라이딩시키는 것이 가능하게 되어 있다. 300㎜ 웨이퍼용의 성막 장치의 경우, 샤워 베이스(35)를 히터(38)에 의해 성막 처리중인 35℃ 내지 160℃ 정도로 가열한 경우에는, 샤워 플레이트(35)가 1㎜ 정도 팽창하기 때문에, 샤워 플레이트(35)와 샤워 베이스(39) 사이가 완전히 고정되어 있는 경우에는, 샤워 플레이트(35) 및 샤워 베이스(39)에 변형이 발생하고, 가스의 누출이나 장치 수명의 단축 등의 불량이 발생하지만, 이와 같이 샤워 플레이트(35)의 이동에 불량이 없는 부분을 이동부(146)로 하여 샤워 베이스(39)에 대하여 이동 가능하게 마련함으로써, 샤워 플레이트(35)의 열 팽창에 의한 악영향을 피할 수 있다. 또한, 테플론 와셔(148)를 개재시킴으로써 볼트(145)와 샤워 플레이트(35)의 사이를 적극적으로 슬라이딩시키기 때문에, 샤워 플레이트(35)와 샤워 베이스(39)의 마찰이 방지되고, 파티클을 발생시키지 않도록 되어 있다.
샤워 베이스(39), 가스 도입판(29) 및 샤워 플레이트(35)로 둘러싸인 처리 가스 토출 기구(22)내의 공간에는, 가스 도입판(29)의 바로 아래에 수평으로 배치된 대략 원환상의 수평 격벽(31)이 마련되어 있다. 수평 격벽(31)의 내주 부분에는 상방에 통 형상으로 돌출하는 돌출부(31a)가 형성되어 있고, 이 돌출부(31a)는 가스 도입판(29)에 접속되어 있다.
한편, 처리 가스 토출 기구(22)내의 공간에는 그 면을 수평으로 하여 정류판(33)이 배치되어 있다. 이 정류판(33)은 복수의 가스 통과 구멍(34)이 형성되어 있고, 통 형상의 스페이서(33a)에 의해 샤워 플레이트(35)로부터 소정의 간격을 두고 배치되어 있다. 또한, 상기 수평 격벽(31)의 외연부와 스페이서(33a) 사이에는 통 형상의 수직 격벽(32)이 마련되어 있다.
따라서, 처리 가스 토출 기구(22)의 내부 공간은, 수평 격벽(31)과 정류판(33) 사이의 공간부(22a), 샤워 베이스(39)와 수직 격벽(32) 및 스페이서(33a) 사이의 환상 공간부(22b)(제 2 공간), 가스 도입판(29)과 수평 격벽(31) 사이의 공간부(22c)(제 1 공간), 정류판(33)과 샤워 플레이트(35) 사이의 공간부(22d)(제 3 공간)를 갖고 있다. 이들 중 공간부(22b)와 공간부(22c)는 수평 격벽(31)과 샤워 베이스(39) 사이에 형성된 간극(45)을 거쳐 연통하고 있다. 또한, 가스 도입판(29)의 제 1 가스 도입 구멍(30)은 상기 공간부(22a)와 연통하고 있고, 제 2 가스 도입 구멍(44)은 공간부(22c)에 연통하고 있다. 단, 공간부(22c)와 공간부(22a) 사이는 수평 격벽(31)과 돌출부(31a)에 의해 격리되어 있고, 또한 공간부(22b)와 공간부(22a) 사이는 수직 격벽(32)으로 격리되어 있으며, 또한 공간부(22b)와 공간부(22d) 사이는 스페이서(33a)에 의해 격리되어 있다. 또한, 정류판(33)과 수직 격벽(32)은 일체적으로 형성될 수도 있다.
샤워 플레이트(35)의 중앙부, 즉 공간부(22d)에 대향하는 부분에는, 복수의 제 1 가스 토출 구멍(46)(제 1 가스 토출부)이 공간부(22d)로부터 연통하여 마련되어 있고, 샤워 플레이트(35)의 외측 가장자리부, 즉 환상의 공간부(22b)에 대향하는 부분에는, 원주 형상으로 배치된, 주변 H2 가스를 토출하기 위한 제 2 가스 토출부(47)(제 2 가스 토출부)가 공간부(22b)로부터 연통하여 마련되어 있다. 또한, 제 1 가스 토출 구멍(46)은, 예컨대 격자상 또는 방사상으로 배열되어 마련되어 있고, 그 직경은 예컨대 0.1mm 내지 5㎜, 바람직하게는 1mm 내지 3㎜이다. 제 2 가스 토출 구멍(47)도 거의 동일한 직경을 갖고 있다. 단, 제 2 가스 토출 구멍(47)의 직경은 제 1 가스 토출 구멍(46)의 직경보다도 크거나 또는 작을 수 있다.
도 7은 본 실시예에 있어서의 처리 가스 토출 기구(22) 하부의 부분적인 확대도이고, 메인 가스를 토출하기 위한 제 1 가스 토출 구멍(46) 및 주변 H2 가스를 토출하기 위한 제 2 토출 구멍(47)으로부터 토출되는 가스의 흐름을 화살표로 나타낸 것이다. 도 7에 도시하는 바와 같이, 제 1 가스 유로(30)로부터 공급된 메인 가스는, 공간부(22a)로부터 정류판(33)에 마련된 가스 통과 구멍(34)을 거쳐 공간부(22d)에 이르고, 공간부(22d)로부터 샤워 플레이트(35)에 마련된 제 1 가스 토출 구멍(46)을 거쳐 웨이퍼(W)를 향해 수직으로 가스가 토출된다. 또한, 제 2 가스 유로(44)로부터 공급된 H2 가스는, 공간부(22c)로부터 간극(45)을 거쳐 제 2 공간부(22b)에 이르고, 제 2 공간부(22b)로부터 샤워 플레이트(35)에 마련된 제 2 가스 토출 구멍(47)을 거쳐 웨이퍼(W)의 외측 부분(클램프 링측)을 향해 수직으로 토출된다. 웨이퍼(W)의 주연부로 토출하도록 할 수도 있다.
단, 제 2 가스 토출 구멍(47)은 도 7의 예에 한정하지 않고, 예컨대 도 8에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다 외측의 2열의 동심원 상에 마련하는 형태일 수도 있고, 3열 이상일 수도 있다. 또한, 제 2 가스 토출 구멍(47)은 웨이퍼(W)의 외주연상에 1열 또는 그것으로부터 외측으로 2열 이상으로 배열할 수도 있다. 제 2 가스 토출 구멍(47)을 2열 이상 마련하는 경우에는, 도 9a에 도시하는 바와 같이 인접하는 열(47a) 및 열(47b)의 제 2 가스 토출 구멍(47)간에 중첩되도록 배치할 수도 있고, 도 9b에 도시하는 바와 같이 인접하는 열(47a) 및 열(47b)을 구성하는 제 2 가스 토출 구멍(47)간에 서로 상이하게 배치할 수도 있다. 단, 상이하게 마련하는 편이 보다 균일하게 가스를 공급할 수 있다. 서로 상이하게 마련하는 경우에는, 도 9b에 도시하는 바와 같이, 한쪽 열(47b)을 구성하는 제 2 가스 토출 구멍(47) 중 인접하는 2개로부터 동일한 거리(d)의 위치에 다른쪽의 열(47a)을 구성하는 제 2 가스 토출 구멍(47)을 마련하도록 하는 것이 바람직하다. 또한, 도 10에 도시하는 바와 같이 웨이퍼의 외주연부에 대하여 외측을 향해 0° 내지 45°의 범위로 비스듬히 마련하는 형태로 할 수도 있다. 이 경우에는, 제 2 가스 토출 구멍(47)의 직경을 0.1mm 내지 3㎜, 바람직하게는 0.1mm 내지 1.5㎜로 한다. 제 2 가스 토출 구멍(47)을 비스듬히 마련하는 경우에는, 제 2 가스 토출 구멍(47)의 토출 위치는, 균일한 막 형성이 가능한 범위의 위치이면, 도 10에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다 외측의 경우에 한정하지 않고, 도 11에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다 내측일 수도 있다.
또한, 상술한 바와 같이, 샤워 플레이트(35)에는 히터(38)가 내장되어 있고, 이 히터(38)에 의해 샤워 플레이트(35)가 가열되지만, 이 때의 전열에 의한 열의 산일을 한층 더 방지하는 관점에서, 도 12에 도시하는 바와 같이, 정류판(33)의 스페이서(33a)와 샤워 플레이트(35) 사이에 내열성이 있는 수지, 예컨대 불소계 수지로 이루어지는 수지제의 밀봉 링(48)을 개재시켜서 단열하는 것이 바람직하다.
다음에, 전술한 가스 도입부(23)에 대하여 상세히 설명한다.
가스 도입부(23)는 도입판(29)의 상부에 감입된 정류판(28)과, 하부 플레이트(27)와, 중간부 플레이트(26)와, 상부 플레이트(25)가 적층되고, 이것들이 케이싱(24)에 수용되어 있다. 케이싱(24)의 상부에는, 각각 후술하는 가스 공급 기 구(50)와 접속된 주변 H2 가스를 도입하는 가스 도입구(42), 메인 가스를 도입하는 가스 도입구(41, 43)를 갖고 있다.
도 13은 상술한 가스 도입부(23)에 있어서의 케이싱(24) 내부의 구조를 나타내는 사시도이다. 상부 플레이트(25)에는 케이싱(24)의 가스 도입구(42)와 연통하는 캐비티(103)와, 케이싱(24)의 가스 도입구(41)와 연통하는 유로(101)와, 케이싱(24)의 가스 도입구(43)와 연통하는 유로(102)가 마련되어 있고, 또한 캐비티(103)의 바닥면에는 주변 H2 가스가 통류하는 가스 통류 구멍(104)이 주변의 5개소에 마련되어 있다. 가스 도입구(41)와 연통된 유로(101)는 중간 플레이트(26)에 마련된 홈(105)을 거쳐, 중간 플레이트(26) 및 하부 플레이트(27)에 연속하여 마련된 세로 구멍(106)과 연통하고 있다. 또한, 가스 도입구(43)와 연통된 유로(102)는, 중간 플레이트(26)에 마련된 유로(108) 및 하부 플레이트(27)에 마련된 홈(109)을 거쳐, 세로 구멍(106)과 연통하고 있다. 이 세로 구멍(106)은 정류판(28)의 정류 구멍(111)을 거쳐 도입판(29)의 중앙에 마련된 제 1 가스 유로(30)와 연통하고 있다. 이러한 구성에 의해, 세로 구멍(106)에 있어서 H2 가스, WF6 가스 등이 혼합되고, 이 혼합 가스가 메인 가스 유로(30)로부터 공급되도록 되어 있다. 한편, 주변 H2 가스가 통류하는 가스 통류 구멍(104)은 중간 플레이트(26)에 마련된 유로(107) 및 하부 플레이트(27)에 마련된 유로(110)를 거쳐, 도입판(29)에 제 1 가스 유로(30)를 둘러싸도록 5개소에 마련된 제 2 가스 유로(44)에 각각 연통하고 있다.
상기 가스 도입부(23)에 있어서, 가스 도입구(41, 43)에 공급된 가스는, 세로 구멍(106)에 있어서 혼합되어 제 1 가스 유로(30)로부터 처리 가스 토출 기구(22)내에 공급된다. 또한, 가스 도입구(42)에 공급된 주변 H2 가스는, 캐비티(103)로부터 5개의 가스 통류 구멍(104)으로 분산되고, 제 2 가스 유로(44)를 거쳐 처리 가스 토출 기구(22)내에 공급된다. 그리고, 제 1 가스 유로(30)에 공급된 가스는, 처리 가스 토출 기구(22)내의 공간부(22a)로부터 정류판(33)의 메인 가스 통과 구멍(34)을 통해 공간부(22d)에서 확산되어, 메인 가스 토출 구멍(46)으로부터 웨이퍼(W)를 향해 균일하게 토출된다. 또한, 제 2 가스 유로(44)에 공급된 주변 H2 가스는 처리 가스 토출 기구(22)내의 공간부(22c)로부터 대략 원반 형상의 격벽(31)의 주변에 마련된 간극(45)을 통해 공간부(22b)로 확산되어, 제 2 가스 토출 구멍(47)으로부터 웨이퍼(W)를 향해 토출된다. 이와 같이, 제 1 가스 토출 구멍(46)과 제 2 가스 토출 구멍(47)은, 별개로 가스 공급되기 때문에 서로 조성이 다른 가스를 토출하는 것이 가능하다.
다음에, 가스 공급 기구(50)에 대하여 설명한다.
가스 공급 기구(50)는 클리닝 가스로서의 ClF3 가스를 공급하는 ClF3 가스 공급원(51), W 함유 가스인 WF6 가스를 공급하는 WF6 가스 공급원(52), Ar 가스 공급원(53), 환원 가스인 H2 가스를 공급하는 H2 가스 공급원(54), N2 가스 공급원(55), 환원 가스인 SiH4 가스를 공급하는 SiH4 가스 공급원(56)을 갖고 있다.
ClF3 가스 공급원(51)에는 가스 라인(61)이 접속되고, WF6 가스 공급원(52)에는 가스 라인(62)이 접속되어 있으며, Ar 가스 공급원(53)에는 가스 라인(63)이 접속되어 있다. 이러한 가스 라인(61, 62, 63)은 가스 도입부(23)에 있어서, 상기 가스 도입구(43)에 접속되어 있다. H2 가스 공급원(54)에는 가스 라인(64) 및 라인(65)이 접속되고, 이러한 가스 라인(64, 65)중 가스 라인(64)은 상기 가스 도입구(42)에 접속되고, 가스 라인(65)은 가스 도입부(23)에 마련된 상기 가스 도입구(41)에 접속되어 있다. N2 가스 공급원(55)에는 가스 라인(66)이 접속되어 있고, SiH4 가스 공급원(56)에는 가스 라인(67)이 접속되어 있다. 이러한 가스 라인(66) 및 라인(67)은 가스 도입부(23)에 마련된 상기 가스 도입구(41)에 접속되어 있다. 이러한 가스 라인(61, 62, 63, 64, 65, 66, 67)에는, 각각 질량 유량 제어기(mass flow controller)(70)와 그 전후의 개폐 밸브(71, 72)가 마련되어 있다. 또한, 가스 공급 기구(50)의 밸브 등에 의한 가스 공급의 제어는 제어 장치(80)에 의해 실행된다.
한편, 도 3 및 도 4에 도시하는 바와 같이, 실드 베이스(8)와 처리 용기(2)내의 측벽 사이에는, 전술한 바와 같이, 그 가장자리 전체에 걸쳐 배기 구멍(9a)이 마련된 원환상의 배플 플레이트(9)가 부착되어 있고, 이 배플 플레이트(9)의 하방에는 환상의 배기 공간(127)이 형성되어 있다. 도 4에 도시하는 바와 같이, 배플 플레이트(9)의 하방에는, 처리 용기(2)의 대각 위치에 배기 공간(123) 및 배기 공간(124)이 설치되어 있다. 이 배기 공간(123)의 배기 입구 근방에는 원호상의 단면을 갖는 바닥부 격벼(125)이 배치되고, 바닥부 격벽(125)의 양단과 처리 용기(2)의 측벽면의 사이를 통해 배기되도록 되어 있다. 또한, 배기 공간(124)의 배기 입구 근방에는 동일하게 원호상의 단면을 갖는 바닥부 격벽(126)이 배치되고, 바닥부 격벽(126)의 양단과 처리 용기(2)의 측벽면 사이를 통해 배기되도록 되어 있다.
다음에, 상기 배기 공간(123) 및 배기 공간(124)으로부터 배기를 실행하기 위한 구조에 대하여 도 14 및 도 15를 참조하여 설명한다. 도 14는 도 3의 C-C 단면도이고, 도 15는 도 3의 D-D 단면도이다. 도 14에 도시하는 바와 같이, 전술한 배기 공간(124)에는, 처리 용기(2)의 측벽 및 리드(3)내에 마련된 배기 유로(122)의 일단이 연통하고 있고, 이 배기 유로(122)의 타단에는 상부 배기관(128b)이 접속되어 있다.
이 상부 배기관(128b)은, 도 15에 도시하는 바와 같이, 처리 용기(2)의 다른 각부에 있어서 집합부(129)에 연결되어 있고, 이 집합부(129)는 리드(3) 및 처리 용기(2)의 측벽을 관통하여 마련된 배기 유로(130)의 상단과 접속되며, 이 배기 유로(130)의 하단에는 하부 배기관(131)을 거쳐 배기 기구(132)가 접속되어 있다. 또한, 도 14에는 배기 공간(124) 근방의 구조에 대하여 나타내었지만, 배기 공간(123) 근방도 이와 대략 동일한 구조로 되어 있다. 즉, 도 1a 및 도 1b에도 도시한 바와 같이, 처리 용기(2)에 대각인 2개소에 접속된 2개의 상부 배기관(128a, 128b)은, 처리 용기(2)의 다른 각부에서 집합부(129)에 연결되고, 이 집합부(129)를 거쳐 1개의 배기 유로(130)에 합류하고 있으며, 배기 유로(130)는 처리 용기(2)의 하방에 마련된 1개의 하부 배기관(131)을 거쳐 배기 기구(132)에 접속되어 있다. 그리고, 배기 기구(132)를 작동시킴으로써, 처리 용기(2)내의 분위기는 배플 플레이트(9)의 각각의 배기 구멍(9a)으로부터 하방의 환상의 배기 공간(127)으로 유출되어 바닥부 격벽(125)의 양단과 처리 용기(2)의 측벽면 사이 및 바닥부 격벽(126)의 양단과 처리 용기(2)의 측벽면 사이를 통해 배기 공간(123, 124)에 이르고, 배기 유로(121, 122)를 거쳐 상방으로 배기되며, 이어서 상부 배기관(128)으로부터 배기 유로(130)를 거쳐 하방으로 배기된다. 이와 같이 처리 용기(2)내의 분위기를 배기함으로써, 처리 용기(2)내를 소정의 진공도로 감압하는 것이 가능해지고 있다.
이 때에, 배플 플레이트(9)의 배기 구멍(9a)으로부터 하방의 환상 배기 공간(127)으로 유출된 분위기는, 바닥부 격벽(125) 및 격벽(126)을 우회하여 도 4에 화살표로 나타내는 바와 같이 흐르기 때문에, 배기 공간(123) 및 배기 공간(124) 근방에 위치한 배기 구멍(9a)으로부터의 분위기가 직접 배기되는 것이 방지되고, 각각의 배기 구멍(9a)으로부터 대략 균등하게 배기할 수 있다. 따라서, 처리 용기(2)내의 분위기는 재치대(5)의 외주로부터 균일하게 배기된다. 또한, 상기 구성에 의하면, 처리 용기(2)의 하부에 있어서 램프 유닛(85)을 멀리한 위치에 마련된 1개의 하부 배기관(131)을 거쳐 처리 용기(2)내를 배기할 수 있기 때문에, 처리 용기(2)의 하방 부분의 구성을 간소화할 수 있다. 따라서, CVD 성막 장치의 소형화를 도모할 수 있는 동시에, 처리 용기(2)의 하방에 배치된 램프 유닛(85)에 있어서의 램프(86) 교환 등의 유지 보수를 용이하게 실행하는 것이 가능해진다.
다음에, 이 CVD 성막 장치의 리드(3)를 개폐할 때의 지지 기구에 대하여 도 16을 참조하여 설명한다. 도 16은 CVD 성막 장치의 배면도이다. 도 16에 도시하는 바와 같이, 리드(3)의 중앙에는 처리 가스 토출 기구(22)가 부착되어 있고, 상당한 중량이 있기 때문에 리드(3)의 측방에는 지지 기구(150)가 마련되어 있다. 이 지지 기구(150)는, 리드(3)를 도 16에 가상선으로 도시하는 바와 같이 회동시키는 회동축(151)에 리드(3)와 대향하도록 부착된 아암(154)과, 이 아암(154)에 마련된 축(152)에 일단이 걸려 고정되고, 도 16에 실선 및 가상선으로 나타내는 위치에 최대 길이로 되고 이것보다 짧은 범위에 신축 가능한 봉 형상 부재(153)를 갖고 있다. 봉 형상 부재(153) 및 아암(154)은 리드(3)를 폐쇄한 상태에서는 도 16에 실선으로 나타내는 바와 같이 리드(3)의 우측에 위치하고 있고, 이 상태로부터 리드(3)를 도 16에 가상선으로 나타내는 바와 같이 회동시키면, 이것과 연통하여 회동축(151)과 아암(154)은 일체적으로 시계 회전 방향으로 회전하고, 봉 형상 부재(153)는 아암(154)을 따라 신축된다. 그리고 도 16에 가상선으로 나타내는 바와 같이, 리드(3)가 180° 회동하면, 아암(154)은 리드(3)의 좌측의 봉 형상 부재(153)가 최대 길이로 되는 위치까지 회전하고, 그 위치에서 봉 형상 부재(153)에 의해 회동축(151) 및 아암(154)의 회전이 로크되며, 이로써 리드(3)가 180° 회동하여 개방된 상태에서 지지된다. 이러한 지지 기구(150)를 리드(3)의 측방에 마련함으로써, 중량이 큰 처리 가스 토출 기구(22)가 부착된 리드(3)를 용이하게 개폐하는 것이 가능해져, CVD 성막 장치의 유지 보수성이 향상된다.
다음에, 본 실시예에 따른 CVD 성막 장치의 본체(1)에 사용하는 냉각 제어계에 대하여, 도 17을 참조하여 설명한다. 이 냉각 제어계(160)는 수돗물 등의 1차 냉각수를 순환시키는 1차 냉각수 배관(161)과, 1차 냉각수 배관(161)의 사이에서 열 교환하여 온도가 제어된 2차 냉각수가 순환하는 제 1의 2차 냉각수 배관(162)과, 이 제 1의 2차 냉각수 배관(162)으로부터 분기하여 동일한 2차 냉각수가 순환하는 제 2의 2차 냉각수 배관(163)을 갖고 있다. 2차 냉각수는 2차 냉각수 탱크(164)에 저류되고, 이 저류된 2차 냉각수가 이러한 제 1 및 제 2의 2차 냉각수 배관(162, 163)을 순환한다.
제 1의 2차 냉각수 배관(162)을 순환하는 2차 냉각수는 상류측으로부터 순차적으로 처리 가스 토출 기구(22), 챔버(2)(챔버벽) 및 반사재(4)를 통과하도록 되어 있고, 제 2의 2차 냉각수 배관(163)은, 상류측으로부터 순차적으로 투과창(17)을 유지하는 투과창 홀더(165)(도 2에는 도시하지 않음), 램프 유닛(85) 및 챔버(2)를 밀봉하는 밀봉 링 등의 챔버 밀봉(166)(도 2에는 도시하지 않음)을 통류하도록 되어 있다.
1차 냉각수 배관(161)에는, 입구측 및 출구측에 각각 볼 밸브(167, 168)가 마련되고, 입구측의 볼 밸브(167) 근방의 하류측에는 솔레노이드 밸브(169)가 마련되고, 출구측의 볼 밸브(168) 근방의 상류측에는, 상류측으로부터 순차적으로 스트레이너(170), 니들 밸브(171), 유량계(172)가 마련되어 있다. 또한, 솔레노이드 밸브(169)의 하류측에는, 1차 냉각수와 2차 냉각수 사이에 열 교환을 실행하기 위한 열 교환기(173)가 마련되어 있다.
제 1의 2차 냉각수 배관(162)의 비분기부에서의 2차 냉각수 탱크(164)의 상류측에는 상류측으로부터 순차적으로 에어 오퍼레이션(air operation) 밸브(174), 니들 밸브(175) 및 상기 열 교환기(173)가 마련되어 있고, 또한 이것들을 우회하는 바이패스 배관(176)이 마련되어 있다. 바이패스 배관(176)에는 에어 오퍼레이션 밸브(177)가 마련되어 있다. 또한, 제 1의 2차 냉각수 배관(162)의 비분기부에서의 2차 냉각수 탱크(164)의 하류측에는 상류측으로부터 순차적으로 볼 밸브(178), 2차 냉각수 순환용의 펌프(179) 및 볼 밸브(180)가 마련되어 있다. 펌프(179)의 하류측에는 펌프(179)의 공기 흡인 배관(181)이 마련되고, 이 공기 흡인 배관(182)에는 볼 밸브(182)가 마련되어 있다.
2차 냉각수 탱크(164)의 상방에는, 히터(185) 및 1차 냉각수가 순환하는 냉각 플레이트(186)가 마련되어 있다. 2차 냉각수 탱크(164)의 상부에는, 제 1의 2차 냉각수 배관(162)이 주위로 배치된 제어부(187)를 갖고 있다. 한편, 제 1의 2차 냉각수 배관(162)의 펌프(179)의 하류측에는, 2차 냉각수의 온도를 검출하는 열전대(183)가 마련되어 있고, 이 열전대(183)로부터의 검출 신호가 온도 컨트롤러(184)에 입력되도록 되어 있다. 온도 컨트롤러(184)는 상기 히터(185)의 출력을 제어하고, 히터(185)에 의한 가열 및 냉각 플레이트(186)에 의한 냉각의 균형에 의해 제어부(187)를 통류하는 2차 냉각수의 온도를 소망하는 온도로 제어하도록 되어 있다. 또한, 2차 냉각수 탱크(164)의 바닥부에는 배수 배관(188)이 마련되어 있고, 배수 배관(188)에는 볼 밸브(189)가 마련되어 있다.
제 1의 2차 냉각수 배관(162)의 반사재(4)의 하류측에는 상류측으로부터 순차적으로 스트레이너(190), 니들 밸브(191), 유량계(192)가 마련되어 있다. 또한, 제 2의 2차 냉각수 배관의 챔버 밀봉(166)의 하류측에는 상류측으로부터 순차적으로 스트레이너(193), 니들 밸브(194), 유량계(195)가 마련되어 있다.
처리 가스 토출 기구(22)에 있어서, 제 1의 2차 냉각수 배관(162)은 상술한 냉매 유로(36)의 입구측 및 출구측에 접속되어 있다. 제 1의 2차 냉각수 배관(162)의 처리 가스 토출 기구(22)의 상류측 및 하류측에는 각각 에어 오퍼레이션 밸브(196) 및 에어 오퍼레이션 밸브(197)가 마련되어 있다. 또한, 제 1의 2차 냉각수 배관(162)의 에어 오퍼레이션 밸브(196)와 처리 가스 토출 기구(22)의 사이에는 압력 게이지(198)가 마련되어 있다. 또한, 제 1의 2차 냉각수 배관(162)의 에어 오퍼레이션 밸브(196) 상류측 부분 및 에어 오퍼레이션 밸브(197) 하류측 부분에, 처리 가스 토출 기구(22)를 우회하는 바이패스 배관(199)이 접속되어 있다. 바이패스 배관(199)의 입구측 부분에는 에어 오퍼레이션 밸브(200)가 마련되어 있다. 제 1의 2차 냉각수 배관(162)의 처리 가스 토출 기구(22)와 에어 오퍼레이션 밸브(197) 사이의 부분에는 2차 냉각수 탱크(164)에 이르는 배관(201)이 접속되어 있고, 배관(201)에는 압력 릴리프 밸브(202)가 마련되어 있다. 또한, 모든 밸브는 밸브 컨트롤러(203)로 제어되도록 되어 있다.
다음에, 상기한 바와 같이 구성되는 CVD 성막 장치에 의해, 웨이퍼(W)의 표면에 W막을 성막하는 동작에 대하여 설명한다.
우선, 처리 용기(2)의 측벽에 마련된 도시하지 않은 게이트 밸브를 개방하여 반송 아암에 의해 처리 용기(2)내로 웨이퍼(W)를 반입하고, 리프트 핀(12)을 재치대(5)로부터 소정 길이 돌출하기까지 상승시켜서 웨이퍼(W)를 수취한 후, 반송 아암을 처리 용기(2)로부터 퇴출시켜, 게이트 밸브를 폐쇄한다. 이어서, 리프트 핀(12) 및 클램프 링(10)을 하강시키고, 리프트 핀(12)을 재치대(5)에 몰입시켜서 웨이퍼(W)를 재치대(5)상에 재치하는 동시에, 클램프 링(10)을 웨이퍼(W)와 접합하여 유지하는 위치까지 하강시킨다. 또한, 배기 기구(132)를 작동시켜서 처리 용기(2)내를 감압하고, 처리 용기(2)내를 고진공 상태로 하는 동시에, 가열실(90)내의 램프(86)를 점등하고, 회전대(87)를 회전 모터(89)에 의해 회전시키면서 열선을 방사시켜, 웨이퍼(W)를 소정의 온도로 가열한다.
다음에, 웨이퍼(W)에 개시 처리를 실시하기 위해서, 가스 공급 기구(50)의 Ar 가스 공급원(53), N2 가스 공급원(55), SiH4 가스 공급원(56)으로부터 각각 소정의 유량으로 처리 가스를 공급하고, 또한 H2 가스 공급원(54)으로부터는 가스 라인(64) 및 가스 라인(65)의 각각에 소정의 유량으로 H2 가스를 공급하고, 처리 가스 토출 기구(22)의 제 1 가스 토출 구멍(46)으로부터 Ar 가스, N2 가스, SiH4 가스 및 H2 가스의 혼합 가스를 웨이퍼(W)를 향해 토출하여, 웨이퍼(W)에 Si를 흡착시킴으로써 다음 스텝에서 신생막이 효율적이고 균일하게 형성된다. 제 2 가스 토출 구멍(47)으로부터 H2 가스를 웨이퍼(W)의 주변부를 향해 토출할 수도 있다. 또한, 세정 가스 공급 기구(18)로부터 세정 가스의 공급을 개시하여 재치대(5)의 이면측에 처리 가스가 돌아 들어가는 것을 방지한다.
개시 처리 후, 각각의 처리 가스의 유량은 그 상태로, 또한 WF6 가스 공급원(52)으로부터 후술하는 본 성막 공정보다도 적은 소정 유량으로 WF6 가스의 공급을 개시하여 제 1 가스 토출 구멍(46)으로부터 토출하는 가스에 WF6 가스를 첨가하고, 이 상태에서 하기 식(1)에 나타내는 SiH4 환원 반응을 소정 시간 진행시켜, 웨 이퍼(W) 표면에 신생막을 형성한다.
2WF6 + 3SiH4 → 2W + 3SiF4 + 6H2··· (1)
그 후, WF6 가스, SiH4 가스, 및 제 2 가스 토출 구멍(47)으로부터의 H2 가스의 공급을 정지시키고, Ar 가스, N2 가스 및 제 1 가스 토출 구멍(46)으로부터의 H2 가스의 공급량을 증가시키며, 신생막 형성을 위한 처리 가스를 일소하는 동시에, 배기 기구(132)의 배기량을 낮게 하여 처리 용기(2)내의 압력을 본 성막 공정을 위해서 높이는 동시에, 웨이퍼(W)의 온도를 안정시킨다.
다음에, WF6 가스 및 제 2 가스 토출 구멍(47)으로부터의 H2 가스의 공급을 재개하는 동시에, Ar 가스, N2 가스, 및 제 1 가스 토출 구멍(46)으로부터의 H2 가스의 공급량을 감소시키고, 이 상태에서 하기 식(2)에 나타내는 H2 환원 반응의 W 성막을 소정 시간 실행함으로써, 웨이퍼(W)의 표면에 W 성막하는 본 성막 공정을 실행한다.
WF6 + 3H2 → W + 6HF ···(2)
본 성막을 종료 후, WF6 가스의 공급을 정지시키고, Ar 가스, H2 가스, N2 가스의 공급을 유지한 상태에서, 배기 기구(132)에 의해 처리 용기(2)내를 급속히 감압하고, 본 성막 종료 후에 잔류한 처리 가스를 처리 용기(2)로부터 일소한다. 이어서, 모든 가스의 공급을 정지한 상태에서 감압을 계속하여 처리 용기(2)내를 고진공도 상태로 한 후, 리프트 핀(12) 및 클램프 링(10)을 상승시키고, 리프트 핀(12)을 재치대(5)로부터 돌출시켜서 웨이퍼(W)를 반송 아암이 수취 가능한 위치까지 상승시키고, 게이트 밸브를 개방하여 처리 용기(2)내에 반송 아암을 진입시키며, 리프트 핀(12)상의 웨이퍼(W)를 반송 아암으로 수취하고, 반송 아암을 처리 용기(2)로부터 퇴출시킴으로써 웨이퍼(W)를 취출하여 성막 동작을 종료한다.
이러한 공정에 의하면, 개시 공정, 신생 공정, 본 성막 공정에 있어서, 웨이퍼(W) 중앙측의 제 1 가스 토출 구멍(46)으로부터는 WF6 가스 및 H2 가스를 포함하는 혼합 가스를 토출하면서, 웨이퍼(W) 주변측의 제 2 가스 토출 구멍(47)으로부터 H2 가스를 토출함으로써, 웨이퍼(W)의 주변측에 있어서 H2 가스 농도가 낮아지는 것을 방지할 수 있고, 이로써 웨이퍼(W)에 막 두께가 균일한 W막을 성막할 수 있다.
도 18은, 이러한 공정의 본 성막 공정에 있어서, 제 2 가스 토출 구멍(47)으로부터 토출하는 H2 가스의 유량을, 제 1 가스 토출 구멍(46)으로부터 토출하는 H2 가스의 유량에 대하여 0% 내지 135%의 범위에서 변화시키고, 웨이퍼(W)상에 성막된 W막의 균일성을 조사한 결과를, 횡축에 제 2 가스 토출 구멍(47)으로부터 토출하는 H2 가스의 유량을 취하고 종축에 W막의 균일성을 취하여 나타낸 그래프이다. 도 18로부터, 제 2 가스 토출 구멍(47)으로부터 토출하는 H2 가스의 유량을, 제 1 가스 토출 구멍(46)으로부터 토출하는 H2 가스의 유량의 50% 이상으로 한 경우에 W막의 균일성을 향상시키는 효과가 현저하다는 것을 알 수 있다. 보다 바람직한 제 2 가스 토출 구멍(47)의 H2 가스 유량은 제 1 가스 토출 구멍(46)으로부터 토출하는 H2 가스의 유량의 60% 이상이다.
또한, 도 19는 제 2 가스 토출 구멍(47)으로부터 토출하는 H2 가스 유량을 제 1 가스 토출 구멍(46)으로부터 토출하는 H2 가스의 유량에 대하여 0% 내지 134%로 여러 가지로 변화시키고, 성막된 웨이퍼(W)의 직경을 따라 설정된 측정 포인트(1) 내지 측정 포인트(161)의 각각에 있어서 W막의 두께를 측정하고, 횡축에 측정 포인트를 취하고 종축에 각 측정 포인트에 있어서의 W막 두께를 취하여 막 두께의 분포 상태를 나타낸 그래프이다. 도 19에서, 제 2 가스 토출 구멍(47)으로부터 H2 가스를 토출하지 않은 경우에는 웨이퍼(W) 주변에 있어서 W막 두께가 얇아져 있고, 막 두께가 균일한 W막을 성막할 수 없지만, 제 2 가스 토출 구멍(47)으로부터 H2 가스를 토출한 경우에는, 모두 웨이퍼(W) 주변에 있어서 W막 두께가 얇아지는 것이 방지되는 것이 확인된다. 또한, 각각의 경우에 성막된 W막의 막질을 조사한 바, 제 2 가스 토출 구멍(47)으로부터 토출하는 H2 가스의 유량을 제 1 가스 토출 구멍(46)으로부터 토출하는 H2 가스의 유량의 134%로 한 경우에 가장 우수한 막질이 얻어진다는 것이 확인되었다.
또한, 도 7에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다도 외측에 1열의 주변 H2 가스 토출 구멍(47)을 연직으로 마련한 경우(이하, H1이라 칭함)와, 도 8에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다도 외측에 2열의 주변 H2 가스 토출 구멍(47)을 연직으로 마련한 경우(이하, H2라 칭함)와, 도 10에 도시하는 바와 같이 웨이퍼(W)의 외주연부보다도 외측에 주변 H2 가스 토출 구멍(47)을 비스듬히 마련한 경우(이하, H4라 칭함)의 각각에 있어서, 제 2 가스 토출 구멍(47)으로부터 H2 가스를 토출시키면서 W막의 성막을 실행했다. 또한, 비교를 위해 제 2 가스 토출 구멍(47)으로부터 H2 가스를 토출시키지 않고, 그 밖에는 동일한 공정에 의해 W막의 성막을 실행했다(이하, 종래예로 나타냄). 이렇게 하여 얻어진 각 W막의 균일성을 비교한 결과, H1이 가장 우수하고, 이어서 H2, H4, 종래예의 순서였다. 이에 의해, 제 2 가스 토출 구멍(47)은 웨이퍼(W)의 외주연부보다도 외측에 연직으로 마련하는 것이 바람직하다는 것이 확인되었다.
이와 같이 하여 성막 처리가 종료하고, 웨이퍼(W)를 취출한 후에는, 필요에 따라 예컨대 적어도 1매 처리한 후에, ClF3 가스를 처리 용기(2)내에 공급하여 처리 용기(2)내에 부착된 불필요한 부착물을 제거하는 클리닝을 실행한다. 또한, 필요에 따라, 통상의 클리닝 외에, 예컨대 적어도 수 로트의 성막 처리를 했을 때에, ClF3 가스를 처리 용기(2)내에 공급하면서, 히터(38)에 의해 샤워 플레이트(35)를 160℃ 이상의 온도로 가열하고, 처리 가스 토출 기구(22)에 부착된 TiFx를 포함하는 반응 부생성물과 ClF3 가스의 반응성을 높이며, 반응 부생성물의 에칭 속도를 크게 하여 TiFx를 포함하는 반응 부생성물을 제거하는 플러싱 처리를 실행한다. 이 경우, 통상의 클리닝으로 처리 가스 토출 기구의 온도가, 예컨대 100℃ 이하이기 때문에, TiFx를 포함하는 반응 부생성물이 제거되지 않고 퇴적하게 된다.
이 경우에, 샤워 플레이트(35)와 샤워 베이스(39)의 사이에는 단열층으로서 기능하는 간극(공간층)(135)이 형성되어 있기 때문에, 히터(38)의 열이 직접 샤워 베이스(39)에 미쳐 리드(3)를 거쳐 산일되기 어렵고, 히터(38)의 출력이 과대해지지 않으며, 샤워 플레이트(35)를 클리닝에 적절한 160℃ 이상의 온도로 가열할 수 있다.
또한, 샤워 플레이트(35)의 이동부(146)는 샤워 베이스(39)와의 사이에 상대 이동을 허용하도록 볼트(145)로 체결되어 있다. 즉, 볼트 삽입 구멍(147)의 직경이 볼트(145)의 직경보다도 2㎜ 정도 크게 되어 있고, 볼트(145)와 샤워 플레이트(35)의 사이에는 테플론 와셔(148)가 개재되어 있기 때문에, 클리닝시에 샤워 플레이트(35)가 히터(38)에 의해 가열되어 열 팽창한 경우에, 볼트(145)와 테플론 와셔(148)의 사이를 적극적으로 슬라이딩시킬 수 있다. 따라서, 예컨대 300㎜ 웨이퍼용의 성막 장치로, 샤워 베이스(35)가 히터(38)에 의해 성막 처리중인 35℃ 내지 160℃ 정도로 가열되고, 1㎜ 정도 팽창해도, 샤워 플레이트(35)와 샤워 베이스(39)의 사이가 완전히 고정되어 있는 경우에 생기는, 샤워 플레이트(35) 및 샤워 베이스(39)의 변형에 의한 가스의 누출이나 장치 수명의 단축 등의 불량을 효과적으로 방지할 수 있다. 또한, 테플론 와셔(148)에 의해 볼트(145)와 샤워 플레이트(35)의 사이를 적극적으로 슬라이딩시킬 수 있기 때문에, 양자의 마찰을 피하고, 파티클이 거의 생기지 않는다. 이 경우에, 볼트(145)로는, 도 5에 도시하는 바와 같은 숄더 볼트를 사용하는 것이 바람직하다. 이에 의해, 볼트의 체결 토크의 관리를 하지 않아도, 간극(135)의 거리(r)가 보증되고, 샤워 플레이트(35)와 샤워 베이 스(39)의 사이를 체결 압력도 분산되지 않고 균일하게 할 수 있다.
한편, 성막 처리시는 상술한 바와 같이 냉각 제어계(160)에 의해 CVD 성막 장치의 본체(1)의 각 부재를 냉각한다. 그 중에서 SiH4의 처리 가스 토출 기구(22) 표면에서의 반응을 억제하기 위해서 처리 가스 토출 기구(22)를 냉각함으로써 처리 가스 토출 기구에 생성물의 부착이 방지된다. 그러나, TiFx를 포함하는 반응 부생성물은 부착된다. 따라서, 클리닝시에는 히터(38)에 의해 처리 가스 토출 기구(22)의 온도를 상승시키고, 특히 플러싱시에는 160℃의 TiFx를 포함하는 반응 부생성물이 제거되는 고온까지 승온시킬 필요가 있기 때문에, 냉각수 유로(36)와 히터(38)를 처리 가스 토출 기구(22)내에 공존시키고 있다. 일반적으로, 이와 같이 냉각수 유로와 히터가 공존하는 경우에는, 가열 및 냉각 모두 효율이 불량해진다.
이에 반해, 본 실시예에서는, 도 17에 도시하는 냉각 제어계(160)의 밸브 컨트롤러(203)에 의해 이하와 같이 밸브를 제어함으로써, 이러한 문제를 해소하는 것이 가능하다.
우선, 성막 공정중에는, 에어 오퍼레이션 밸브(196) 및 에어 오퍼레이션 밸브(197)를 개방하고, 에어 오퍼레이션 밸브(200)를 폐쇄한 상태로 하여, 제 2의 2차 냉각수 배관(162)으로부터 처리 가스 토출 기구(22)의 냉매 유로(36)에 2차 냉각수를 통류시키도록 한다.
성막이 종료하여 플러싱 처리를 위해서 처리 가스 토출 기구(22)를 승온할 때에는, 히터(38)를 작동시키는 동시에, 에어 오퍼레이션 밸브(196) 및 에어 오퍼레이션 밸브(197)를 폐쇄하여 처리 가스 토출 기구(22)의 냉매 유로(36)로의 2차 냉각수의 유입을 정지시키고, 에어 오퍼레이션 밸브(200)를 개방하여 2차 냉각수를 바이패스 배관(199)으로 통류시킨다. 이 때에, 냉매 유로(36)에 잔류하고 있는 물은 히터(38)에 의한 가열에 의해 비등하고, 이로써 배관(201)에 마련된 압력 릴리프 밸브가 크래킹되어 냉매 유로(36)내의 물이 2차 냉각수 탱크(164)로 추출된다. 이에 의해, 냉매 유로(36)내의 물을 신속히 추출할 수 있어, 고효율의 가열을 실행하는 것이 가능해진다.
한편, 고온으로 가열된 처리 가스 토출 기구(22)를 강온시킬 때에는, 에어 오퍼레이션 밸브(200)를 개방한 상태로, 에어 오퍼레이션 밸브(196) 및 에어 오퍼레이션 밸브(197)를 개방한다. 에어 오퍼레이션 밸브(200)를 폐쇄하고 나서 에어 오퍼레이션 밸브(196) 및 에어 오퍼레이션 밸브(197)를 개방한 경우에는, 고온의 처리 가스 토출 기구(22)에 의해 2차 냉각수가 증기로 되고, 처리 가스 토출 기구(22)의 하류측의 제 1의 2차 냉각수 배관(162)에는 증기밖에 흐르지 않기 때문에, 유량계(192)가 작동하지 않고 오류로 되고, 또한 고온의 증기가 흐르기 때문에, 통상 이러한 종류의 배관으로서 다용되는 테플론(등록상표) 튜브의 사용이 어려워진다. 이에 반해, 이와 같이 에어 오퍼레이션 밸브(200)를 개방한 상태로 해 둠으로써 바이패스 배관(199)을 흐른 냉각수가 처리 가스 토출 기구(22)를 거쳐 흘러온 증기와 혼합하고, 처리 가스 토출 기구(22)의 하류측의 제 1의 2차냉각수 배관(162)으로는 60℃ 정도의 냉각수가 흐르게 되어, 이러한 불량은 생기지 않는다. 압력 게이지(198)의 압력이 안정, 즉 비등이 안정된 후, 에어 오퍼레이션 밸브(200)를 폐쇄하고, 냉각수 유로(36)로만 2차 냉각수를 흘린다. 이에 의해, 냉각수에 의해 효율적으로 처리 가스 토출 기구(22)를 강온시킬 수 있다. 또한, 비등이 안정되기까지의 시간은 미리 파악되어 있고, 그 정보에 기초하여 밸브 컨트롤러(203)에 의해 밸브가 제어된다.
다음에, 본 발명의 제 2 실시예에 대하여 설명한다.
본 실시예에서는, 상술한 환원 가스인 SiH4 가스를 공급하는 공정과, 성막 가스인 WF6 가스를 공급하는 공정을, 이것들의 사이에 불활성 가스를 공급하면서 진공 흡인하는 세정 공정을 개재시켜서, 교대로 반복 실행하고, 웨이퍼(W)의 표면에 초기 W막을 형성하는 기술(이하, Sequential Flow Deposition : SFD라 함)을 실시하는 장치에 대하여 설명한다.
상술한 바와 같이, SFD는 미세한 홀이라도 신생막을 높은 스텝 커버리지로 균일하게 형성할 수 있는 기술이지만, 종래 막 부착을 양호하게 하는 기술이기 때문에, 처리 가스 토출 기구 표면에 W가 성막되기 쉽고, 공정이 처리 가스 토출 기구(22)로 소비되기 때문에 특히 웨이퍼면간 재현성이 불량하고, 성막 속도도 저하된다.
SFD의 이러한 불량을 피하기 위한 하나의 효과적인 대책으로서, 처리 가스 토출 기구(22)의 온도를 30℃ 이하로 하강시키는 것을 들 수 있다. 그러나, 도 2에 나타낸 종전의 실시예의 샤워 플레이트(35)의 측벽에 마련된 냉매 유로(36)에 냉각수를 통류시키는 경우에는, 샤워 플레이트(35)의 중앙 부근의 온도는 저하하기 어렵고, 300㎜ 웨이퍼 대응의 장치의 경우, 도 20에 나타내는 바와 같이, 샤워 플레이트(35)의 중앙의 온도를 30℃까지 저하시키고자 하면, 계산상, 냉각수 온도를 -15℃로 하지 않으면 안되고, 극저온 냉각기의 도입이 필요하게 되어, 결로 대책 등으로 시스템적으로 비용 상승이 커진다. 본 실시예는 이러한 문제를 해결하는 것이다.
도 21은 본 발명의 제 2 실시예에 따른 CVD 장치의 본체의 처리 가스 토출 기구 부분을 나타내는 수직 단면도이고, 도 22는 도 21의 E-E 선에 의한 수평 단면도이다. 이 장치는 기본적으로는 제 1 실시예에 따른 CVD 장치와 같이 구성되어 있고, 처리 가스 토출 기구의 냉각 구조만이 상이하다. 따라서, 도 2와 동일한 것에는 동일한 부호를 붙여 설명을 간략화한다.
이러한 도면에 도시하는 바와 같이, 본 실시예에 있어서는, 샤워 플레이트(35')는, 제 1 및 제 2 가스 토출 구멍(46, 47)을 갖고 있는 점은 상기 실시예의 샤워 플레이트(35)와 동일하지만, 그 제 1 및 제 2 가스 토출 구멍(46, 47)이 형성된 가스 토출 구멍 형성 영역, 즉 샤워 플레이트의 하면 영역에 동심원 형상의 냉매 유로(210)(온도 제어 수단)가 형성되어 있는 점이 샤워 플레이트(35)와는 상이하다. 냉각수는, 도시하지 않은 배관으로부터 수직으로 연장되는 냉매 공급로(211)를 통해 냉매 유로(210)에 공급된다.
제 1 및 제 2 가스 토출 구멍(46, 47)은 방사상으로 형성되어 있고, 이러한 토출 구멍의 사이의 부분은 동심원 형상으로 되어 있기 때문에, 냉매 유로(210)는 그 형상에 대응하여 동심원 형상으로 되어 있다. 이 냉매 유로(210)는 샤워 플레이트(35')의 중심에서 가장 내측에 마련된 제 1 원형 유로(210a)와, 그 외측에 마련된 제 2 원형 유로(210b)와, 제 2 가스 토출 구멍(47)의 외측에 마련된 최외측의 제 3 원형 유로(210c)를 갖고 있다. 또한, 냉매 공급로(211)로부터 제 3 원형 유로(210c)로 냉각수를 도입하는 냉각수 도입로(212a) 및 제 3 원형 유로(210c)로부터 냉각수를 도시하지 않은 냉매 배출로로 유도하는 냉각수 배출로(212b)가 수평으로 병설되어 있다. 한편, 샤워 플레이트(35')의 가스 토출 구멍 형성 영역의 냉각수 도입·배출측과 반대측의 단부로부터 중앙을 향해 2개의 수평 유로(213a, 213b)가 병행하여 제 2 원형 유로(210b)까지 형성되어 있다. 또한, 제 2 원형 유로(210b)의 수평 유로(213a, 213b)로부터 다소 어긋난 위치로부터 2개의 수평 유로(214a, 214b)가 병행하여 제 1 원형 유로(210a)까지 형성되어 있다.
제 3 원형 유로(210c)에는, 냉각수 도입로(212a)와 냉각수 배출로(212b)의 사이의 부분 및 수평 유로(213a, 213b)의 사이의 부분에, 각각 핀(215 및 216)이 마련되어 있다. 또한, 제 2 원형 유로(210b)에는, 수평 유로(213a)와 수평 유로(214a) 사이의 부분 및 수평 유로(213b)와 수평 유로(214b) 사이의 부분에, 각각 핀(217, 218)이 마련되어 있다. 또한 제 1 원형 유로(210a)에는, 수평 유로(214a, 214b) 사이의 부분에 핀(219)이 마련되어 있다. 이러한 핀(215 내지 219)은 모두 유로를 폐쇄하도록 마련되어 있고, 이러한 핀에 의해 냉각수의 흐름이 규정된다. 즉, 냉각수 도입로(212a)로부터 제 3 원형 유로로 공급된 냉각수는, 수평 유로(213a) 및 수평 유로(214b)를 통해 제 1 원형 유로(210a)에 이르고, 제 1 원형 유로(210a)를 흐른다. 제 1 원형 유로(210a)를 흐른 냉각수는, 수평 유로(214a)를 통해 제 2 원형 유로(210b)에 이르고, 제 2 원형 유로(210b)를 흐른다. 제 2 원형 유로(210b)를 흐른 냉각수는 수평 유로(213b)를 통해 제 3 원형 유로(210c)에 이르고, 제 3 원형 유로(210c)를 통해 냉각수 배수로(212b)로부터 배출된다.
이러한 유로는 처리 가스 토출 기구(22)의 크기 및 가스 토출 구멍의 피치에 따라 적절히 설정된다. 본 실시예의 처리 가스 토출 기구에 있어서는, 예컨대 제 1 원형 유로(210a)는 그 중심 직경이 72㎜이고, 제 2 원형 유로(210b)는 그 중심 직경이 216㎜이며, 제 3 원형 유로(210c)의 중심 직경은 375.5㎜이다. 또한, 제 1 원형 유로(210a) 및 제 2 원형 유로(210b)의 단면 형상은 폭 3.5㎜, 높이 6㎜이고, 제 3 원형 유로(210c)의 단면 형상은 폭 11.5㎜, 높이 6㎜이다. 또한, 냉각수 도입로(212a) 및 냉각수 배출로(212b)의 단면 형상은 Φ7.5㎜이고, 수평 유로(213a, 213b)의 단면 형상은 Φ4.5㎜이며, 수평 유로(214a, 214b)의 단면 형상은 폭 3.5㎜, 높이 6㎜이다.
제 1 원형 유로(210a)는, 도 23a에 도시하는 바와 같이, 샤워 플레이트(35')에 상방으로부터 제 1 원형 유로(210a)에 대응하는 원환상의 홈을 형성한 후, 그것에 대응하는 커버(220)를 재치하여 용접함으로써 형성된다. 제 2 원형 유로(210b) 및 수평 유로(214a, 214b)도 동일하게 형성된다. 또한, 제 3 원형 유로(210c)는, 도 23b에 도시하는 바와 같이, 샤워 플레이트(35')에 하방으로부터 제 3 원형 유로(210c)에 대응하는 원환상의 홈을 형성한 후, 그것에 대응하는 커버(221)를 마련하여 용접함으로써 형성된다. 또한, 냉각수 도입로(212a), 냉각수 배출로(212b), 및 수평 유로(213a, 213b)는, 샤워 플레이트(35')의 가장자리 단부로부터 드릴에 의해 천공되어 형성된다.
다음에, 본 실시예의 동작에 대하여 설명한다.
우선, 제 1 실시예와 같이 웨이퍼(W)를 재치대(5)상에 재치하고, 클램프 링(10)으로 클램프하는 동시에, 처리 용기(2)내를 고진공 상태로 하면서, 가열실(90)내의 램프(86)에 의해 웨이퍼(W)를 소정의 온도로 가열한다.
이 상태에서, W막의 성막을 실시하는 것이지만, 그러한 성막의 사이, Ar 가스 공급원(53)으로부터 캐리어 가스인 Ar 가스가 소정의 유량으로 계속해서 흐르는 동시에, 배기 장치에 의해 진공 흡인이 계속된다. 또한, 캐리어 가스로는, Ar 대신에, N2 가스, He 가스 등의 다른 불활성 가스도 사용할 수 있다.
본 실시예의 W막 형성은, 예컨대 도 24와 같은 막 구조의 웨이퍼에 대하여 실행된다. 즉, Si 기판(231)상에 콘택트 홀(233)이 형성된 층간 절연막(232)이 마련되어 있고, 이 층간 절연막(232)상 및 이 층간 절연막(232)에 형성된 콘택트 홀의 내부에 Ti막(234) 및 TiN막(235)으로 이루어지는 배리어층(236)이 형성되어 있다. 그리고, 이 배리어층(236)상에 W막을 형성한다.
이 때의 W막 형성 처리는, 예컨대 도 25의 흐름에 따라 실행된다. 즉, SFD에 의해 초기 W막 형성 공정(ST1)을 실행한 후에, 메인 W막 형성 공정(ST2)을 실행한다. 초기 W막 형성 공정(ST1)에 있어서는, 환원 가스인 SiH4 가스 공급 공정과 원료 가스인 WF6 가스 공급 공정을 잔류 가스를 배기하는 세정 공정을 삽입하여 교대로 실행한다. 구체적으로는, 최초로 SiH4 가스 공급 공정(S1)을 실행하고, 세정 공정(S3)을 삽입하여 WF6 공급 공정(S2)을 실행하며, 이것을 복수회 반복한다. 그 리고, 초기 W막 형성 공정(ST1)의 최후로 SiH4 가스 공급 공정(S1) 및 세정 공정(S3)을 실행한다. 하나의 환원 가스 공급 공정(S1)으로부터 다음 환원 가스 공급 공정(S1)의 개시 전까지를 1 사이클로 하면, 이 예에서는 3 사이클을 실행하고 있지만, 반복 회수는 특별히 한정되지 않는다. 또한, 세정 공정은 캐리어 가스를 흘리지 않고 배기 장치에 의한 진공 흡인만을 실행하는 것으로 할 수도 있고, 경우에 따라서는 세정 공정을 생략할 수도 있다.
초기 W막 형성 공정(ST1)에 있어서, SiH4 가스 공급 공정(S1)은 SiH4 가스 공급원(56)으로부터 가스 라인(67)을 통과하고, 가스 도입구(41)로부터 제 1 가스 유로(30)를 거쳐, 처리 가스 토출 기구(22)의 제 1 토출 구멍(46)으로부터 토출된다. WF6 가스 공급 공정(S2)은 WF6 가스 공급원(52)으로부터 가스 라인(62)을 통과하고, 가스 도입구(43)로부터 제 1 가스 유로(30)를 거쳐, 처리 가스 토출 기구(22)의 제 1 토출 구멍(46)으로부터 토출된다. 이러한 공정의 사이에 실행되는 세정 공정(S3)은, SiH4 가스 및 WF6의 공급을 정지시키고, 배기 장치에 의해 배기하면서 Ar 가스 공급원(53)으로부터 가스 라인(63), 가스 도입구(43) 및 제 1 가스 유로(30)를 거쳐 제 1 가스 토출 구멍(46)으로부터 Ar 가스를 토출함으로써 실행된다.
초기 W막 형성 공정(ST1)에 있어서, 각 SiH4 가스 공급 공정(S1)의 시간(T1) 및 각 WF6 공급 공정(S2)의 시간(T2)은 모두 1초 내지 30초 사이가 적당하고, 바람직하게는 3초 내지 30초 사이이다. 또한, 세정 공정(S3)의 시간(T3)은 0초 내지 30초 사이가 적당하고, 바람직하게는 0초 내지 10초 사이이다. 또한, 이 초기 W막 형성 공정(ST1)에 있어서는, SiH4 가스나 WF6 가스의 유량은 상대적으로 소량으로 하고 그것들의 분압을 낮게 한다. 구체적으로는, 각 SiH4 가스 공급 공정(S1)에 있어서의 SiH4 가스의 유량은 0.01L/min 내지 1L/min, 또한 0.05L/min 내지 0.6L/min이 바람직하고, Ar 가스의 유량은 0.1L/min 내지 10L/min, 또한 0.5L/min 내지 6L/min이 바람직하다. 또한, 각 WF6 가스 공급공정(S2)에 있어서의 WF6 가스의 유량은 0.001L/min 내지 1L/min, 또한 0.01L/min 내지 0.6L/min이 바람직하고, Ar 가스의 유량은 0.1L/min 내지 10L/min, 또한 0.5L/min 내지 6L/min이 바람직하다.  또한, 이 때의 공정 압력은 133Pa 내지 26600㎩ 정도가 바람직하고, 또한 266Pa 내지 20000㎩가 바람직하다. 적절한 예로서, SiH4 가스 공급 공정(S1)에 있어서, 유량비 SiH4/Ar=0.09/3.9(L/min), 시간 T1=5초, 공정 압력=998㎩, WF6 가스 공급 공정(S2)에 있어서 유량비 WF6/Ar=0.03/3.9(L/min), 시간 T2=5초, 공정 압력=998㎩를 들 수 있다. 이 초기 W막 형성 공정(ST1)에 있어서의 공정 온도는 예컨대 200 내지 500℃, 바람직하게는 250 내지 450℃로 낮게 설정한다. 또한, 초기 W막 형성 공정(ST1)에 있어서, 1사이클의 막 두께는 0.1nm 내지 5㎚이 바람직하고, 0.3nm 내지 2㎚이 한층 더 바람직하다.
이와 같이, SiH4 가스 및 WF6 가스의 공급을 교대로 반복 실행함으로써, 하 기 (1)식에 나타내는 SiH4 환원 반응이 생기고, 도 26에 도시하는 바와 같이, 하지의 배리어층(236)상에 신생막으로서 기능하는 초기 W막(237)이 높은 스텝 커버리지로 균일하게 형성된다.
2WF6 + 3SiH4 → 2W + 3SiF4 + 6H2 ···(1)
이 경우에, 환원 가스인 SiH4 가스 및 W 함유 가스인 WF6 가스를 교대로 공급하기 때문에, 처리 가스 토출 기구(22)에서 이것들이 반응하여 처리 가스 토출 기구(22)에 성막될 우려가 있지만, 상술한 바와 같이, 샤워 플레이트(35')의 가스 토출 구멍 형성 영역에 동심원 형상의 냉매 유로(210)를 마련했기 때문에, 종전의 실시예보다도 처리 가스 토출 기구(22)의 냉각 효율이 높아져, 극저온 냉각기를 사용하지 않고 통상의 수돗물을 냉매로서 사용하여 샤워 플레이트(35')의 온도를 중앙부에서도 30℃ 이하로 할 수 있기 때문에, 이러한 반응을 효과적으로 억제할 수 있다. 예컨대, 냉매 유로의 배치 및 치수가 상술한 구체예의 경우에는, 25℃의 냉각수를 사용한 계산값이 도 27과 같이 되고, 샤워 플레이트(35')의 어느 위치도 30℃ 이하로 냉각 가능하다는 것을 알 수 있다.
초기 W 성막 공정(ST1)에 있어서, SiH4 가스 공급 공정(S1) 및 WF6 공급 공정(S2)에 있어서의 배기 경로를 공통으로 한 경우에는, 배기관내에서 SiH4 가스 및 WF6 가스가 반응하고, 반응 생성물이나 배관이나 트랩에 대량에 부착되어, 유지 보수 빈도가 높아진다는 불량이 있다. 이러한 경우는, 배관계를 2개로 나누고, 이들 배관에 밸브 및 배기 장치를 각각 마련하며, 밸브 조작에 의해 SiH4 가스 공급 공정(S1)시와, WF6 공급 공정(S2)시로 배관계를 나누면 무방하다. 예컨대, 하부 배기관(131)을 2개로 분기시키고, 각각에 밸브 및 배기 장치를 마련하면 무방하다.
메인 W막 형성 공정(ST2)은 초기 W막 형성 공정(ST1) 후, 세정 공정(S3)을 거쳐, 원료 가스인 W 함유 가스로서 WF6 가스를 사용하고, 환원 가스로서 H2 가스를 사용하여 실행된다. 이 때에, WF6 가스는 WF6 가스 공급원(52)으로부터 가스 라인(62)을 통해 가스 도입구(43)로부터 가스 도입부(23)에 이르고, 메인 H2 가스는 H2 가스 공급원(54)으로부터 가스 라인(65)을 통해 가스 도입구(41)로부터 가스 도입부(23)에 이른다. 그리고, 이러한 가스가 가스 도입부(23)로 혼합되고, 이 혼합 가스는 제 1 가스 유로(30)로부터 처리 가스 토출 기구(22)의 공간부(22a)에 도입되며, 또한 정류판(33)의 통과 구멍(34)을 통과하여, 공간부(22d)를 거쳐 제 1 가스 토출 구멍(46)으로부터 토출된다. 또한, 주변 H2 가스는 H2 가스 공급원(54)으로부터 가스 라인(64)을 통해 가스 도입구(42)로부터 가스 도입부(23)에 이르고, 제 2 가스 유로(44)로부터 처리 가스 토출 기구(22)의 공간부(22c)에 도입되며, 또한 공간부(22b)를 거쳐 제 2 가스 토출 구멍(47)으로부터 토출된다. 이 주변 H2 가스에 의해 종전의 실시예와 같이 웨이퍼(W)의 주연부에서 H2 가스가 부족함 없이 균일한 가스 공급을 실행할 수 있다. 이와 같이 WF6 가스 및 H2 가스를 공급함으로써, 웨이퍼(W)상에서 하기 (2)식에 나타내는 H2 환원 반응이 발생하고, 도 28에 도시하는 바와 같이 신생막으로서 기능하는 초기 W막(237)상에 메인 W막(238)이 형성된다.
WF6 + 3H2 → W + 6HF ···(2)
메인 W막 형성 공정(ST2)의 시간은, 형성하고자 하는 W막의 막 두께에 따른다. 이 공정에서는 WF6 가스 유량 및 H2 가스 유량을 모두 상대적으로 많게 하고, 또한 처리 용기(2)내의 압력 및 공정 온도도 조금 올려서 성막 속도를 크게 한다. 구체적으로는, 볼케이노의 발생을 피하는 정도 이상의 스텝 커버리지 및 성막 속도를 얻기 위해서, WF6 가스의 유량은 0.001L/min 내지 1L/min, 또한 0.01L/min 내지 0.6L/min이 바람직하고, H2 가스의 유량은 0.1L/min 내지 10L/min, 또한 0.5L/min 내지 6L/min이 바람직하고, Ar 가스의 유량은 0.01L/min 내지 5L/min, 또한 0.1L/min 내지 2L/min이 바람직하고, N2 가스의 유량은 0.01L/min 내지 5L/min, 또한 0.1L/min 내지 2L/min이 바람직하다. 또한, 이 때의 처리 용기내의 공정 압력은 2660Pa 내지 26600㎩의 범위내인 것이 바람직하다. 또한, 공정 온도는 예컨대 300℃ 내지 500℃, 바람직하게는 350℃ 내지 450℃이다. 또한, WF6 가스의 가스 분압에 관해서는, 스텝 커버리지를 어느 정도 높게 하기 위해서 53㎩ 이상이 바람직하다. 한편, 볼케이노의 발생을 피하는 관점에서는, 처리 용기내의 공정 압력이 5300㎩ 이하인 때에는 266㎩ 이하가 바람직하다. 또한, 가스비(WF6/H2)는 스텝 커버리지를 어느 정도 높게 하고, 볼케이노를 피하는 관점에서 0.01 내지 1이 바람직 하고, 더 바람직하게는 0.1 내지 0.5이다.
상기 초기 W막 형성 공정(ST1) 대신에 그것보다도 가스 분압과 공급 시간의 합의 값이 큰 SiH4 가스 공급 공정을 실행함으로써, 웨이퍼(W)의 표면에 대하여 상술한 개시 처리가 실행되는 것과 동일한 상태로 되고, 도 29에 도시하는 바와 같이, 웨이퍼(W)의 배리어층(236) 표면에 SiHx로 표시되는 반응 중간체(239)가 부착된다. 따라서, 이 위에 상기 초기 W막(237)을 두께 균일성이 더욱 양호하게 형성하는 것이 가능해진다. 또한, 배리어막(236)은 CVD 또는 PVD로 형성된다.
또한, 초기 W막 형성 공정(ST1)과 메인 W막 형성 공정(ST2)의 사이에, 패시베이션 W막 형성 공정을 개재시킴으로써, 도 30에 도시하는 바와 같이, 초기 W막(237)상에 패시베이션 W막(240)이 형성되고, 이 막의 패시베이션 기능에 의해 메인 W막(238)을 형성할 때의 WF6중으로의 F의 확산에 의한 Ti막의 손상이 억제되고, 한층 더 매립 특성을 개선하는 것이 가능해진다. 패시베이션 W막 형성 공정은 메인 W막 형성 공정(ST2)과 같은 가스를 사용하지만, W 함유 가스인 WF6 가스의 유량비를 메인 W막 형성 공정(ST2)보다도 작게 설정한다.
메인 W막 형성 공정(ST2)을 종료한 후, WF6 가스의 공급을 정지시키고, Ar 가스, H2 가스의 공급을 유지한 상태에서, 도시하지 않은 배기 장치에 의해 처리 용기(2)내를 급속히 감압하고, 본 성막 종료 후에 잔류한 처리 가스를 처리 용기(2)로부터 일소한다. 이어서, 모든 가스의 공급을 정지한 상태에서 감압을 계속하여 처리 용기(2)내를 고진공도 상태로 한 후, 리트프 핀(12) 및 클램프 링(10)을 상승시켜서 웨이퍼(W)를 위치까지 상승시키고, 리프트 핀(12)상의 웨이퍼(W)를 반송 아암으로 수취하며, 처리 용기(2)로부터 웨이퍼(W)를 취출하여 성막 동작을 종료한다. 또한, 웨이퍼(W)를 취출한 후에는, 필요에 따라 ClF3 가스 라인(61)으로부터 ClF3 가스를 처리 용기(2)내에 공급함으로써 처리 용기(2)내의 클리닝을 실행한다. 또한, 필요에 따라 상술한 플러싱 처리를 실행한다.
또한, 냉매 유로의 원의 수는 3개로 한정하지 않고 그것보다도 많거나 적어도 무방하다. 또한, 복수의 가스 토출 구멍의 사이의 부분의 형상에 대응하여 형성되는 것이고, 반드시 동심원 형상이 아니어도 무방하다. 예컨대, 가스 토출 구멍(46)이 격자상으로 배열되어 있는 경우에는, 이것들 사이의 부분의 형상도 격자상이기 때문에, 도 31에 도시하는 바와 같이, 직선 형상의 냉매 유로(250a, 250b)를 형성할 수도 있다. 또한, 냉매 유로는 지그재그 형상, 나선 형상 등, 다른 형상일 수도 있다. 또한, 부호(251a, 251b)는 냉매 도입부이고, 부호(252a, 252b)는 냉매 배출부이다. 또한, 본 실시예의 냉매 유로는, 상술한 바와 같이 SFD의 경우에 한정하지 않고, 통상의 성막 처리의 경우라도 적용 가능하며, 종전의 실시예의 장치에도 채용할 수 있다.
다음에, 본 발명의 제 3 실시예에 대하여 설명한다.
본 실시예도 초기 W막 성막 공정에 있어서 SFD를 실행하는 장치에 대한 것이지만, 본 실시예에서는 초기 W막 형성 공정에서의 SiH4 가스 및 WF6 가스의 공급 경로를 분리함으로써, 처리 가스 토출 기구내에서의 이들의 반응을 억제하는 것이다.
도 32는 본 실시예에 따른 CVD 장치의 본체를 나타내는 단면도이다. 이 장치는 기본적으로는 도 2에 도시하는 제 1 실시예에 따른 CVD 장치와 같이 구성되어 있고, 가스 공급 기구만이 상이하다. 따라서, 도 2와 동일한 것에는 동일한 부호를 붙여 설명을 간략화한다.
가스 공급 기구(260)는 클리닝 가스로서의 ClF3 가스를 공급하는 ClF3 가스 공급원(261), 성막 원료로서의 W 함유 가스인 WF6 가스를 공급하는 WF6 가스 공급원(262), 캐리어 가스 및 세정 가스로서의 Ar를 공급하는 제 1 Ar 가스 공급원(263), 환원 가스로서의 SiH4 가스를 공급하는 SiH4 가스 공급원(264), 제 2 Ar 가스 공급원(265), 환원 가스로서의 H2 가스를 공급하는 H2 가스 공급원(266), 제 3 Ar 가스 공급원(267), N2 가스 공급원(268)을 갖고 있다.
ClF3 가스 공급원(261)에는 가스 라인(269)이 접속되고, WF6 가스 공급원(262)에는 가스 라인(270)이 접속되며, 제 1 Ar 가스 공급원(263)에는 가스 라인(271)이 접속되어 있다. 가스 라인(269, 270)은 가스 도입부(23)에 마련된 가스 도입구(43)에 접속되어 있고, 제 1 Ar 가스 공급원(263)으로부터의 가스 라인(271)은 가스 라인(270)에 접속되어 있다. 이러한 가스 공급원(261, 262, 263)으로부터의 가스는, 가스 도입구(43)로부터 가스 도입부(23)내의 소정의 경로를 통해 제 1 가스 유로(30)로부터 공간부(22a)로 도입되고, 또한 정류판(33)의 가스 통과 구멍(34)을 통과하며 공간부(22d)에 이르러 제 1 가스 토출 구멍(46)으로부터 토출된다.
SiH4 가스 공급원(264)에는 가스 라인(272)이 접속되고, 제 2 Ar 가스 공급원(265)에는 가스 라인(273)이 접속되어 있다. 가스 라인(272)은 가스 도입부(23)에 마련된 가스 도입구(42)에 접속되고, 또한 가스 라인(272)으로부터 분기된 분기 라인(272a)은 가스 라인(275)에 접속되며, 이 가스 라인(275)을 거쳐 가스 도입구(41)에 접속되어 있다. 또한, 제 2 Ar 가스 공급원(265)으로부터의 가스 라인(273)은 가스 라인(272)에 접속되어 있다. 이러한 가스 공급원(264, 265)으로부터의 가스는 가스 도입구(42)로부터 제 2 가스 유로(44)를 통해 공간부(22c)에 도입되고, 또한 공간부(22b)를 통해 제 2 가스 토출 구멍(47)으로부터 토출된다.
H2 가스 공급원(266)에는 가스 라인(274) 및 가스 라인(275)이 접속되고, 제 3 Ar 가스 공급원(267)에는 가스 라인(276)이 접속되어 있다. 또한, N2 가스 공급원(268)에는 가스 라인(277)이 접속되어 있다. 가스 라인(274)은 상기 가스 도입구(42)에 접속되어 있고, 가스 라인(275)은 가스 도입부(23)에 마련된 가스 도입구(41)에 접속되어 있고, 제 3 Ar 가스 공급원(267)으로부터의 가스 라인(276) 및 N2 가스 공급원(268)으로부터의 가스 라인(277)은 가스 라인(275)에 접속되어 있다. 이러한 가스 공급원(266, 267, 268)으로부터 가스 라인(275, 276, 277)에 공급된 가스는 가스 도입구(41)로부터 가스 도입부(23)내의 소정의 경로를 통해 제 1 가스 유로(30)로부터 공간부(22a)로 도입되고, 또한 정류판(33)의 가스 통과 구멍(34)을 통과하여 공간부(22d)에 이르며 제 1 가스 토출 구멍(46)으로부터 토출된다. 한편, 가스 라인(274)을 거쳐 가스 도입구(42)에 공급되는 H2 가스는 샤워 플레이트(35)의 외측 가장자리부에 마련된 제 2 가스 토출 구멍(47)으로부터 토출되어, 메인 W막 성막시의 웨이퍼 주변의 H2 가스를 보충하는 것이 가능하다.
또한, 이러한 가스 라인(269, 270, 271, 272, 273, 274, 275, 276, 277)에는, 각각 질량 유량 제어기(278)와 그 전후의 개폐 밸브(279, 280)가 마련되어 있다. 또한, 가스 공급 기구(260)의 밸브 등에 의한 가스 공급의 제어는 제어 장치(290)에 의해 실행된다.
다음에, 본 실시예의 동작에 대하여 설명한다.
우선, 제 2 실시예와 같이 웨이퍼(W)를 재치대(5)상에 재치하여, 클램프 링(10)으로 클램프하는 동시에, 처리 용기(2)내를 고진공 상태로 하면서, 가열실(90)내의 램프(86)에 의해 웨이퍼(W)를 소정의 온도로 가열한다.
이 상태에서, W막의 성막을 실시하는 것이지만, 그러한 성막 사이, 제 1 및 제 2 실시예와 같이, Ar 가스 공급원(53)으로부터 캐리어 가스인 Ar 가스가 소정의 유량으로 계속해서 흐르는 동시에, 배기 장치에 의해 진공 흡인이 계속된다. 또한, 캐리어 가스로는 Ar 대신에 N2 가스, He 가스 등의 다른 불활성 가스도 사용할 수 있다
본 실시예의 W막 형성은, 제 2 실시예와 같이 예컨대 도 24와 같은 막 구조의 웨이퍼에 대하여, 예컨대 도 25의 흐름을 따라 실행된다. 즉, SFD에 의해 초기 W막 형성 공정(ST1)을 실행한 후에, 메인 W막 형성 공정(ST2)을 실행한다. 또한, 제 2 실시예와 같이 초기 W막 형성 공정(ST1)의 반복 회수는 특별히 한정되지 않고, 또한 세정 공정은 캐리어 가스를 흘리지 않고 배기 장치에 의한 진공 흡인만을 실행하는 것으로 할 수도 있고, 경우에 따라서는 세정 공정을 생략할 수도 있다.
초기 W막 형성 공정(ST1)에 있어서, SiH4 가스 공급 공정(S1)은 도 33a에 모식적으로 도시하는 바와 같이, 제 1 SiH4 가스 공급원(264)으로부터 가스 라인(272)을 통해, 제 2 가스 유로(44)로부터 처리 가스 토출 기구(22)의 공간부(22c)에 도입되고, 또한 공간부(22b)를 통해 샤워 플레이트(35)의 외주부에 마련된 제 2 가스 토출 구멍(47)으로부터 토출된다. 또한, SiH4 가스는 제 2 Ar 가스 공급원(265)으로부터 가스 라인(273)을 통해 공급되는 Ar 가스에 의해 운반된다. 한편, WF6 가스 공급 공정(S2)은, 도 33b에 모식적으로 도시하는 바와 같이, WF6 가스 공급원(262)으로부터 가스 라인(270)을 통해 제 1 가스 유로(30)로부터 처리 가스 토출 기구(22)의 공간부(22a)에 도입되고, 정류판(33)의 가스 통과 구멍(34) 및 공간부(22d)를 통해 제 1 가스 토출 구멍(46)으로부터 토출된다. 또한, WF6 가스는 제 1 Ar 가스 공급원(263)으로부터 가스 라인(271)을 통해 공급되는 Ar 가스에 의해 운반된다. 이러한 공정의 사이에 실행되는 세정 공정(S3)은 SiH4 가스 및 WF6의 공급을 정지시키고, 배기 장치에 의해 배기하면서 Ar 가스를 공급함으로써 실행된다. 또한, 도 33a 및 도 33b는 편의상 가스 도입부(23)를 생략하고 있다.
이와 같이 본 실시예에서는 초기 W막 형성 공정(ST1)에 있어서의 SiH4 가스의 경로가 제 2 실시예와 상이하지만, 가스 유량, 가스 공급 시간 등의 다른 조건은 제 2 실시예와 같이 실행된다.
본 실시예에 있어서도, SiH4 가스 및 WF6 가스의 공급을 교대로 반복함으로써, 상기 (1)식에 나타내는 SiH4 환원 반응이 발생하고, 상기 도 26에 도시하는 바와 같이, 하지의 배리어층(236)상에 신생막으로서 기능하는 초기 W막(237)이 높은 스텝 커버리지로 균일하게 형성된다. 예컨대, 홀의 종횡비가 5 이상, 보다 바람직하게는 10 이상이어도 높은 스텝 커버리지로 균일하게 형성된다.
이 경우에, 환원 가스인 SiH4 가스 및 W 함유 가스인 WF6 가스를 교대로 공급하여 초기 W막을 형성하는 것에 있어서, 상술한 바와 같이 SiH4 가스 및 WF6 가스를 처리 가스 토출 기구(22)내에서 서로 격리된 가스 공급 경로를 거쳐 공급하기 때문에, 처리 가스 토출 기구(22)내에서 SiH4 가스 및 WF6 가스가 접촉하지 않고, 따라서, 처리 가스 토출 기구(22)를 30℃ 이하로 냉각하지 않고 통상의 냉각으로 처리 가스 토출 기구(22)내에서 소망하지 않는 W막이 형성되는 것이 방지된다.
또한, 초기 W막 형성 공정(ST1)을 실행한 후의 메인 W막 형성 공정(ST2)은 원료 가스인 W 함유 가스로서 WF6 가스를 사용하고, 환원 가스로서 H2 가스를 사용하여 직전의 실시예와 같이 실행된다.
다음에, 초기 W막 형성 공정(ST1)에 있어서 SiH4 가스 및 WF6 가스를 처리 가스 토출 기구(22)내에서 서로 격리된 가스 공급 경로를 거쳐 공급할 수 있는 처리 가스 토출 기구의 다른 예에 대하여 설명한다. 도 34는 본 실시예의 처리 가스 토출 기구의 다른 예를 나타내는 개략 단면도이고, 도 35는 도 34의 F-F 선에 의한 수평 단면도이다. 도 34 및 도 35중 도 32와 동일한 것에는 동일한 부호를 붙여 설명을 간략화한다.
처리 가스 토출 기구(322)는 그 외측 가장자리가 리드(3) 상부와 결합하도록 형성된 통 형상의 샤워 베이스(339)와, 이 샤워 베이스(339)의 상단을 피복하도록 마련되고, 상부 중앙에 가스 도입부(23)가 마련된 원반 형상의 가스 도입판(329)과, 샤워 베이스(339)의 하부에 부착된 샤워 플레이트(335)를 갖고 있다.
상기 가스 도입판(329)에는, 가스 도입부(23)를 거쳐 소정의 가스를 처리 가스 토출 기구(322)내로 도입하기 위한 제 1 가스 도입 구멍(330)이 그 중앙에 마련되고, 이 제 1 가스 유로(330)의 주위에 상기 제 1 가스 유로(330)와는 별개의 가스를 가스 도입부(23)를 거쳐 처리 가스 토출 기구(322)내로 유도하기 위한 복수의 제 2 가스 유로(344)가 마련되어 있다.
샤워 베이스(339), 가스 도입판(329) 및 샤워 플레이트(335)로 둘러싸인 처리 가스 토출 기구(322)내의 공간에는 가스 도입판(329)의 바로 아래에 수평으로 배치된 대략 원환상의 수평 격벽(331)이 마련되어 있다. 수평 격벽(331)의 내주 부분에는 상방에 통 형상으로 돌출된 돌출부(331a)가 형성되어 있고, 이 돌출부(331a)는 가스 도입판(329)에 접속되어 있다.
상기 수평 격벽(331)의 외측 가장자리부와 샤워 플레이트(335)의 사이에는 통 형상의 수직 격벽(332)이 마련되어 있다. 또한, 격벽(332)의 내부 공간의 샤워 플레이트(335)의 상방 위치에는 그 면을 수평으로 하여 정류판(333)이 배치되어 있다. 이 정류판(333)에는 복수의 가스 통과 구멍(334)이 형성되어 있다.
따라서, 처리 가스 토출 기구(322)의 내부 공간은, 수평 격벽(331)과 정류판(333) 사이의 공간부(322a), 가스 도입판(329)과 수평 격벽(331)의 사이의 공간부(322c), 샤워 베이스(339)와 수직 격벽(332)의 사이의 환상의 공간부(322b), 정류판(333)과 샤워 플레이트(335)의 사이의 공간부(322d)로 구획되어 있다. 이들중 공간부(322b)와 공간부(322c)는 연결되어 있다. 또한, 가스 도입판(329)의 제 1 가스 도입 구멍(330)은 상기 공간부(322a)와 연통하고 있고, 제 2 가스 유로(344)는 공간부(322c)에 연통하고 있다. 단, 공간부(322c)와 공간부(322a)의 사이는 수평 격벽(331)과 돌출부(331a)에 의해 격리되어 있고, 또한 공간부(322b)와 공간부(322a) 사이 및 공간부(322b)와 공간부(322d) 사이는 수직 격벽(332)으로 격리되어 있다.
상기 샤워 플레이트(335)는 상부 플레이트(335a)와 하부 플레이트(335b)의 상하 2층 구조로 되어 있다. 도 35에 도시하는 바와 같이, 상부 플레이트(335a)의 내부에는 복수의 원기둥부(353)를 수직으로 남긴 상태에서 그 전체에 걸쳐 공간부(351)가 형성되어 있다. 수직 격벽(332)에는 복수의 연통로(352)가 형성되어 있고, 이들 연통로(352)에 의해 공간부(322b)와 공간부(351)가 연통하고 있다. 복수의 원주부(353)에는 그 중심에 수직으로 가스 통류 구멍(354)이 형성되어 있고, 이 가스 통류 구멍(354)은 공간부(322d)에 도달한 가스를 하방으로 유도하도록 되어 있다. 하부 플레이트(335b)에는, 복수의 제 1 가스 토출 구멍(346)과 복수의 제 2 가스 토출 구멍(347)이 수직으로 또한 매트릭스 형태로 형성되어 있다. 복수의 제 1 가스 토출 구멍(346)은 상부 플레이트(335a)의 복수의 가스 통류 구멍(354)에 각각 연통하고 있고, 복수의 제 2 가스 토출 구멍(347)은 공간부(351)에 대응하는 위치에 마련되어 있다. 그리고, 제 1 가스 도입 구멍(330)으로부터 도입된 가스는 공간부(322a), 가스 통과 구멍(334), 공간부(322d), 가스 통류 구멍(354)을 통해 제 1 가스 토출 구멍(346)으로부터 토출되고, 제 2 가스 유로(344)로부터 도입된 가스는 공간부(322c, 322b) 및 연통로(352)를 통해 공간부(351)에 이르러 제 2 가스 토출 구멍(347)으로부터 토출된다. 따라서, 처리 가스 토출 기구(322)는 제 1 가스 유로(330), 공간부(322a), 가스 통과 구멍(334), 공간부(322d)로 이루어지는 제 1 가스 공급 경로와, 제 2 가스 유로(344), 공간부(322c, 322b), 원환상 공간부(351)로 이루어지는 제 2 가스 공급 경로로 된, 서로 격리된 가스 공급 경로를 통해 가스를 토출하는 제 1 및 제 2 가스 토출 구멍(346, 347)을 갖는 매트릭스 샤워를 구성하고 있다.
이러한 구조의 처리 가스 토출 기구에 있어서도, W 함유 가스인 WF6 가스를 제 1 가스 공급 경로를 통해 제 1 가스 토출 구멍(346)으로부터 토출시키고, 환원 가스인 SiH4 가스를 제 1 가스 공급 경로로부터는 완전히 격리된 제 2 가스 공급 경로를 통해 제 2 가스 토출 구멍(347)으로부터 토출시키기 때문에, 처리 가스 토출 기구(322)내에서의 이러한 반응을 방지할 수 있고, 처리 가스 토출 기구(322)의 내부로 원치 않는 W막이 부착되는 것을 방지할 수 있다. 또한, 이러한 매트릭스 샤워는 SiH4 가스를 공간(322b), 연통로(352)를 통해 공간부(351)내로 확산함으로써 처리 용기(2)내에 균일하게 공급할 수 있다.
또한, 본 실시예에 있어서는, 환원 가스인 SiH4 가스 및 W 함유 가스인 WF6 가스의 공급 경로를 달리하여 이것들을 격리한 상태에서 토출하도록 했기 때문에, 샤워 플레이트의 온도는 반드시 30℃ 이하로 할 필요는 없다. TiFx를 포함하는 반응 부생성물이 처리 가스 토출 기구에 부착되는 것을 방지하는 관점에서는, 예컨대 80℃ 이상, 바람직하게는 100℃ 이상으로 실행할 수도 있다. 또한, 가스 토출 구멍 형성 영역에 냉매 유로를 마련한 도 21 및 도 22에 도시한 바와 같은 샤워 플레이트를 사용하여 샤워 플레이트 온도를 30℃ 이하로 하는 것보다 확실하게 처리 가스 토출 기구로의 성막을 방지할 수 있다. 또한, 초기 W막 형성시에 환원 가스로서 SiH4 가스를 사용했지만, 이에 한정하지 않고, H2 가스, SiH4 가스, Si2H6 가스, SiC14 가스, SiH2C12 가스, SiHC13 가스, B2H6 가스, PH4 가스 중 적어도 1종을 사용할 수 있다. 또한, W막 형성용의 W 함유 가스로는 WF6에 한정하지 않고, 유기계의 W 함유 가스를 사용할 수도 있다. 또한, 처리 가스 토출 기구의 구조로서, 중앙부와 주연부로 가스 유로를 나눈 구조 및 매트릭스 구조를 예로 들어 설명했지만, 이에 한정하는 것이 아니다.
또한, 본 발명은 상기 실시예에 한정되지 않고 각종 변형이 가능하다. 예컨대, 상기 실시예에서는, 제 2 가스 토출 구멍(47)으로서 수직 및 내측을 향해 경사지게 한 예를 개시했지만, 외측을 향해 비스듬히 마련할 수도 있다. 또한, 상기 실시예에서는 본 발명을 W의 CVD 성막에 적용한 경우에 대하여 나타냈지만, 이에 한정하지 않고 본 발명을 W와 같이 H2 가스를 사용하는 Ti 등의 CVD 성막에도 적용하는 것도 가능하고, 또한 H2 가스를 사용하는 에칭 처리 등에 적용하는 것도 가능하다. 또한, 본 발명은 H2 가스 등과 같이 확산 속도가 높은 가스와, WF6 등과 같이 확산 속도가 낮은 가스를 사용한 가스 처리에 적용하는 경우에 효과가 높지만, 이에 한정하지 않고 단일의 가스로 처리를 하는 경우나, 사용하는 가스의 확산 속도에 큰 차이가 없는 경우에도 본 발명을 적용함으로써, 웨이퍼(W)의 주변측에서의 가스 농도의 저하를 방지할 수 있다. 또한, 피처리 기판은 웨이퍼에 한정되지 않고, 다른 기판일 수도 있다.
이상 설명한 바와 같이, 본 발명에 의하면, 처리 가스 토출 기구는 재치대에 재치된 피처리 기판에 대응하여 마련된 제 1 가스 토출부와, 상기 제 1 가스 토출부와는 별개로 상기 제 1 가스 토출부의 주위에 마련되고 상기 재치대상의 피처리 기판의 주변부에 처리 가스를 토출하는 제 2 가스 토출부를 갖기 때문에, 상기 제 1 가스 토출부로부터 처리 가스를 토출하는 동시에, 상기 제 2 가스 토출부로부터 처리 가스를 토출함으로써, 상기 피처리 기판의 주변부에서 처리 가스의 농도가 낮아지는 것을 방지할 수 있고, 피처리 기판에 면내 균일한 가스 처리를 실시할 수 있다.
또한, 본 발명에 의하면, 가스 토출부와 베이스부의 사이에 공간층을 형성하기 때문에 이것이 단열층으로서 기능하고, 가스 토출부의 히터로부터의 열의 산일을 억제할 수 있으며, 가스 토출 기구를 가열할 때에 고효율로 가열할 수 있다.
또한, 본 발명에 의하면, 가스 토출부와 베이스부 사이의 상대 이동을 허용하도록 이들을 체결하기 때문에, 히터에 의해 가스 토출부가 가열되어 열 팽창이 발생하여도, 베이스 부재와의 사이에 상대 이동이 발생하여 가스 토출부 및 베이스 부재에 거의 변형이 생기지 않고, 가스 토출부의 열 팽창의 영향을 저감할 수 있다.
또한, 본 발명에 의하면, 가스 토출 기구의 가스 토출 부분의 온도를 낮게 유지할 필요가 있는 제 1 처리 가스 및 제 2 처리 가스를 교대로 공급하여 성막하는 장치에 있어서, 냉매 유로를 가스 토출 플레이트의 가스 토출 구멍 형성 영역에 마련하도록 했기 때문에, 피처리 기판의 대형화에 수반하여 가스 토출 기구가 대형화해도, 극저온 냉각기 등의 특별한 설비를 사용하지 않고 냉각수 등의 통상의 냉매로 가스 토출 부분을 필요한 온도로 냉각하는 것이 가능해진다.
또한, 본 발명에 의하면, 제 1 처리 가스 및 제 2 처리 가스를 교대로 공급하여 성막할 때에, 가스 토출 부재내의 서로 격리된 가스 토출 경로를 거쳐 처리 용기내로 공급하기 때문에, 가스 토출 부재내에서의 제 1 처리 가스와 제 2 처리 가스가 접촉하지 않고, 따라서 가스 토출 부재내에서의 원치 않는 성막을 특별한 냉각을 실시하지 않고 방지하는 것이 가능해진다.

Claims (58)

  1. 삭제
  2. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 복수의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구는,
    상기 피처리 기판에 대응해서 마련되어 상기 처리 가스를 상기 처리 용기 내에 토출하는 제 1 가스 토출부와,
    상기 제 1 가스 토출부와는 별개로, 상기 제 1 가스 토출부의 주위에 마련되어, 상기 탑재대상의 상기 피처리 기판의 외주부에 외측으로부터 내측을 향하여 비스듬하게 형성하여 상기 처리 가스를 상기 피처리 기판의 외주부에 토출하는 제 2 가스토출부를 갖는 것을 특징으로 하는
    가스 처리 장치.
  3. 삭제
  4. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제 1 및 제 2 가스 토출 구멍을 가지는 샤워 플레이트와,
    상기 제 1 가스 토출 구멍과 연통하여 형성되어, 상기 제 1 처리 가스를 도입하는 제 1 공간과,
    상기 제 1 공간과 구획되고, 상기 제 2 가스 토출 구멍과 연통하여 형성되어 상기 제 2 처리 가스를 도입하는 제 2 공간과,
    상기 처리 가스 토출 기구를 가열 냉각하는 온도 제어 수단과,
    상기 샤워 플레이트와 상기 샤워 베이스부를 밀봉 링으로 밀봉하고, 또 상기 샤워 플레이트와 상기 샤워 베이스부의 사이에 형성되는 간극이 형성되고;
    상기 간극에는, 상기 샤워 플레이트와 상기 베이스부의 사이의 상대 이동을 허용하도록, 상기 가스 토출부와 상기 베이스부 사이를 체결하는 체결 기구를 더 마련하고,
    상기 제 1 가스 토출 구멍은, 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제 2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리 기판상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고;
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이상으로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  5. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제 1 및 제 2 가스 토출 구멍을 가지는 샤워 플레이트와,
    상기 제 1 가스 토출 구멍과 연통하여 형성되어, 상기 제 1 처리 가스를 도입하는 제 1 공간과,
    상기 제 1 공간과 구획되고, 상기 제 2 가스 토출 구멍과 연통하여 형성되어 상기 제 2 처리 가스를 도입하는 제 2 공간과,
    상기 처리 가스 토출 기구를 가열 냉각하는 온도 제어 수단과,
    상기 샤워 플레이트와 상기 샤워 베이스부를 밀봉 링으로 밀봉하고, 또 상기 샤워 플레이트와 상기 샤워 베이스부의 사이에 형성되는 간극이 형성되고;
    상기 간극에는, 상기 샤워 플레이트와 상기 베이스부의 사이의 상대 이동을 허용하도록, 상기 가스 토출부와 상기 베이스부 사이를 체결하는 체결 기구를 더 마련하고,
    상기 제 1 가스 토출 구멍은, 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제 2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리 기판상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고;
    상기 온도 제어 수단에 의해 상기 샤워 플레이트의 온도를 30℃ 이하로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제 1 및 제 2 가스 토출 구멍을 가지는 샤워 플레이트와,
    상기 제 1 가스 토출 구멍과 연통하여 형성되어, 상기 제 1 처리 가스를 공급하는 제 1 공간과,
    상기 제 1 공간과 구획되고, 상기 제 2 가스 토출 구멍과 연통하여 형성되어 상기 제 2 처리 가스를 공급하는 제 2 공간을 구비하며;
    상기 가스 토출 기구는,
    상기 샤워 플레이트를 가열하는 히터와,
    상기 샤워 플레이트를 냉각하는 냉각 수단을 구비하고, 상기 냉각 수단은 상기 가스 토출 기구의 외주부에 마련되어 냉매를 도입하는 냉매 공급로와, 상기 가스 토출 기구의 외주부에 마련되어 냉매를 배출하는 냉매 배출로와, 상기 냉매 공급로와 상기 냉매 배출로를 연통하는 냉매 유로를 구비하는 온도 제어 수단을 더 구비하고,
    상기 제 1 가스 토출 구멍은, 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제 2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리 기판상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고;
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이상으로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  10. 삭제
  11. 삭제
  12. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제 1 및 제 2 가스 토출 구멍을 가지는 샤워 플레이트와,
    상기 제 1 가스 토출 구멍과 연통하여 형성되어, 상기 제 1 처리 가스를 도입하는 제 1 공간과,
    상기 제 1 공간과 구획하여 형성되어, 상기 제 2 가스 토출 구멍과 연통하여 상기 제 2 처리 가스를 공급하는 제 2 공간을 구비하며;
    상기 가스 토출 기구는,
    상기 샤워 플레이트를 가열하는 히터와,
    상기 샤워 플레이트를 냉각하는 냉각 수단을 구비하고, 상기 냉각 수단은 상기 가스 토출 기구의 외주부에 마련되어 냉매를 도입하는 냉매 공급로와, 상기 가스 토출 기구의 외주부에 마련되어 냉매를 배출하는 냉매 배출로와, 상기 냉매 공급로와 상기 냉매 배출로를 연통하는 냉매 유로를 더 구비하고,
    상기 제 1 가스 토출 구멍은, 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제 2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리 기판상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고;
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이하로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  13. 삭제
  14. 삭제
  15. 삭제
  16. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되어, 상기 처리 가스를 상기 처리 용기내에 공급하는 제1 및 제2 가스 토출 구멍을 갖는 샤워 플레이트와,
    상기 제1 가스 토출 구멍과 연통하여 형성되어, 상기 제1의 처리 가스가 도입되는 제 1 공간과,
    상기 제1 공간과 구획하여 형성되어, 상기 제2 가스 토출 구멍과 연통하고 상기 제2 처리 가스가 도입되는 제 2 공간을 구비하고,
    상기 가스 토출 기구는, 상기 샤워 플레이트를 냉각하는 냉각 수단을 구비하고, 상기 냉각 수단은 상기 가스 토출 기구의 외주부에 마련되어 냉매를 도입하는 냉매 공급로와, 상기 가스 토출 기구의 외주부에 마련되어 냉매를 배출하는 냉매 배출로와, 상기 냉매 공급로와 상기 냉매 배출로를 연통하는 냉매 유로와,
    상기 처리 가스 토출 기구내에 배치된 냉매 유로의 전후에 마련된 냉매 통류 배관과,
    상기 처리 가스 토출 기구의 전후에서, 상기 처리 가스 토출 기구를 바이패스하여 상기 냉매 통류 배관에 접속된 바이패스 배관과,
    상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와,
    상기 냉매의 통류 경로를 규정하는 밸브 그룹과,
    상기 밸브 그룹을 제어하는 제어 수단과,
    상기 처리 가스 토출 기구를 승온하는 히터를 더 포함하며;
    상기 제어 수단은,
    상기 가스 토출 기구를 제어할 때에는, 상기 냉매를 상기 냉매 통류로에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온할 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지하고, 냉매를 상기 바이패스 배관에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온 상태로부터 강온할 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양방으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하는 온도 제어 수단을 구비하고,
    상기 제1 가스 토출 구멍은 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리체상에 토출하고, 상기 제 2 처리 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고,
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이상으로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  17. 삭제
  18. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되어, 상기 처리 가스를 상기 처리 용기내에 공급하는 제1 및 제2 가스 토출 구멍을 갖는 샤워 플레이트와,
    상기 제1 가스 토출 구멍과 연통하여 형성되어, 상기 제1의 처리 가스가 도입되는 제 1 공간과,
    상기 제1 공간과 구획하여 형성되어, 상기 제2 가스 토출 구멍과 연통하고 상기 제2 처리 가스가 도입되는 제 2 공간을 구비하고,
    상기 가스 토출 기구는, 상기 샤워 플레이트를 냉각하는 냉각 수단을 구비하고, 상기 냉각 수단은 상기 가스 토출 기구의 외주부에 마련되어 냉매를 도입하는 냉매 공급로와, 상기 가스 토출 기구의 외주부에 마련되어 냉매를 배출하는 냉매 배출로와, 상기 냉매 공급로와 상기 냉매 배출로를 연통하는 냉매 유로와,
    상기 처리 가스 토출 기구내에 배치된 냉매 유로의 전후에 마련된 냉매 통류 배관과,
    상기 처리 가스 토출 기구의 전후에서, 상기 처리 가스 토출 기구를 바이패스하여 상기 냉매 통류 배관에 접속된 바이패스 배관과,
    상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와,
    상기 냉매의 통류 경로를 규정하는 밸브 그룹과,
    상기 밸브 그룹을 제어하는 제어 수단과,
    상기 처리 가스 토출 기구를 승온하는 히터를 더 포함하며;
    상기 제어 수단은,
    상기 가스 토출 기구를 제어할 때에는, 상기 냉매를 상기 냉매 통류로에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온할 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지하고, 냉매를 상기 바이패스 배관에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온 상태로부터 강온할 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양방으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하는 온도 제어 수단을 구비하고,
    상기 제1 가스 토출 구멍은 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리체상에 토출하고, 상기 제 2 처리 토출 구멍으로부터 제 2 처리 가스를 상기 피처리 기판의 외주부에 토출하도록 구성되고,
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이하로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제1 및 제2 가스 토출 구멍을 갖는 샤워 플레이트와,
    상기 제1 가스 토출 구멍과 연통하여 형성되어 상기 제1 처리 가스가 도입되는 제 1 공간과,
    상기 제1 공간과 구획하여 형성되어, 상기 제2 가스 토출 구멍과 연통하고, 상기 제2 처리 가스가 도입되는 제 2 공간을 구비하며;
    상기 제1 가스 토출 구멍은 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리체상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 샤워 플레이트의 외주측에 토출하도록 구성되고,
    상기 샤워 플레이트의 외주측에, 상기 샤워 플레이트와 상기 처리 용기 내주벽 사이의 공간을 메우는 스페이서 링을 마련한 것을 특징으로 하는
    가스 처리 장치.
  28. 피처리 기판을 수용하는 처리 용기와,
    상기 처리 용기내에 배치되어, 상기 피처리 기판이 재치되는 재치대와,
    상기 피처리 기판과 대향하도록 마련되어, 상기 처리 용기내로 제1 및 제2의 처리 가스를 토출하는 처리 가스 토출 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 처리 가스 토출 기구가,
    상기 처리 용기에 장착하기 위한 샤워 베이스와,
    상기 샤워 베이스에 마련되어, 상기 처리 가스를 상기 처리 가스 토출 기구에 도입하는 가스 도입 구멍을 가지는 가스 도입판과,
    상기 샤워 베이스에 장착되고, 상기 처리 가스를 상기 처리 용기내에 공급하는 제1 및 제2 가스 토출 구멍을 갖는 샤워 플레이트와,
    상기 제1 가스 토출 구멍과 연통하여 형성되어 상기 제1 처리 가스가 도입되는 제 1 공간과,
    상기 제1 공간과 구획하여 형성되어, 상기 제2 가스 토출 구멍과 연통하고, 상기 제2 처리 가스가 도입되는 제 2 공간을 구비하며;
    상기 제1 가스 토출 구멍은 상기 피처리 기판에 대응하는 위치에 마련되고,
    상기 제2 가스 토출 구멍은 상기 제 1 가스 토출 구멍의 외주부에 마련되어, 상기 제 1 가스 토출 구멍으로부터 제 1 처리 가스를 상기 피처리체상에 토출하고, 상기 제 2 가스 토출 구멍으로부터 제 2 처리 가스를 상기 샤워 플레이트의 외주측에 토출하도록 구성되고,
    상기 샤워 플레이트의 외주부에 히터가 매설되어 있는 것을 특징으로 하는
    가스 처리 장치.
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 제 2 항에 있어서,
    상기 처리 가스 토출 기구는,
    상기 가스 토출 기구를 가열 냉각하는 온도 제어 수단을 구비하고;
    상기 처리 가스 토출 기구는,
    상기 가스 토출부를 지지하는 베이스부와,
    상기 가스 토출부와 상기 베이스부를 밀봉 링으로 밀봉하고, 또 상기 가스 토출부와 상기 베이스부 사이에 형성되는 간극을 구비하며;
    상기 간극에는, 상기 가스 토출부와 상기 베이스부 사이를 상대 이동을 허용하도록, 상기 가스 토출부와 상기 베이스부 사이를 체결하는 체결 기구를 마련한 것을 특징으로 하는
    가스 처리 장치.
  35. 제 34 항에 있어서,
    상기 가스 토출 기구는 상기 제1 및 제2 가스 토출 구멍이 형성된 샤워 플레이트를 구비하고,
    상기 온도 제어 수단에 의해, 상기 샤워 플레이트의 온도를 30℃ 이상으로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  36. 제 34 항에 있어서,
    상기 가스 토출 기구는 상기 제1 및 제2 가스 토출 구멍을 형성하는 샤워 플레이트를 구비하고,
    상기 온도 제어 수단에 의해 상기 샤워 플레이트의 온도를 30℃ 이하로 제어해서 상기 제 1 및 제 2 처리 가스를 토출하여 가스 처리를 행하는 것을 특징으로 하는
    가스 처리 장치.
  37. 제 2 항, 제 4 항, 제 5 항, 제 9 항, 제 12 항, 제 16 항, 제 18 항, 제 27 항 및 제 28 항 중 어느 한 항에 있어서,
    상기 처리 가스 토출 기구를 장착하고, 상기 처리 용기를 개폐하는 리드와,
    상기 리드에 마련되어, 상기 리드를 회전시키는 지지 기구와,
    상기 처리 용기내를 배기하는 배기 수단을 포함하며;
    상기 지지 기구가,
    상기 리드에 마련된 회동축과,
    일단이 상기 리드에 대향해서 상기 회동축에 마련된 아암과,
    상기 아암의 타단에 마련된 축과,
    상기 축에 마련되고, 신축 가능한 봉 형상 부재를 구비하며;
    상기 회동축과 아암이 연동해서 회전하고, 상기 봉 형상 부재는, 아암에 추종해서 신축하는 것에 의해 상기 리드를 180° 회동하는 것을 특징으로 하는
    가스 처리 장치.
  38. 제 4 항, 제 5 항, 제 9 항, 제 12 항, 제 16 항, 제 18 항, 제 27 항 및 제 28 항 중 어느 한 항에 있어서,
    상기 제 2 가스 토출부는 상기 피처리 기판의 외주부에 외측으로부터 내측으로 비스듬하게 형성하여 상기 처리 가스를 상기 피처리체의 외주부에 토출하는 것을 특징으로 하는
    가스 처리 장치.
  39. 제 2 항, 제 4 항, 제 5 항, 제 9 항, 제 12 항, 제 16 항, 제 18 항, 제 27 항 및 제 28 항 중 어느 한 항에 있어서,
    상기 제 2 처리 가스는 상대적으로 확산 속도가 높은 처리 가스를 포함하는 가스이고, 상기 제 1 처리 가스는 상대적으로 확산 속도가 낮은 처리 가스를 포함하는 가스인
    가스 처리 장치.
  40. 제 4 항 또는 제 5 항에 있어서,
    상기 샤워 플레이트를 가열하는 히터를 구비하는 것을 특징으로 하는
    가스 처리 장치.
  41. 제 4 항 또는 제 5 항에 있어서,
    상기 가스 토출 기구는, 상기 샤워 플레이트를 냉각하는 냉각 수단을 구비하고, 상기 냉각 수단은 상기 가스 토출 기구의 외주부에 마련되어 냉매를 도입하는 냉매 공급로와, 상기 가스 토출 기구의 외주부에 마련되어 냉매를 배출하는 냉매 배출로와, 상기 냉매 공급로와 상기 냉매 배출로를 연통하는 냉매 유로를 구비하는 것을 특징으로 하는
    가스 처리 장치.
  42. 제 9 항, 제 12 항, 제 16 항 및 제 18 항 중 어느 한 항에 있어서,
    상기 냉매 유로는, 상기 샤워 플레이트의 가스 토출 구멍 형성 영역에 마련되어 있는 것을 특징으로 하는
    가스 처리 장치.
  43. 제 42 항에 있어서,
    상기 냉매 유로는, 상기 샤워 플레이트의 상기 가스 토출 구멍 형성 영역에서의 상기 복수의 가스 토출 구멍 사이의 부분의 형상에 대응하여 형성되어 있는 것을 특징으로 하는
    가스 처리 장치.
  44. 제 42 항에 있어서,
    상기 냉매 유로는 동심원 형상으로 형성되어 있는 것을 특징으로 하는
    가스 처리 장치.
  45. 제 2 항, 제 9 항 및 제 12 항 중 어느 한 항에 있어서,
    상기 처리 가스 토출 기구내에 배치된 냉매 유로의 전후에 마련된 냉매 통류 배관과,
    상기 처리 가스 토출 기구의 전후에서, 상기 처리 가스 토출 기구를 바이패스하여 상기 냉매 통류 배관에 접속된 바이패스 배관과,
    상기 냉매 통류 배관의 상기 냉매 유로 하류측에 마련된 압력 릴리프 밸브와,
    상기 냉매의 통류 경로를 규정하는 밸브 그룹과,
    상기 밸브 그룹을 제어하는 제어 수단과,
    상기 처리 가스 토출 기구를 승온하는 히터를 더 포함하며;
    상기 제어 수단은,
    상기 가스 토출 기구를 제어할 때에는, 상기 냉매를 상기 냉매 통류로에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온할 때에는, 상기 히터를 작동시키는 동시에, 상기 냉매 유로로의 냉매 유입을 정지하고, 냉매를 상기 바이패스 배관에 통류시키도록 상기 밸브 그룹을 제어하고,
    상기 가스 토출 기구를 승온 상태로부터 강온할 때에는, 상기 냉매 유로 및 상기 바이패스 배관의 양방으로 냉매를 통류시키도록 상기 밸브 그룹을 제어하는 것을 특징으로 하는
    가스 처리 장치.
  46. 제 4 항, 제 5 항, 제 9 항, 제 12 항, 제 16 항, 제 18 항, 제 27 항 및 제 28 항 중 어느 한 항에 있어서,
    상기 제 2 가스 토출부는 상기 복수의 제 2 가스 토출 구멍을 갖고, 상기 제 2 가스 토출 구멍이 상기 재치대상의 피처리 기판에 대하여 수직으로 마련되어 있는 것을 특징으로 하는
    가스 처리 장치.
  47. 제 2 항 또는 제 46 항에 있어서,
    상기 제 2 가스 토출부는 상기 복수의 제 2 가스 토출 구멍을 갖고, 상기 제 2 가스 토출 구멍이 상기 제 1 가스 토출부의 주위에 일렬 이상 형성되어 있는 것을 특징으로 하는
    가스 처리 장치.
  48. 제 2 항 또는 제 46 항에 있어서,
    상기 제 2 가스 토출부는 복수의 제 2 가스 토출 구멍을 갖고, 상기 제 2 가스 토출 구멍이 서로 어긋나도록 마련되어 있는 것을 특징으로 하는
    가스 처리 장치.
  49. 제 2 항에 있어서,
    상기 제 1 가스 토출부로부터 상대적으로 확산 속도가 낮은 처리 가스를 함유하는 가스를 토출하는 동시에, 그 주위에 별개로 마련된 제 2 가스 토출부로부터 피처리 기판의 주변부에 상대적으로 확산 속도가 높은 처리 가스를 토출하여 가스 처리를 수행하는 것을 특징으로 하는
    가스 처리 장치.
  50. 제 2 항에 있어서,
    상기 제 1 및 제 2 가스 토출부가 샤워 플레이트에 형성되고,
    상기 샤워 플레이트를 지지하는 베이스부와,
    상기 샤워 플레이트와 상기 베이스부 사이에 마련된 공간층을 구비하는 것을 특징으로 하는
    가스 처리 장치.
  51. 제 50 항에 있어서,
    상기 공간층은 상기 샤워 플레이트와 상기 베이스부 사이의 상대 이동을 허용하도록, 상기 샤워 플레이트와 상기 베이스부 사이를 체결하는 체결 기구를 구비하는 것을 특징으로 하는
    가스 처리 장치.
  52. 제 51 항에 있어서,
    상기 체결 기구는 상기 샤워 플레이트와 상기 베이스부를 고정하는 고정부와, 상기 고정부의 반대측에 마련되어 이들 사이의 상대 이동을 허용하는 이동부를 구비하는 것을 특징으로 하는
    가스 처리 장치.
  53. 제 50 항에 있어서,
    상기 샤워 플레이트의 외주측에 상기 샤워 플레이트와 상기 처리 용기 내주벽 사이의 공간을 메우는 스페이서 링을 마련한 것을 특징으로 하는
    가스 처리 장치.
  54. 제 9 항, 제 12 항 및 제 50 항 중 어느 한 항에 있어서,
    상기 히터는 상기 샤워 플레이트의 외주부에 매설되어 있는 것을 특징으로 하는
    가스 처리 장치.
  55. 제 9 항, 제 12 항, 제 16 항, 제 18 항, 제 27 항, 제 28 항 및 제 50 항 중 어느 한 항에 있어서,
    상기 샤워 플레이트와 상기 베이스부 사이의 내주부에 시일 부재를 마련한 것을 특징으로 하는
    가스 처리 장치.
  56. 제 4 항, 제 5 항 및 제 51 항 중 어느 한 항에 있어서,
    상기 체결 기구는, 열팽창한 때에 상기 샤워 플레이트와의 사이의 상대 이동을 미끄러짐에 의해 흡수할 수 있도록, 상기 샤워 플레이트와의 사이에 불소계 수지로 이루어진 와셔를 마련한 것을 특징으로 하는
    가스 처리 장치.
  57. 제 9 항, 제 12 항, 제16 항 및 제 18 항 중 어느 한 항에 있어서,
    냉매 유로는 제 1 유로, 제 2 유로, 제 3 유로를 구비하고, 이들 제 1 유로, 제 2 유로 및 제 3 유로는 이 순서로 샤워 플레이트의 중심으로부터 외측을 향해서 배치되어 있는 것을 특징으로 하는
    가스 처리 장치.
  58. 제 57 항에 있어서,
    상기 제 1 유로, 상기 제 2 유로 및 상기 제 3 유로는 각각 환상으로 형성되는 동시에, 반경방향으로 수평으로 형성된 수평 유로로 연통되어 있는 것을 특징으로 하는
    가스 처리 장치.
KR1020067006261A 2001-08-01 2002-08-01 가스 처리 장치 KR100758049B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JPJP-P-2001-00233947 2001-08-01
JP2001233947 2001-08-01
JPJP-P-2002-00067490 2002-03-12
JP2002067490 2002-03-12
JP2002182010 2002-06-21
JPJP-P-2002-00182010 2002-06-21
PCT/JP2002/007856 WO2003012165A1 (en) 2001-08-01 2002-08-01 Gas treating device and gas treating method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020047001496A Division KR100754537B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002763A Division KR100741180B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법

Publications (2)

Publication Number Publication Date
KR20060032668A KR20060032668A (ko) 2006-04-17
KR100758049B1 true KR100758049B1 (ko) 2007-09-11

Family

ID=27347260

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020047001496A KR100754537B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법
KR1020067006261A KR100758049B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치
KR1020077002763A KR100741180B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020047001496A KR100754537B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020077002763A KR100741180B1 (ko) 2001-08-01 2002-08-01 가스 처리 장치 및 가스 처리 방법

Country Status (6)

Country Link
US (2) US20050003600A1 (ko)
EP (1) EP1422317A4 (ko)
JP (3) JP4236882B2 (ko)
KR (3) KR100754537B1 (ko)
TW (1) TWI224815B (ko)
WO (1) WO2003012165A1 (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
KR100549953B1 (ko) * 2004-04-30 2006-02-07 삼성전자주식회사 스피너설비의 베이크장치
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP4526969B2 (ja) * 2005-02-04 2010-08-18 古河機械金属株式会社 気相成長装置
US20070210182A1 (en) * 2005-04-26 2007-09-13 Spraying Systems Co. System and Method for Monitoring Performance of a Spraying Device
US20060237556A1 (en) * 2005-04-26 2006-10-26 Spraying Systems Co. System and method for monitoring performance of a spraying device
JP2007025117A (ja) * 2005-07-14 2007-02-01 Seiko Epson Corp 配向膜の製造装置、液晶装置、及び電子機器
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
JP4901352B2 (ja) * 2006-07-25 2012-03-21 京セラ株式会社 結晶成膜装置、ガス噴出板、及びそれを用いて製造する結晶膜の製造方法
JP4439501B2 (ja) * 2006-08-31 2010-03-24 シャープ株式会社 プラズマプロセス装置およびプラズマ装置用電極ユニット
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
TWI437622B (zh) * 2008-11-26 2014-05-11 Ind Tech Res Inst 氣體噴灑模組
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
WO2011017222A2 (en) * 2009-08-04 2011-02-10 Applied Materials, Inc. Method and apparatus for dry cleaning a cooled showerhead
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101108879B1 (ko) * 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
TWI430714B (zh) 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
JP5544907B2 (ja) * 2010-02-04 2014-07-09 東京エレクトロン株式会社 ガスシャワー用の構造体及び基板処理装置
FR2959756B1 (fr) * 2010-05-04 2012-08-03 Global Technologies Reacteur pyrolytique a pompage axial
FR2959757B1 (fr) * 2010-05-04 2012-08-03 Global Technologies Reacteur pyrolytique a chauffage bilateral
US20120052216A1 (en) * 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
JP2012216744A (ja) * 2010-11-10 2012-11-08 Sharp Corp 気相成長装置及び気相成長方法
KR101034611B1 (ko) * 2010-11-15 2011-05-12 주식회사 서웅이엔씨 집수정 수위 조절장치
KR101232900B1 (ko) * 2010-12-08 2013-02-13 엘아이지에이디피 주식회사 화학기상 증착장치 및 화학기상 증착장치의 세정방법
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101327458B1 (ko) * 2012-01-10 2013-11-08 주식회사 유진테크 냉각 방식의 샤워헤드 및 이를 구비하는 기판 처리 장치
EP2817566A4 (en) * 2012-02-22 2015-12-16 Clearsign Comb Corp COOLED ELECTRODE AND BURNING SYSTEM WITH A COOLED ELECTRODE
KR101369739B1 (ko) * 2012-04-18 2014-03-04 최대규 다중형 플라즈마 방전관을 갖는 유기금속증착용 플라즈마 챔버
US20140026816A1 (en) * 2012-07-27 2014-01-30 Applied Materials, Inc. Multi-zone quartz gas distribution apparatus
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
TWI642605B (zh) 2012-11-20 2018-12-01 恩特葛瑞斯股份有限公司 具有清洗埠的基板收納盒
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9748121B2 (en) * 2013-03-05 2017-08-29 Applied Materials, Inc. Thermal coupled quartz dome heat sink
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
JP6158025B2 (ja) * 2013-10-02 2017-07-05 株式会社ニューフレアテクノロジー 成膜装置及び成膜方法
TWI600792B (zh) * 2013-11-26 2017-10-01 應用材料股份有限公司 用於減少快速熱處理的污染之影響的設備
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP5859583B2 (ja) * 2014-01-30 2016-02-10 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10167552B2 (en) * 2015-02-05 2019-01-01 Lam Research Ag Spin chuck with rotating gas showerhead
JP5916909B1 (ja) 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6615544B2 (ja) * 2015-09-14 2019-12-04 株式会社東芝 流量調整装置及び処理装置
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
WO2017177398A1 (en) * 2016-04-13 2017-10-19 Applied Materials, Inc. Apparatus for exhaust cooling
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10403474B2 (en) * 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
KR101859865B1 (ko) * 2017-01-17 2018-05-21 박복우 분사노즐 유닛 및 이의 제조방법
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP2018148143A (ja) * 2017-03-08 2018-09-20 株式会社東芝 シャワープレート、処理装置、及び吐出方法
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6823533B2 (ja) * 2017-04-24 2021-02-03 東京エレクトロン株式会社 チタンシリサイド領域を形成する方法
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
JP6869137B2 (ja) * 2017-07-28 2021-05-12 日本電産サンキョー株式会社 産業用ロボット
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN111954927A (zh) * 2018-04-17 2020-11-17 应用材料公司 加热的陶瓷面板
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
WO2020231557A1 (en) * 2019-05-15 2020-11-19 Applied Materials, Inc. Dynamic multi zone flow control for a processing system
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
JP7401560B2 (ja) 2019-05-28 2023-12-19 アプライド マテリアルズ インコーポレイテッド 裏側ポンピングを用いた熱処理チャンバのリッド
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112216586B (zh) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 实现均匀排气的双工位处理器及等离子体处理设备
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
JP7306195B2 (ja) 2019-09-27 2023-07-11 東京エレクトロン株式会社 基板を処理する装置及びステージをクリーニングする方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210072697A (ko) * 2019-12-06 2021-06-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치, 베벨 마스크, 및 기판 처리 방법
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7023308B2 (ja) * 2020-03-19 2022-02-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび基板処理方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11242600B2 (en) * 2020-06-17 2022-02-08 Applied Materials, Inc. High temperature face plate for deposition application
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
WO2022123674A1 (ja) * 2020-12-09 2022-06-16 株式会社Fuji 締結構造体、およびプラズマ発生装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240068096A1 (en) * 2022-08-30 2024-02-29 Applied Materials, Inc. Showerhead Assembly with Heated Showerhead

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08188495A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd 気相化学反応装置
JP2000183070A (ja) * 1998-12-21 2000-06-30 Tokyo Electron Ltd 枚葉式熱処理装置
KR20000051046A (ko) * 1999-01-18 2000-08-16 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드

Family Cites Families (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3854443A (en) * 1973-12-19 1974-12-17 Intel Corp Gas reactor for depositing thin films
GB2112715B (en) * 1981-09-30 1985-07-31 Shinshu Seiki Kk Ink jet recording apparatus
US4539933A (en) * 1983-08-31 1985-09-10 Anicon, Inc. Chemical vapor deposition apparatus
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5755886A (en) * 1986-12-19 1998-05-26 Applied Materials, Inc. Apparatus for preventing deposition gases from contacting a selected region of a substrate during deposition processing
JP2618445B2 (ja) * 1987-06-26 1997-06-11 アプライド マテリアルズ インコーポレーテッド 反応器チャンバー自己清掃方法
DD274830A1 (de) * 1988-08-12 1990-01-03 Elektromat Veb Vorrichtung zur gasphasenbearbeitung von scheibenfoermigen werkstuecken
JPH03281780A (ja) * 1990-03-30 1991-12-12 Hitachi Ltd Cvd装置
CA2016970A1 (en) * 1990-05-16 1991-11-16 Prasad N. Gadgil Inverted diffusion stagnation point flow reactor for vapor deposition of thin films
US5332442A (en) * 1991-11-15 1994-07-26 Tokyo Electron Kabushiki Kaisha Surface processing apparatus
JPH05343331A (ja) * 1992-06-05 1993-12-24 Hitachi Ltd Cvd装置
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
KR100238626B1 (ko) * 1992-07-28 2000-02-01 히가시 데쓰로 플라즈마 처리장치
US5435379A (en) * 1992-08-14 1995-07-25 Texas Instruments Incorporated Method and apparatus for low-temperature semiconductor processing
JP3155844B2 (ja) * 1992-10-20 2001-04-16 日本真空技術株式会社 真空処理装置の高周波電極
JP2802865B2 (ja) * 1992-11-04 1998-09-24 日立電子エンジニアリング株式会社 プラズマcvd装置
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5525160A (en) * 1993-05-10 1996-06-11 Tokyo Electron Kabushiki Kaisha Film deposition processing device having transparent support and transfer pins
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JPH0786267A (ja) * 1993-09-10 1995-03-31 Anelva Corp TiN−CVDにおけるガス導入法および装置
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
TW273067B (ko) * 1993-10-04 1996-03-21 Tokyo Electron Co Ltd
GB9410567D0 (en) * 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
JPH07335635A (ja) * 1994-06-10 1995-12-22 Souzou Kagaku:Kk 平行平板形ドライエッチング装置
US5744049A (en) * 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5643394A (en) * 1994-09-16 1997-07-01 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JP2748886B2 (ja) * 1995-03-31 1998-05-13 日本電気株式会社 プラズマ処理装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
US5569356A (en) * 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5792269A (en) * 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
JPH09172001A (ja) * 1995-12-15 1997-06-30 Sony Corp 半導体製造装置の温度制御方法および装置
US5648175A (en) * 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5895530A (en) * 1996-02-26 1999-04-20 Applied Materials, Inc. Method and apparatus for directing fluid through a semiconductor processing chamber
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
EP0821395A3 (en) * 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
US5812403A (en) * 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
JP3925566B2 (ja) * 1996-11-15 2007-06-06 キヤノンアネルバ株式会社 薄膜形成装置
AU5461998A (en) * 1996-11-27 1998-06-22 Emcore Corporation Chemical vapor deposition apparatus
EP0854210B1 (en) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6176929B1 (en) * 1997-07-22 2001-01-23 Ebara Corporation Thin-film deposition apparatus
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US6080446A (en) * 1997-08-21 2000-06-27 Anelva Corporation Method of depositing titanium nitride thin film and CVD deposition apparatus
TW432578B (en) * 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
JP3480271B2 (ja) * 1997-10-07 2003-12-15 東京エレクトロン株式会社 熱処理装置のシャワーヘッド構造
JP4668364B2 (ja) * 1997-10-16 2011-04-13 東京エレクトロン株式会社 プラズマ処理装置
US6433314B1 (en) * 1998-04-08 2002-08-13 Applied Materials, Inc. Direct temperature control for a component of a substrate processing chamber
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
KR100302609B1 (ko) * 1999-05-10 2001-09-13 김영환 온도가변 가스 분사 장치
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6586343B1 (en) * 1999-07-09 2003-07-01 Applied Materials, Inc. Method and apparatus for directing constituents through a processing chamber
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP4487338B2 (ja) * 1999-08-31 2010-06-23 東京エレクトロン株式会社 成膜処理装置及び成膜処理方法
JP4387008B2 (ja) * 1999-11-08 2009-12-16 キヤノンアネルバ株式会社 基板処理装置の高周波電極装置
US6225745B1 (en) * 1999-12-17 2001-05-01 Axcelis Technologies, Inc. Dual plasma source for plasma process chamber
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
WO2001057289A1 (de) * 2000-02-04 2001-08-09 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
KR100378871B1 (ko) * 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
JP4849705B2 (ja) * 2000-03-24 2012-01-11 東京エレクトロン株式会社 プラズマ処理装置、プラズマ生成導入部材及び誘電体
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6461435B1 (en) * 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
JP4815724B2 (ja) * 2000-09-08 2011-11-16 東京エレクトロン株式会社 シャワーヘッド構造及び成膜装置
TWI303084B (en) * 2000-09-08 2008-11-11 Tokyo Electron Ltd Shower head structure, film forming method, and gas processing apparauts
JP3764639B2 (ja) * 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
KR100735932B1 (ko) * 2001-02-09 2007-07-06 동경 엘렉트론 주식회사 성막 장치
US20020144783A1 (en) * 2001-04-05 2002-10-10 Applied Materials, Inc. Apparatus and method for accelerating process stability of high temperature vacuum processes after chamber cleaning
US6761796B2 (en) * 2001-04-06 2004-07-13 Axcelis Technologies, Inc. Method and apparatus for micro-jet enabled, low-energy ion generation transport in plasma processing
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6537928B1 (en) * 2002-02-19 2003-03-25 Asm Japan K.K. Apparatus and method for forming low dielectric constant film
TWI224815B (en) * 2001-08-01 2004-12-01 Tokyo Electron Ltd Gas processing apparatus and gas processing method
US6676760B2 (en) * 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US6827815B2 (en) * 2002-01-15 2004-12-07 Applied Materials, Inc. Showerhead assembly for a processing chamber
US7468104B2 (en) * 2002-05-17 2008-12-23 Micron Technology, Inc. Chemical vapor deposition apparatus and deposition method
US6821347B2 (en) * 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US7628863B2 (en) * 2004-08-03 2009-12-08 Applied Materials, Inc. Heated gas box for PECVD applications
JP5194125B2 (ja) * 2007-09-25 2013-05-08 ラム リサーチ コーポレーション シャワーヘッド電極アセンブリ用の温度制御モジュール、シャワーヘッド電極アセンブリ及びシャワーヘッド電極アセンブリの上部電極の温度を制御する方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08188495A (ja) * 1995-01-09 1996-07-23 Hitachi Ltd 気相化学反応装置
JP2000183070A (ja) * 1998-12-21 2000-06-30 Tokyo Electron Ltd 枚葉式熱処理装置
KR20000051046A (ko) * 1999-01-18 2000-08-16 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드

Also Published As

Publication number Publication date
KR100741180B1 (ko) 2007-07-19
JP5058115B2 (ja) 2012-10-24
EP1422317A1 (en) 2004-05-26
JP2004076023A (ja) 2004-03-11
JP2009013504A (ja) 2009-01-22
EP1422317A4 (en) 2006-11-15
US20090151639A1 (en) 2009-06-18
JP2009041111A (ja) 2009-02-26
TWI224815B (en) 2004-12-01
JP4236882B2 (ja) 2009-03-11
KR20070026877A (ko) 2007-03-08
KR100754537B1 (ko) 2007-09-04
KR20040017845A (ko) 2004-02-27
US20050003600A1 (en) 2005-01-06
WO2003012165A1 (en) 2003-02-13
KR20060032668A (ko) 2006-04-17

Similar Documents

Publication Publication Date Title
KR100758049B1 (ko) 가스 처리 장치
KR100797929B1 (ko) 반도체 웨이퍼에 실리콘 질화물층을 형성하는 방법
KR101263856B1 (ko) 비저항이 감소되고 표면 형태가 개선된 텅스텐 필름을 증착하는 방법
US4796562A (en) Rapid thermal cvd apparatus
US7993457B1 (en) Deposition sub-chamber with variable flow
KR101810532B1 (ko) 다중 인젝트를 이용하는 원자 층 증착 챔버
US6663714B2 (en) CVD apparatus
US20100140802A1 (en) Film forming method and film forming apparatus
US20080202425A1 (en) Temperature controlled lid assembly for tungsten nitride deposition
KR20070089197A (ko) 배치 처리 챔버를 사용한 기판 처리 기기
KR20140081755A (ko) 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계
JP7373302B2 (ja) 基板処理装置
US7718005B2 (en) Film forming equipment and film forming method
JP7182970B2 (ja) 埋め込み方法及び処理システム
EP1001454A2 (en) Surface treatment method and surface treatment apparatus
US11981992B2 (en) Method for forming RuSi film and substrate processing system
US20050186339A1 (en) Methods and apparatuses promoting adhesion of dielectric barrier film to copper
JPH116069A (ja) 処理装置およびステージ装置
US20120211890A1 (en) Method for forming metal thin film, semiconductor device and manufacturing method thereof
US20230107536A1 (en) Methods for forming low resistivity tungsten features
US20240183030A1 (en) Substrate treatment apparatus using supercritical fluid
JP3393213B2 (ja) 成膜方法
JP2004311550A (ja) 基板処理装置
JP2004214335A (ja) 成膜方法
KR20000027052A (ko) 종형 화학기상증착장치의 플랜지

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20130822

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140825

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150819

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160818

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20170822

Year of fee payment: 11