KR20140081755A - 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계 - Google Patents

원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계 Download PDF

Info

Publication number
KR20140081755A
KR20140081755A KR1020130161939A KR20130161939A KR20140081755A KR 20140081755 A KR20140081755 A KR 20140081755A KR 1020130161939 A KR1020130161939 A KR 1020130161939A KR 20130161939 A KR20130161939 A KR 20130161939A KR 20140081755 A KR20140081755 A KR 20140081755A
Authority
KR
South Korea
Prior art keywords
baffle
gas
volume
flow
process gas
Prior art date
Application number
KR1020130161939A
Other languages
English (en)
Other versions
KR102174446B1 (ko
Inventor
슈라벤디크 바트 제이. 반
Original Assignee
노벨러스 시스템즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 노벨러스 시스템즈, 인코포레이티드 filed Critical 노벨러스 시스템즈, 인코포레이티드
Publication of KR20140081755A publication Critical patent/KR20140081755A/ko
Priority to KR1020200142328A priority Critical patent/KR102294827B1/ko
Application granted granted Critical
Publication of KR102174446B1 publication Critical patent/KR102174446B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

원자층 증착 반도체 프로세싱 동작들 동안 라디컬들을 공급하기 위한 라디컬 소스가 제공된다. 라디컬 소스는 원격 볼륨, 배플 볼륨, 및 배플 볼륨으로부터 원격 볼륨을 분할하는 배플을 포함할 수도 있다. 배플 볼륨 및 원격 볼륨은 복수의 배플 홀들을 통하여 배플을 통해 유동적으로 접속될 수도 있다. 배플은, 배플 볼륨과 유동적으로 접속된 복수의 제 1 가스 분배 홀들을 갖는 면판으로부터 오프셋될 수도 있다. 배플 가스 유입구는 배플 볼륨과 유동적으로 접속될 수도 있고, 제 1 프로세스 가스 유입구는 원격 볼륨과 유동적으로 접속될 수도 있다. 배플 가스는, 원격 볼륨 내의 라디컬화된 제 1 프로세스 가스가 배플 볼륨 및 면판을 통해 흐르게 하는 것을 방지하도록 배플 볼륨으로 흐를 수도 있다.

Description

원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계{RADICAL SOURCE DESIGN FOR REMOTE PLASMA ATOMIC LAYER DEPOSITION}
관련 출원에 대한 상호 참조
본 출원은, 발명의 명칭이 "RADICAL SOURCE DESIGN FOR REMOTE PLASMA ATOMIC LAYER DEPOSITION" 으로 2012년 12월 21일자로 출원된 미국 가출원에 대한 35 U.S.C.§119(e) 하의 이점을 주장하며, 그 가출원은 여기에 그 전체가 참조로서 포함된다.
반도체 프로세싱 툴들은 종종 프로세싱 동안, 예를 들어, 원자층 증착 (ALD) 프로세싱 동안 반도체 웨이퍼에 걸쳐 라디컬화된 프로세스 가스를 분배하기 위해 라디컬 소스들을 사용한다. 그러한 라디컬 소스들은, 프로세싱 동안 웨이퍼에 대면하는 면판 (faceplate), 및 라디컬 소스 내로부터 웨이퍼로의 라디컬화된 가스 전달을 용이하게 하기 위해 면판에 걸쳐 분배될 수도 있는 다수의 가스 분배 홀들을 포함할 수도 있다.
몇몇 반도체 제조 프로세스들, 예를 들어, 플라즈마-향상된 원자층 증착 (PEALD) 동안, 반도체 제조 프로세스 가스들은 다양한 프로세스 단계들에서 사용되는 라디컬들을 생성하기 위해 플라즈마로 변환될 수도 있다. 그러한 플라즈마-향상된 프로세스들은, 그러한 프로세스들이 프로세스 케미스트리 (chemistry) 에서 더 낮은 프로세스 온도들 및 더 큰 유연성을 이용하여 수행될 수도 있고, 더 밀집한 증착 막들을 제공할 수도 있으므로, 예를 들어, 열 원자층 증착에 비해 이점들을 제공할 수도 있다. 그러나, 플라즈마 변환은 또한, 예를 들어, 프로세스에서 사용되는 웨이퍼의 하부 실리콘 또는 매우-낮은 K 유전체를 산화시킴으로써 웨이퍼에 손상을 줄 수도 있다. 그러한 손상 잠재성을 감소시키기 위해, 그러한 플라즈마들은 웨이퍼로부터 "원격" 이도록 위치될 수도 있으며, 그러한 프로세스들은 일반적으로, 원격 플라즈마 원자층 증착 (RPALD) 프로세스들로서 지칭된다. 예를 들어, 몇몇 라디컬 소스들은, 플라즈마가 생성될 수도 있는 내부 볼륨을 가질 수도 있다. 이러한 내부 볼륨은 (웨이퍼로부터 "원격" 으로 플라즈마를 제작하는) 라디컬 소스 면판에 의해 웨이퍼로부터 분리될 수도 있으며, 플라즈마 변환으로부터 발생하는 가능한 손상으로부터 웨이퍼를 다소 차폐시킨다. 면판 내의 가스 분배 홀들은, 원격으로 생성된 플라즈마에 의해 생성된 라디컬들이 라디컬 소스로부터 및 웨이퍼 상으로 흐르게 할 수도 있다.
도 1은 RPALD 기술에 대한 개념적인 고레벨 흐름도를 도시한다. 프로세스는 블록 (102) 에서 시작할 수도 있으며, 여기서, 웨이퍼는 프로세싱을 위해 RPALD 반응기로 도입될 수도 있다. 블록 (104) 에서, 전구체는 반응기로 및 웨이퍼에 걸쳐 흐르게 될 수도 있다. 그 후, 전구체는, 매우-균일한 컨포멀러티 (conformality) 의 및 작은 두께를 갖는 웨이퍼 상에 증착층을 형성하는 웨이퍼와의 매우 자체-제한적인 반응을 경험한다. ALD 막들은 웨이퍼의 모든 표면, 즉, 아일랜드 (island) 들의 상단들 뿐만 아니라 트렌치들의 측면들 및 바닥들 상에 균일한 두께를 가지며, 피처 사이즈 또는 애스팩트 비율과 관계없이, 100%의 스텝 커버리지, 또는 거의 완벽한 컨포멀 코팅을 제공한다. 반응의 매우 자체-제한적인 속성이 주어지면, 증착된 층의 두께는, 플라즈마 향상된 화학 기상 증착 (PECVD) 과 같은 다른 반도체 프로세스들보다 프로세스 파라미터 변화들에 훨씬 덜 민감하다. RPALD-증착된 층의 두께는, 예를 들어, 전구체 선택, 웨이퍼 재료 선택, 및 프로세스 온도와 같은 파라미터들에 의해 크게 결정될 수도 있다. 전구체-웨이퍼 반응이 발생하도록 허용된 이후, 나머지 미반응된 전구체는 블록 (106) 에서 반응기로부터 퍼지 (purge) 될 수도 있다. 블록 (108) 에서, 라디컬들은 원격 플라즈마 소스로부터 반응기로 및 웨이퍼에 걸쳐 흐르게 될 수도 있다. 그 후, 라디컬들은 증착된 전구체와 반응할 수도 있다. 이러한 반응은 웨이퍼 상의 전구체 막을 수정할 수도 있으며, 웨이퍼에 걸쳐 흐르는 추가적인 전구체 가스와 반응할 수 있는 전구체 막을 제작하여, 전구체의 추가적인 층이 웨이퍼 상에 증착되게 한다. 라디컬/전구체 막 반응이 발생하도록 허용된 이후, 반응 챔버 내의 나머지 라디컬들은 블록 (110) 에서 퍼지될 수도 있다. 블록 (112) 에서, 증착된 막이 원하는 두께인지 (또는 대안적으로, 충분한 반응 사이클들이 수행되었는지) 에 관한 결정이 행해질 수도 있다. 부가적인 두께가 소망되면, 프로세스는 블록 (104) 으로 리턴할 수도 있다. 두께가 원하는 레벨에 있으면, 프로세스는 블록 (114) 에서 종료할 수도 있다.
퍼지 사이클들은, 전구체 가스가 반응기로 흐르는 라디컬화된 가스와 혼합 (또는 그 역) 할 확률을 완화 또는 제거하는데 필요하다. 그러한 전구체/라디컬화된 가스 혼합은, 실제로 ALD 프로세스를 화학 기상 증착 (CVD) 프로세스로 변환하는 전구체/라디컬 반응들을 유도할 수 있다. ALD, PEALD, 및 RPALD의 이점들 중 하나가, 그러한 프로세스들이 CVD 프로세스들보다 훨씬 더 높은 컨포멀러티의 얇은 막 증착을 허용하는 것이므로, 그러한 전구체/라디컬 혼합은 매우 바람직하지 않다. 그러한 혼합의 다른 바람직하지 않은 부작용은, 몇몇 ALD 케미스트리들이 혼합될 경우, 예를 들어, 전기적 쇼트 (short) 들 또는 다른 문제들을 생성함으로써 ALD 프로세싱과 간섭할 수도 있는 입자들을 형성할 수도 있다는 것이다. 따라서, 반응기로의 각각의 전구체 및 라디컬 흐름은, 반응기로의 퍼지 가스의 흐름에 의해 분리된다.
ALD-타입 프로세스들이 CVD 막들과 비교하여 우수한 막 균일도를 제공하지만, ALD-타입 프로세스들은, 막이 하나의 CVD 반응 프로세스 동안 단일층 증착으로서보다는 많은 순차적인 반응 사이클들 (예를 들어, 단일 반응 사이클은 도 1의 블록들 (104 내지 110) 에 대응할 수도 있음) 에 의해 구축된다는 것을 ALD가 요구하므로, CVD 프로세스들보다 일반적으로 더 느리다.
본 명세서에서 설명된 사항의 하나 이상의 구현들의 세부사항들은 첨부한 도면들 및 아래의 설명에서 기재되어 있다. 다른 특성들, 양태들, 및 이점들은 설명, 도면들, 및 청구항들로부터 명백해질 것이다. 스케일된 도면들로서 상세하게 표시되지 않으면, 다음의 도면들의 상대적인 치수들이 스케일하도록 도시되지 않을 수도 있음을 유의한다.
몇몇 구현들에서, 반도체 프로세스들에 대한 라디컬 소스가 제공될 수도 있다. 라디컬 소스는 면판을 포함할 수도 있다. 면판은, 면판을 통과하고 라디컬 소스를 빠져나가는 제 1 복수의 가스 분배 홀들을 포함할 수도 있고, 제 1 복수의 가스 분배 홀들은 제 1 총 흐름 도전성을 가질 수도 있다. 라디컬 소스는 또한, 면판에 의해 부분적으로 바운딩 (bound) 된 제 1 플래넘 볼륨, 및 제 1 측면 및 제 1 측면에 반대인 제 2 측면을 갖는 배플을 포함할 수도 있다. 배플은 종종 면판으로부터 오프셋될 수도 있으며, 제 1 측면은 면판에 대면한다. 배플은, 배플의 제 1 측면과 면판 사이에 위치된 배플 볼륨, 및 배플의 제 2 측면에 의해 부분적으로 바운딩된 원격 볼륨으로 제 1 플래넘 볼륨을 분할할 수도 있다. 배플은 또한 배플 볼륨 및 원격 볼륨을 유동적으로 접속시키는 복수의 배플 홀들을 포함할 수도 있으며, 배플 홀들은 제 1 총 흐름 도전성보다 더 큰 총 흐름 도전성을 갖는다. 라디컬 소스는 또한, 배플 볼륨으로 배플 가스를 흐르게 하도록 구성된 하나 이상의 배플 가스 유입구들, 및 원격 볼륨으로 제 1 프로세스 가스를 흐르게 하도록 구성된 하나 이상의 제 1 프로세스 가스 유입구들을 포함할 수도 있다.
라디컬 소스의 몇몇 구현들에서, 하나 이상의 배플 가스 유입구들은, 배플 가스를 원격 볼륨으로 먼저 노출시키지 않으면서 및 배플 가스를 제 1 가스 분배 홀들에 먼저 노출시키지 않으면서, 배플 볼륨으로 배플 가스를 흐르게 하도록 구성될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 하나 이상의 제 1 프로세스 가스 유입구들은, 제 1 프로세스 가스를 배플로 먼저 노출시키지 않으면서 원격 볼륨으로 제 1 프로세스 가스를 흐르게 하도록 구성될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는 또한, 면판과 접속된 원격 플라즈마 돔 (dome) 을 포함할 수도 있다. 그러한 구현들에서, 원격 볼륨은 배플 및 원격 플라즈마 돔에 의해 실질적으로 정의될 수도 있다. 몇몇 그러한 구현들에서, 라디컬 소스는, 원격 볼륨 내의 제 1 프로세스 가스를 사용하여 플라즈마를 점화시키도록 구성된 무선-주파수 생성기를 더 포함할 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는 면판과 접속된 커버를 더 포함할 수도 있다. 커버는 하나 이상의 제 1 프로세스 가스 유입구들을 포함할 수도 있다. 라디컬 소스는 또한, 하나 이상의 제 1 프로세스 가스 유입구들과 접속된 외부 원격 플라즈마 생성기를 포함할 수도 있다. 외부 원격 플라즈마 생성기는, 하나 이상의 제 1 프로세스 가스 유입구들을 통해 원격 볼륨으로 라디컬화된 제 1 프로세스 가스를 공급하도록 구성될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는 또한, 배플과 접속되고 배플로부터 오프셋된 전극판을 포함할 수도 있다. 원격 볼륨은 전극판과 배플 사이에 형성될 수도 있고, 전극판은 제 1 프로세스 가스를 사용하여 원격 볼륨 내에서 플라즈마를 점화시키도록 구성될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 면판은, 면판 내의 가스 분배 채널들의 세트에 유동적으로 접속된 복수의 제 2 가스 분배 홀들을 갖는 듀얼-흐름 면판일 수도 있다. 가스 분배 채널들은 하나 이상의 제 2 프로세스 가스 유입구들에 유동적으로 접속될 수도 있고, 제 2 가스 분배 홀들은 배플 반대쪽의 측면 상에서 면판을 빠져나올 수도 있다.
라디컬 소스의 몇몇 구현들에서, 배플은 석영으로부터 제작될 수도 있거나 석영-코팅될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 배플 볼륨은 하나 이상의 외부 주변 표면들에 의해 추가적으로 바운딩될 수도 있고, 하나 이상의 배플 가스 유입구들은 일 선회 (revolution) 의 외부 표면들 중 하나 이상을 따라 위치될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는, 배플에 대면하는 면판의 측면에 걸쳐 배열된 복수의 배플 가스 유입구들을 더 포함할 수도 있다. 배플 가스 유입구들은 면판 내의 배플 가스 분배 채널들의 세트에 유동적으로 접속될 수도 있고, 배플 가스 분배 채널들은 배플 가스 유입구들을 통해 배플 볼륨으로 배플 가스를 흐르게 하도록 구성될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 면판 및 배플은 실질적으로 동일한 사이즈를 가질 수도 있다.
라디컬 소스의 몇몇 구현들에서, 배플 볼륨은, 대략적인 크기일 수도 있고, 원격 볼륨이 축방향으로 있는 것보다 면판에 실질적으로 수직한 축방향에서 더 얇을 수도 있다.
라디컬 소스의 몇몇 구현들에서, 제 1 가스 분배 홀들 및 배플 홀들은 매칭 패턴들로 배열될 수도 있고, 배플 홀들은 제 1 가스 분배 홀들보다 더 클 수도 있다.
라디컬 소스의 몇몇 구현들에서, 제 1 가스 분배 홀들 및 배플 홀들은 비-매칭 패턴들로 배열될 수도 있다. 몇몇 그러한 구현들에서, 제 1 가스 분배 홀들 및 배플 홀들은 서로 중첩하지 않을 수도 있다.
라디컬 소스의 몇몇 구현들에서, 배플은 액체-냉각될 수도 있다. 몇몇 그러한 구현들에서, 배플은, 배플을 횡단하고 배플 홀들 중 임의의 배플 홀을 교차하지 않는 내부 냉각 통로들을 포함할 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는, 배플 가스 유입구들로부터 배플 볼륨으로의 배플 가스 흐름을 제어하도록 구성된 하나 이상의 배플 가스 유입 압력 제어 밸브들, 및 메모리 디바이스 및 하나 이상의 배플 가스 유입 압력 제어 밸브들과 통신적으로 접속된 하나 이상의 프로세서들을 포함하는 제어기를 더 포함할 수도 있다. 메모리는, 라디컬 소스를 이용하여 수행된 원자층 증착 (ALD) 사이클의 제 1 동작들 동안 하나 이상의 배플 가스 유입 압력 제어 밸브들을 개방하고 - 여기서, 라디컬화된 제 1 프로세스 가스는 제 1 가스 분배 홀들을 통하여 면판을 통해 흐르는 것이 실질적으로 방지됨 -, ALD 사이클의 제 2 동작들 동안 하나 이상의 배플 가스 유입 압력 제어 밸브들을 실질적으로 폐쇄하며 - 제 2 동작들은, 제 1 가스 분배 홀들을 통하여 면판을 통해 라디컬화된 제 1 프로세스 가스를 흐르게 하는 것을 포함함 -, 그리고 교번 방식으로 제 1 동작들 및 제 2 동작들을 반복하도록 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행가능 명령들을 저장할 수도 있다.
라디컬 소스의 몇몇 그러한 구현들에서, 면판은, 면판 내의 가스 분배 채널들의 세트에 유동적으로 접속된 복수의 제 2 가스 분배 홀들을 갖는 듀얼-흐름 면판일 수도 있으며, 가스 분배 채널들은 하나 이상의 제 2 프로세스 가스 유입구들에 유동적으로 접속될 수도 있다. 제 2 가스 분배 홀들은 배플 반대쪽의 측면 상에서 면판을 빠져나올 수도 있다. 그러한 구현들에서, 제 1 동작들은, 제 2 가스 분배 홀들을 통해 면판으로부터 외부로 제 2 프로세스 가스를 흐르게 하는 단계, 및 각각의 연속적인 제 1 동작과 제 2 동작 사이 및 각각의 연속적인 제 2 동작과 제 1 동작 사이에서 퍼지 동작들을 수행하는 단계를 포함할 수도 있다.
라디컬 소스의 몇몇 구현들에서, 라디컬 소스는, 배플 볼륨이 원격 볼륨과 펌핑 포트 사이에 개재되지 않도록 원격 볼륨에 유동적으로 접속된 펌핑 포트를 더 포함할 수도 있다.
라디컬 소스의 몇몇 구현들에서, 하나 이상의 제 1 프로세스 가스 유입구들 및 하나 이상의 배플 가스 유입구들은, 실질적으로 동일한 가스의 동일한 가스 소스 또는 별개의 소스들에 접속될 수도 있다.
라디컬 소스의 몇몇 구현들에서, 공통 소스로부터 2개의 별개의 흐름 경로들 중 하나로의 스위칭가능한 가스 전달을 용이하게 하는 3방향 (three-way) 밸브 또는 다른 밸브 어레인지먼트 (arrangement) 는, 하나 이상의 제 1 프로세스 가스 유입구들 및 하나 이상의 배플 가스 유입구들을 가스 소스에 접속시키는데 사용된다.
몇몇 구현들에서, 원격 플라즈마 원자층 제조 프로세스에서 라디컬 소스를 동작시키는 방법이 제공될 수도 있다. 방법은, 라디컬 소스의 원격 볼륨 내에 라디컬화된 제 1 프로세스 가스를 제공하는 단계, 및 라디컬 소스의 배플 볼륨으로 배플 가스를 흐르게 하는 단계를 포함할 수도 있다. 배플 볼륨은 원격 볼륨과 라디컬 소스의 면판 사이에 개재될 수도 있고, 웨이퍼 반응 영역에 대면하는 복수의 제 1 가스 분배 홀들을 가질 수도 있다. 배플 볼륨은 배플ㄹ에 의해 원격 볼륨으로부터 분할될 수도 있고, 복수의 배플 홀들에 의해 배플을 통하여 원격 볼륨과 유동적으로 접속될 수도 있다. 방법은, 면판 내의 복수의 제 2 가스 분배 홀들을 통해 및 웨이퍼 반응 영역을 향해 제 2 프로세스 가스를 흐르게 하는 단계, 및 복수의 제 2 가스 분배 홀들을 통한 제 2 프로세스 가스의 흐름을 실질적으로 중지하는 단계를 더 포함할 수도 있다. 방법은 또한, 복수의 제 2 가스 분배 홀들을 통한 제 2 프로세스 가스의 흐름이 중지된 이후 웨이퍼 반응 영역으로부터 미반응된 제 2 프로세스 가스를 제거하기 위해 웨이퍼 반응 영역의 제 1 퍼지를 수행하는 단계, 제 1 퍼지가 완료된 이후 배플 볼륨으로 배플 가스의 흐름을 실질적으로 중지하는 단계, 원격 볼륨으로부터 배플 볼륨으로, 면판 내의 제 1 가스 분배 홀들을 통해, 및 웨이퍼 반응 영역으로 라디컬화된 제 1 프로세스 가스를 흐르게 하는 단계, 웨이퍼 반응 영역의 제 2 퍼지를 수행하는 단계, 및 배플 볼륨으로의 배플 가스의 흐름을 재시작하는 단계를 더 포함할 수도 있다. 앞쪽으로 복수의 제 2 가스 분배 홀들을 통해 제 2 프로세스 가스를 흐르게 하는 것으로부터의 활성도들은 원자층 프로세스 내의 각각의 사이클 동안 반복될 수도 있다.
방법의 몇몇 그러한 구현들에서, 방법은 또한, 배플 가스가 배플 볼륨으로 흐르게 하면서, 펌핑 포트를 통해 원격 볼륨에서 구축된 압력을 해소 (relieve) 시키는 단계를 포함할 수도 있다.
방법의 몇몇 구현들에서, 방법은, 배플 내의 냉각 채널들을 통해 냉각제를 흐르게 하는 단계를 더 포함할 수도 있다.
다양한 구현들의 이들 및 다른 양태들이 더 상세히 후술된다.
도 1은 PEALD 기술에 대한 개념적인 고레벨 흐름도를 도시한다.
도 2a는 라디컬 소스의 일 예를 도시한다.
도 2b는 프로세스 가스 분배 모드의 도 2a로부터의 라디컬 소스의 예를 도시한다.
도 2c는 제 2 프로세스 가스의 분배에 후속하는 퍼지 동작의 도 2a로부터의 라디컬 소스의 예를 도시한다.
도 2d는 라디컬화된 제 1 프로세스 가스가 웨이퍼 반응 영역으로 흐를 경우의 도 2a로부터의 라디컬 소스의 예를 도시한다.
도 2e는, 웨이퍼 반응 영역으로의 라디컬화된 제 1 프로세스 가스 흐름이 중지되고 후속하는 부가적인 퍼지 동작이 수행된 이후의 도 2a로부터의 라디컬 소스의 예를 도시한다.
도 2f는 대안적인 배플 구성을 갖는 라디컬 소스의 일 예를 도시한다.
도 2g는 샤워헤드 커버와 커플링된 외부 원격 플라즈마 소스를 피처링 (feature) 하는 종래의 샤워헤드를 포함하는 라디컬 소스의 개념적인 예를 도시한다.
도 3a는 원격 볼륨으로부터 배플 볼륨으로 초기 제 1 프로세스 가스 흐름을 우회 (divert) 시키도록 구성된 라디컬 소스의 부분적인 개략도를 도시한다.
도 3b는 별개의 제 1 프로세스 가스 및 배플 가스 소스들을 갖도록 구성된 라디컬 소스의 부분적인 개략도를 도시한다.
도 4a는 라디컬 소스의 일 예의 등각도를 도시한다.
도 4b는 도 4a의 라디컬 소스의 예의 등각 단면도를 도시한다.
도 4c는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 등각 확대도를 도시한다.
도 4d는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 아래의 컴포넌트들의 등각 확대도를 도시한다.
도 4e는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 반전 등각 확대도를 도시한다.
도 4f는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 반전 등각 확대도를 도시한다.
도 4g는 도 4a의 라디컬 소스의 예의 등각 단면도를 도시하며, 단면 평면은 2개의 배플 가스 유입구들을 통과한다.
도 4h는 도 4e에 도시된 단면의 측면도를 도시한다.
도 4i는 도 4a의 라디컬 소스의 일부의 각진 부분 절단도를 도시한다.
도 4j는 상이한 각도로부터의 도 4a의 라디컬 소스의 일부의 각진 부분 절단도를 도시한다.
도 5는 여기에 설명된 바와 같은 라디컬 소스를 사용하기 위한 일 기술을 도시하는 흐름도를 도시한다.
도 4a 내지 도 4j는 스케일로 도시되어 있다.
다양한 구현들의 예들은, 첨부한 도면들에 도시되어 있고, 추가적으로 후술된다. 여기에서의 설명이 설명된 특정한 구현들로 청구항들을 제한하도록 의도되지 않음을 이해할 것이다. 대조적으로, 첨부된 청구항들에 의해 정의된 바와 같은 본 발명의 사상 및 범위 내에 포함될 수도 있는 바와 같은 대안물들, 변경물들, 및 등가물들을 커버하도록 의도된다. 다음의 설명에서, 다수의 구현-특정적인 세부사항들은 본 발명의 완전한 이해를 제공하기 위해 기재된다. 본 발명은 이들 구현-특정적인 세부사항들 중 몇몇 또는 전부 없이도 실시될 수도 있다. 다른 예시들에서, 잘 알려진 프로세스 동작들은 본 발명을 불필요하게 불명료하게 하지 않기 위해 상세히 설명되지 않는다.
본 발명의 발명자는, 라디컬들을 생성하는 원격 플라즈마 소스가 프로세스 페이즈들 동안 통상적으로 턴 오프되어야 한다는 사실로 인해 프로세싱 시간에서 RPALD 프로세스들이 상당한 증가들을 겪는다는 것을 인식했으며, 여기서, 라디컬들, 예를 들어, 전구체 흐름 및 퍼지 가스 흐름들이 소망되지 않고, 그 후, 라디컬 흐름 페이즈에 대해 라디컬들을 제공하도록 재점화된다. 그러한 플라즈마 재-점화를 위해 필요한 시간은, 불필요한 지연을 도입함으로써 프로세스 스루풋에 악영향을 줄 수도 있다.
또한, 원격 플라즈마 소스들은 또한, 원격 플라즈마 소스 볼륨 내에 여전히 존재하는 라디컬들이 반응 영역으로 스며드는 것을 방지하기 위해 라디컬 흐름 페이즈 이후, 라디컬들을 퍼지할 필요가 있을 수도 있다. 본 발명의 발명자는 또한, 원격 플라즈마 소스들이 종종 매우 다량이며, 따라서 원격 플라즈마 소스들을 퍼지하기 위한 시간이 다른 프로세스 단계들과 비교하여 수용가능하지 않게 길 수 있다는 것을 인식했다. 이들 지연들 양자는 RPALD 프로세싱 동안 반복적으로 직면될 것이다.
본 발명의 발명자는, 원격 플라즈마 소스들과 함께 사용하기 위한 새로운 라디컬 소스 설계가, 원격 플라즈마 소스가 전구체 가스 전달 및 퍼지 가스 전달 페이즈들 동안 뿐만 아니라 라디컬 전달 페이즈 동안 켜져 (light) 유지되게 할 수도 있으며, 비-라디컬화된 가스 전달 페이즈들 동안 라디컬들의 원격 플라즈마 소스를 완전하게 퍼지할 필요를 제거한다는 것을 인식했다. 이는, 원격 플라즈마 소스를 끄고/재점화시키는 것이 더 이상 필요하지 않고, 원격 플라즈마 소스의 전체 볼륨을 퍼지할 필요가 없으므로, 각각의 ALD 반응 사이클의 지속기간을 극적으로 감소시킨다.
도 2a는 라디컬 소스의 일 예를 도시한다. 도 2a에서 볼 수 있는 것은 라디컬 소스 (200) 이다. 라디컬 소스 (200) 는, 웨이퍼 (214) 를 지지하는데 사용될 수도 있는 웨이퍼 지지부 (216) 위에 탑재될 수도 있으며, 이들 컴포넌트들이 도시되어 있지만, 그들은 라디컬 소스 (200) 의 일부인 것으로 고려되지 않는다. 라디컬 소스 (200) 는, RPALD와 같은 반도체 프로세싱 동작들을 수행하기에 적합한 압력, 온도, 및 다른 환경 조건들을 제공할 수 있는 챔버 또는 반응기 (미도시) 에 탑재될 수도 있다. 웨이퍼 지지부 (216) 와 라디컬 소스 (200) 사이의 간격은 일반적으로, 본 발명에서 웨이퍼 반응 영역으로서 지칭될 수도 있다.
라디컬 소스 (200) 는 플라즈마 돔 (202) 및 듀얼-흐름 면판 (208) 을 포함할 수도 있다. 몇몇 구현들에서, 간단한 면판, 즉, 듀얼-흐름들을 제공하지 않는 면판이 사용될 수도 있다. 그러나, 도시된 구현에서, 듀얼-흐름 면판이 사용된다. 또한 도시된 것은, 플라즈마 돔 (202) 및 듀얼-흐름 면판 (208) 에 의해 실질적으로 바운딩된 제 1 플래넘 볼륨을 원격 볼륨 (268) 및 배플 볼륨 (270) 으로 분리시킬 수도 있는 배플 (210) 이다. 플라즈마 돔 (202) 은, 플라즈마 돔 (202) 의 상단 근처에서 플라즈마 돔 (202) 으로 제 1 프로세스 가스를 도입시키도록 구성된 제 1 프로세스 가스 유입구 (222) 를 가질 수도 있다. 제 1 프로세스 가스 유입 대량 흐름 제어기 (294) 는, 제 1 프로세스 가스 흐름이 증가 또는 감소되게 하도록 구성될 수도 있다. 몇몇 구현들에서, 제 1 프로세스 가스 유입 밸브 (230), 예를 들어, 게이트 밸브 또는 다른 기계적 씨일 밸브는 또한, 제 1 프로세스 가스 유입구 (222) 를 씨일링하기 위해 제공될 수도 있다. 제 1 프로세스 가스 유입 밸브 (230) 는 제 1 프로세스 가스 대량 흐름 제어기 (294) 의 다운스트림에 위치될 수도 있다. 몇몇 구현들에서, 단일 밸브가 압력 제어 및 씨일링 기능들 양자를 제공하는데 사용될 수도 있다.
RF 생성기 (286) 는, 플라즈마 돔의 외부에 위치될 수도 있고, 제 1 프로세스 가스로부터 플라즈마 돔 (202) 내에서 플라즈마를 생성하기 위해 RF 코일들 (212) 로 RF 에너지를 제공하도록 구성되며, 그에 의해, 필요한 바와 같이 웨이퍼에 걸쳐 흐를 수도 있는 제 1 프로세스 가스 라디컬들, 즉, 라디컬화된 제 1 프로세스 가스를 생성한다. 매칭 네트워크 (288) 는, RF 전력이 RF 코일들에 정확히 커플링되는 것을, 즉, 매칭 네트워크 (288) 가 생성된 플라즈마 및 RF 코일들의 임피던스를 매칭시킨다는 것을 보장하기 위해 RF 생성기 (286) 와 RF 코일들 (122) 사이에 직렬로 배치될 수도 있다.
듀얼-흐름 면판 (208) 은, 듀얼-흐름 면판 (208) 을 완전히 통과하는 제 1 가스 분배 홀들 (240) 의 세트를 포함할 수도 있다. 제 1 가스 분배 홀들 (240) 은, 배플 볼륨 (270) 내에 있는 가스가 듀얼-흐름 면판 (208) 을 통해 및 웨이퍼 지지부 (216) 를 향해 흐르게 한다. 따라서, 예를 들어, 제 1 프로세스 가스 유입구 (222) 를 통해 플라즈마 돔 (202) 으로 흐르게 할 수도 있는 제 1 프로세스 가스는, 배플 (210) 을 통해, 제 1 가스 분배 홀들 (240) 을 통해 흐를 수도 있고, 웨이퍼 지지부 (216) 에 대면하는 듀얼-흐름 면판 (208) 의 측면으로부터 듀얼-흐름 면판 (208) 을 빠져나올 수도 있다.
듀얼-흐름 면판 (208) 은 또한, 웨이퍼 지지부 (216) 에 대면하는 듀얼-흐름 면판 (208) 의 측면을 통과하고, 웨이퍼 지지부 (216) 로부터 떨어져 대면하는 듀얼-흐름 면판 (208) 의 측면을 빠져나오지 않는 제 2 가스 분배 홀들 (242) 의 세트를 포함할 수도 있다. 제 2 가스 분배 홀들 (242) 은 대신, 듀얼-흐름 면판 (208) 을 횡단하고 제 2 프로세스 가스 유입구 (224) 에 접속하는 내부 흐름 통로 또는 통로들과 교차할 수도 있다. 따라서, 제 2 프로세스 가스 유입구 (224) 를 통해 도입되는 제 2 프로세스 가스, 예를 들어, 도 1을 참조하여 설명된 전구체와 같은 가스는, 내부 통로들을 통해, 제 2 가스 분배 홀들 (242) 을 통해 흐를 수도 있고, 웨이퍼 지지부 (216) 에 대면하는 듀얼-흐름 면판 (208) 의 측면 상에서 듀얼-흐름 면판 (208) 을 빠져나올 수도 있다. 제 2 프로세스 가스 유입구 (224) 로의 제 2 프로세스 가스의 흐름은, 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 에 의해 제어될 수도 있다. 제 2 프로세스 가스 유입 밸브 (232) 는, 제 2 프로세스 가스 유입구 (224) 의 씨일링을 용이하게 하기 위해 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 의 다운스트림에 위치될 수도 있다. 몇몇 구현들에서, 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 및 제 2 프로세스 가스 유입 밸브 (232) 에 의해 제공된 기능은 단일 밸브에 의해 제공될 수도 있지만, 그러한 밸브는, 임의의 특정한 웨이퍼에 대한 ALD 프로세스가 수 백 증착 사이클들을 수반할 수도 있기 때문에, 과도한 성능 열화없이 동작의 수백만 사이클들을 견디도록 요구될 수도 있으며, 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 가 단일 웨이퍼에 대한 ALD 프로세싱 동안 수 백 횟수들로 기능하게 한다. 유사한 대용들이 본 발명에 도시된 다른 대용량 흐름 제어기/밸브 결합들에 대해 행해질 수도 있다.
배플 (210) 에 부가하여, 도 2a에 도시된 라디컬 소스 (200) 는 또한, 배플 가스 유입구 또는 유입구들 (226), 배플 가스 유입 밸브 또는 밸브들 (234), 및 원격 볼륨 (268) 으로부터 배플 볼륨 (270) 으로의 라디컬화된 제 1 프로세스 가스의 흐름에 대항 (counteract) 하기 위해 배플 볼륨 (270) 으로의 배플 가스의 도입을 허용할 수도 있는 배플 가스 유입 대용량 흐름 제어기 (292) 를 포함할 수도 있다. 배플 (210) 은 배플 (210) 을 통과하는 다수의 배플 홀들 (244) 을 포함할 수도 있다. 배플 홀들 (244) 은, 듀얼-흐름 면판 (208) 을 통하여 제 1 가스 분배 홀들 (240) 에 의해 제공된 전체의 총 흐름 컨덕턴스보다 작은 배플 (210) 을 통한 전체의 총 흐름 컨덕턴스를 제공할 수도 있다. 이러한 흐름 컨덕턴스 미스매치는 배플 가스가, 제 1 가스 분배 홀들 (240) 을 통한 웨이퍼 반응 영역으로보다는 원격 볼륨 (268) 로의 흐름을 향해 바이어싱되게 할 수도 있다. 그러한 시스템의 동작은 아래에서 더 상세히 커버된다.
제 1 프로세스 가스 및 제 2 프로세스 가스의 가스 흐름들은, 각각의 프로세스 가스와 연관된 별개의 플래넘 공간들 및 가스 분배 홀들에 의해 라디컬 소스 (200) 내에서 서로 격리되게 유지될 수도 있다. 그러나, 일단 프로세스 가스들이 듀얼-흐름 면판 (208) 을 통해 라디컬 소스를 떠나지 않으면, 별개의 플래넘 공간들은 더 이상 임의의 격리 효과를 제공하지 않는다. 그러나, 제 1 프로세스 가스 및 제 2 프로세스 가스는, 그러한 프로세스 가스들의 순차적인 흐름들 사이에서 퍼지 사이클들을 수행함으로써, 서로 추가적으로 격리되게 유지될 수도 있다. 퍼지 사이클들은, 라디컬 소스 (200) 와 웨이퍼 지지부 (216) 사이의 웨이퍼 반응 영역으로부터 미반응된 프로세스 가스들을 제거하는데 사용될 수도 있다. 예를 들어, 이것은, 웨이퍼 반응 영역 내의 미반응된 제 1 프로세스 가스가 새로이-도입된 제 2 프로세스 가스와 혼합하는 것을 방지하고, 그 역도 가능하며, 이는 ALD 프로세스가 사실상 CVD 프로세스로 변환되게 초래할 수 있어서, ALD가 제공하는 프로세스 균일도의 손실을 초래한다. 그러한 퍼지 동작들은 아래에서 더 추가적으로 커버된다.
도 2b는 프로세스 가스 분배 모드의 도 2a로부터의 라디컬 소스의 예를 도시한다. 도 2b에서, 하얀색 화살표들은 제 1 프로세스 가스의 흐름을 표시하고, 검정 화살표들은 제 2 프로세스 가스의 흐름을 표시하며, 회색 화살표들은 배플 가스의 흐름을 표시한다. 관측될 수 있는 바와 같이, 제 1 프로세스 가스는 제 1 프로세스 가스 유입구 (222) 를 통해 플라즈마 돔 (202) 으로 흐를 수도 있다. 그러나, 동시에, 배플 가스는 배플 가스 유입구(들) (226) 를 통해 배플 볼륨 (270) 으로 흐를 수도 있다. 배플 가스는, 제 1 가스 분배 홀들 (240) 및 배플 홀들 (244) 을 통해 흐름으로써 배플 볼륨 (270) 을 탈출할 수도 있다.
배플 홀들 (244) 을 통하여 배플 (210) 을 통한 총 흐름 컨덕턴스는, 제 1 가스 분배 홀들 (240) 을 통하여 듀얼-흐름 면판 (208) 을 통한 총 흐름 컨덕턴스보다 더 높을 수도 있다. 제 1 가스 분배 홀들 (240) 과 배플 홀들 (244) 사이의 흐름 컨덕턴스 미스매치로 인해, 배플 가스는 원격 볼륨 (268) 으로의 흐름에 향해 바이어싱될 수도 있으며, 그것이 배플 홀들 (244) 을 통해 흐르고 원격 볼륨 (268) 에 진입할 경우, 배플 홀들 (244) 을 통한 라디컬화된 제 1 프로세스 가스의 흐름에 대항할 수도 있다. 이것은, 제 1 프로세스 가스 내의 라디컬들이, 웨이퍼 (214) 에 도달하기 위해 배플 볼륨 (270) 및 제 1 가스 분배 홀들 (240) 을 통하여 이동하는 것을 효율적으로 방지할 수도 있다. 동시에, 제 2 프로세스 가스는 제 2 프로세스 가스 유입구 (224) 를 통해 듀얼-흐름 면판 (208) 으로 흐를 수도 있으며, 제 2 가스 분배 홀들 (242) 을 통해 듀얼-흐름 면판 (208) 을 빠져나올 수도 있다. 그 후, 제 2 프로세스 가스는, 제 1 프로세스 가스의 라디컬들에 대항하지 않으면서, 또는 실질적으로 대항하지 않으면서, 웨이퍼에 걸쳐 흐를 수도 있고, 웨이퍼와 반응할 수도 있다.
라디컬 소스 (200) 와 유사하지만 상술된 것과 같은 배플 시스템에는 없는 라디컬 소스들에 관한 하나의 이슈는, 배플 시스템의 부재시에, 제 2 프로세스 가스가 웨이퍼 반응 영역으로 도입되고 있는 동안, 도시된 예의 원격 볼륨 (268) 및 배플 볼륨 (270) 에 대응하는 플래넘 볼륨이, 플래넘 볼륨 내의 라디컬들이 제 1 가스 분배 홀들을 통해 및 웨이퍼 반응 영역으로 흐르는 것을 방지하기 위해 각각의 증착 사이클 동안 일반적으로 퍼지되어야 한다는 것이다. 원격 볼륨 (268) 및 배플 볼륨 (270) 이 다른 시스템 볼륨들과 비교할 경우 비교적 일반적으로 크므로, 이러한 플래넘 볼륨을 퍼지하는 것은, 예를 들어, 면판 내의 제 2 프로세스 가스 분배 채널들의 퍼지와 비교하여 과도한 양의 시간을 취할 수도 있다. 또한, 그러한 퍼지는 또한 일반적으로, 플라즈마가 소멸되는 것을 요구할 것이다. 플라즈마 돔 (202) 내에서 생성된 라디컬들을 요구하는 후속 프로세싱 동작이 수행된 경우, 플래넘 볼륨은 제 1 프로세스 가스로 다시 재워져야 하고, 플라즈마는 재점화되어야 한다. 도면들에 도시된 것과 같은 배플 시스템의 포함은, 라디컬화된 제 1 프로세스 가스의 저장이 웨이퍼 반응 영역으로의 제 2 프로세스 가스 흐름 동안 뿐만 아니라 퍼지 동작들 동안을 포함하여 전체 ALD 사이클 전반에 걸쳐 원격 볼륨 (268) 내에서 유지되게 함으로써, 이들 이슈들의 대부분을 회피한다.
도 2c는 제 2 프로세스 가스의 분배에 후속하는 퍼지 동작 동안의 도 2a로부터의 라디컬 소스의 예를 도시한다. 도 2c에서, 제 2 프로세스 가스는 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 를 사용하여 셧 오프 (shut off) 되며, 더 이상 듀얼-흐름 면판 (208) 을 통해 분배되지 않는다. 관측될 수 있는 바와 같이, 배플 가스가 배플 가스 유입구 (226) 를 통해 배플 볼륨 (270) 으로 계속 도입될 수도 있으면서, 제 1 프로세스 가스가 제 1 프로세스 가스 유입구 (222) 를 통해 원격 볼륨 (268) 로 계속 공급되며, 배플 홀들 (244) 을 통한 배플 가스 흐름은 원격 볼륨 (268) 으로부터의 라디컬화된 제 1 프로세스 가스가 배플 (210) 및 배플 볼륨 (270) 을 통해 및 웨이퍼 반응 영역으로 흐르게 하는 것을 계속 방지할 수도 있다. 제 1 가스 분배 홀들 (240) 을 통해 흐르는 배플 가스는, 웨이퍼 반응 영역으로부터 떨어져서 이전의 페이즈로부터 미반응된 제 2 프로세스 가스가 남아있게 강제하는 퍼지 가스로서 작동할 수도 있다. 몇몇 구현들에서, 퍼지 가스는 또한, 그들 통로들 및 제 2 가스 분배 홀들 (242) 내에 남아있는 임의의 제 2 프로세스 가스를 퍼지하기 위해 듀얼-흐름 면판 (208) 내의 내부 흐름 통로 또는 통로들 및 제 2 가스 분배 홀들 (242) 을 통해 흐를 수도 있다. 그러한 구현들에서, 제 2 프로세스 가스 유입구 (224) 로의 가스 흐름이 제 2 프로세스 가스 소스로부터 퍼지 가스 소스로 스위칭되게 하는 우회 밸브 또는 3방향 벨브 (미도시) 가 존재할 수도 있다. 퍼지 가스 소스는 배플 가스에 대해 사용된 동일한 가스를 공급할 수도 있거나, 상이한 가스를 공급할 수도 있다. 어느 경우든, 제 2 프로세스 가스의 흐름은, 예를 들어, 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 를 통한 흐름을 최소로 감소시킴으로써 퍼지 사이클 동안 중지되거나 효율적으로 중지될 수도 있다.
도 2d는, 라디컬화된 제 1 프로세스 가스가 원격 볼륨 (268) 으로부터, 배플 홀들 (244) 및 배플 볼륨 (270) 을 통해, 및 제 1 가스 분배 홀들 (240) 을 통하여 웨이퍼 반응 영역으로 흐르는 경우의 도 2a로부터의 라디컬 소스의 예를 도시한다. ALD 사이클의 이러한 페이즈 동안, 배플 볼륨 (270) 으로의 배플 가스 흐름은, 배플 가스 유입 대용량 흐름 제어기 (292) 를 사용하여 배플 가스 흐름을 최소로 감소시킴으로써 중지되거나 효율적으로 중지될 수도 있다. 일단 원격 볼륨 (268) 으로의 배플 가스의 카운터-흐름이 중지되면, 원격 볼륨 (268) 으로부터의 라디컬화된 제 1 프로세스 가스는 배플 홀들 (244) 을 통해, 배플 볼륨 (270) 으로, 및 배플 볼륨 (270) 으로부터, 웨이퍼 반응 영역으로 제 1 가스 분배 홀들 (240) 을 통해 흐를 수도 있다. 제 2 가스 분배 홀들 (242) 을 통한 제 2 프로세스 가스의 흐름은, 제 2 프로세스 가스 유입 대용량 흐름 제어기 (290) 의 사용에 의한 이러한 페이즈 동안 제 1 가스 분배 홀들 (240) 을 통한 라디컬화된 제 1 프로세스 가스의 흐름 동안 효율적으로 중지될 수도 있다.
도 2e는, 웨이퍼 반응 영역으로의 라디컬화된 제 1 프로세스 가스 흐름이 중지되고 후속하는 부가적인 퍼지 동작이 수행된 이후의 도 2a로부터의 라디컬 소스의 예를 도시한다. 초기 퍼지 동작에 관해, 부가적인 퍼지 동작은, 라디컬화된 제 1 프로세스 가스가 원격 볼륨 (268) 에 남아있으면서, 배플 가스 유입구(들) (266) 를 통해 배플 볼륨 (270) 으로 배플 가스를 흐르게 하는 단계를 포함한다. 그 이전에, 배플 가스는 배플 (210) 을 통한 라디컬화된 제 1 프로세스 가스의 흐름을 실질적으로 방지하도록 작동할 수도 있으며, 부가적인 퍼지 동작 동안 라디컬화된 제 1 프로세스 가스 노출에 대해 웨이퍼 반응 영역을 보호한다. 부가적으로, 배플 볼륨 (270) 으로부터 및 제 1 가스 분배 홀들 (240) 을 통해 흐르는 배플 가스는 웨이퍼 반응 영역을 퍼지하도록 작동할 수도 있다.
부가적인 퍼지 동작이 완료된 이후, 부가적인 ALD 사이클들은, 필요한 바와 같이 도 2b 내지 도 2e에 도시된 동작들을 반복함으로써 수행될 수도 있다. 몇몇 구현들에서, 주어진 웨이퍼에 대한 모든 그러한 사이클들 동안, 플라즈마는 켜지게 유지될 수도 있으며, 라디컬화된 제 1 프로세스 가스의 저장은 원격 볼륨에서 유지될 수도 있어서, 큰 플라즈마-격납 볼륨들로부터의 라디컬화된 제 1 프로세스 가스의 퍼징 또는 사용된 플라즈마의 소멸/재점화를 요구하는 기존의 시스템들과 비교하여 매우 감소된 ALD 사이클 시간들을 허용한다.
몇몇 구현들에서, 배플 (210) 은 또한, ALD 사이클들 동안 냉각될 수도 있다. 예를 들어, 배플 (210) 은 배플 홀들 (244) 사이에서 스레드 (thread) 된 내부 냉각 채널들 (246) 을 가질 수도 있다. 냉각제, 예를 들어, 물 또는 다른 액체는 냉각제 유입구 (218) 를 통해 냉각 채널들 (246) 로 도입될 수도 있고, 냉각제 유출구 (220) 를 통해 냉각 채널들을 빠져나올 수도 있다. 냉각제는, 배플 (210) 을 통해 재순환되기 전에 열 교환기 또는 다른 열 소산 시스템을 통해 전달될 수도 있다. 대안적으로, 냉각제는 전혀 재순환되지 않을 수도 있지만, 대신 설비 공급부 및 드레인 (drain) 과 접속될 수도 있다. 배플 (210) 을 냉각시키는 것은, 예를 들어, 배플 (210) 과 라디컬들의 재결합의 가능성을 감소시킬 수도 있다.
몇몇 구현들에서, 진공 펌프 포트 (206) 는, 배플 가스의 도입으로부터 발생한 원격 볼륨 (268) 에서의 과도한 압력 구축, 및 그에 따른 라디컬화된 제 1 프로세스 가스 흐름에서의 감소가 해소되게 하도록 포함될 수도 있으며, 따라서, 플라즈마 돔 (202) 의 오버-가압 (over-pressurization) 을 방지한다. 진공 포트 밸브 (236) 는, 원격 볼륨 (268) 으로부터 진공 펌프 포트 (206) 를 씨일링하도록 제공될 수도 있다. 대용량 흐름 제어기 (238) 는, 압력 블리드-오프 (bleed-off) 동안 진공 펌프 포트 (206) 의 압력의 정밀한 제어를 허용하도록 제공될 수도 있으며, 대용량 흐름 제어기 (238) 는, 진공 펌프 포트 (206) 내의 압력을 제어하기 위해 압력 센서 (미도시), 예를 들어, 커패시턴스 마노미터 (manometer) 와 협력하여 동작할 수도 있다. 다른 흐름-제어 밸브 기술들이 대용량 흐름 제어기 (238) 대신에 적절한 바와 같이 사용될 수도 있다.
도 2f는 대안적인 배플 구성을 갖는 라디컬 소스의 일 예를 도시한다. 라디컬 소스 (200) 는, 예를 들어, 배플 볼륨의 주변 이외의 위치들로부터 배플 볼륨 (270) 으로 배플 가스를 또한 피드 (feed) 할 수도 있다. 예를 들어, 듀얼-흐름 면판 (208) 은, 배플 (210) 을 향해 대면하는 듀얼-흐름 면판 (208) 의 측면에 걸쳐 분배되는 배플 가스 유출구들 (272) 의 세트를 피드하는 내부 채널들의 다른 세트를 포함할 수도 있다. 따라서, 배플 가스는, 전체 듀얼-흐름 면판 (208) 에 걸쳐 실질적으로 균일한 방식으로 배플 볼륨 (270) 으로 도입될 수도 있다 (하지만, 부가적인 배플 가스 흐름으로 인해 이러한 특정한 경우에서 "트리플-흐름" 면판으로서 듀얼-흐름 면판 (208) 을 설명하는 것이 더 정확할 수도 있다).
다양한 배플 가스들이 그러한 구현들에서 사용될 수도 있다. 배플 가스는 원격 볼륨 (268) 에서 생성된 플라즈마와 또는 웨이퍼로의 프로세스 가스들의 흡수와 간섭하지 않거나 최소로 간섭하도록 선택될 수도 있다. 몇몇 구현들에서, 배플 가스는 제 1 프로세스 가스와 동일할 수도 있다. 예를 들어, SiO2를 증착시키기 위한 프로세스와 같은 몇몇 원격 플라즈마 원자층 증착 (RPALD) 프로세스들에서, 제 1 프로세스 가스는 O2 또는 N2O일 수도 있고, 실질적으로 동일한 가스가 배플 가스로서 사용될 수도 있다. 그러한 구현들에서, 플라즈마 조성은 배플 가스가 도입된 경우 실질적으로 변경되지 않게 유지되며, 플라즈마가 배플 가스에 의해 계속 피드될 수도 있으므로, 전체적으로, 원격 볼륨 (268) 로의 제 1 프로세스 가스의 흐름을 비활성화시키는 것이 가능할 수도 있다. 이것은, 원격 볼륨 (268) 내의 가스의 흐름을 반전시키는 효과를 가질 수도 있다. 플라즈마 돔 (202) 의 상단으로부터 배플 (210) 을 향해 (및 웨이퍼 (214) 를 향해) 흐르는 것 대신에, 가스는 배플 (210) 로부터 플라즈마 돔 (202) 의 상단을 향해 (및 웨이퍼 (214) 로부터 떨어져) 흐를 수도 있다. 몇몇 그러한 구현들에서, 제 1 프로세스 가스 및 배플 가스는 동일한 소스로부터 제공될 수도 있다. 예를 들어, 제 1 프로세스 가스 소스는 하나 이상의 3방향 밸브들의 유출 포트 또는 포트들에 접속될 수도 있다. 차례로, 제 1 프로세스 가스 유입구(들)는 3방향 밸브들 중 하나의 유출 포트 또는 포트들에 접속될 수도 있으며, 배플 가스 유입구(들)는 3방향 밸브들의 다른 유출 포트 또는 포트들에 접속될 수도 있다. 이러한 방식으로, 3방향 밸브들은, 원격 볼륨 (268) 내의 가스 흐름의 방향성을 제어하는 토글 (toggle) 로서 기능할 수도 있다. 몇몇 그러한 반전-흐름 구현들에서, 진공 포트 (206) 내의 대용량 흐름 제어기 (238) 는 반전 가스 흐름을 용이하게 하도록 개방될 수도 있다.
도 2a 내지 도 2f에 도시된 피처들 중 수 개, 예를 들어, 면판, 제 1 가스 분배 홀들, 제 2 가스 분배 홀들이 또한, 종래의 샤워헤드의 외관을 갖는 라디컬 소스의 맥락에서 사용될 수도 있음을 이해할 것이다. 예를 들어, 몇몇 구현들에서, 플라즈마 돔 (202) 은, 예를 들어, 라디컬들이 MKS 기구들에 의해 제공된 ASTRONiTM 반응 가스 생성기와 같은 외부 플라즈마 생성기 유닛에 의해 제공된 경우 존재하지 않을 수도 있다. 그러한 구현들에서, 배플 볼륨 및 원격 볼륨으로 배플에 의해 분할된 그러한 플래넘 볼륨을 생성하기 위해 간단한 커버가 듀얼-흐름 면판 (208) 에 부착될 수도 있으며, 외부 플라즈마 소스는 원격 볼륨의 커버 또는 다른 경계를 통해 포트 또는 다른 유입구를 통하여 플래넘 볼륨의 원격 볼륨 부분과 유동적으로 접속될 수도 있다. 대안적으로, 라디컬들은 다양한 다른 플라즈마 소스들 중 임의의 소스, 예를 들어, 평평한 플라즈마 소스들, 마이크로파 플라즈마 소스들 등을 사용하여 생성될 수도 있다. 사용된 플라즈마 소스와 관계없이, 원격 볼륨은 샤워헤드 내에 라디컬화된 제 1 프로세스 가스를 포함하는데 사용될 수도 있다. 그러한 면판/커버 유닛은, 적어도 외향 외면들, 반도체 제조 애플리케이션들에서 사용된 종래의 샤워헤드들에 일반적으로 유사하게 나타날 수도 있다. 그러한 구현들은 또한, 본 발명의 범위 내에 있는 것으로 고려된다.
명확화를 위해, 도 2g는 샤워헤드 커버와 커플링된 외부 원격 플라즈마 소스를 피처링하는 종래의 샤워헤드를 포함하는 라디컬 소스의 개념적인 예를 도시한다. 구조들의 대부분은 도 2a 내지 도 2e에 도시된 구조들과 유사하며, 유사하게 넘버링된다. 하나의 바로 명백한 차이는, 도 2g의 라디컬 소스 (200) 가 플라즈마 돔 (202) 및 관련 하드웨어를 포함하지 않는다는 것이다. 대신, 도 2g의 라디컬 소스 (200) 는 듀얼-흐름 면판 (208) 과 커버 (296) 를 커플링시킴으로써 형성된 종래의 샤워헤드를 피처링한다. 커버 (296) 는 제 1 프로세스 가스 유입구 (222), 진공 포트 밸브 (236), 및 제 1 프로세스 가스 유입 대용량 흐름 제어기 (238) 를 피처링한다. 제 1 프로세스 가스 유입구 (222) 는, 외부 원격 플라즈마 생성기 (298) 에 의해 공급된 라디컬화된 제 1 프로세스 가스가 커버 (296) 와 배플 (210) 사이에 형성된 원격 볼륨 (268) 에 통신되도록 외부 원격 플라즈마 생성기 (298) 에 유동적으로 접속될 수도 있다. 진공 포트 밸브 (236) 는 도 2a의 진공 포트 밸브 (236) 와 매우 동일한 방식으로 기능할 수도 있다. 커버 (296) 가 배플 (210) 및 듀얼-플래넘 면판 (208) 과 인터페이싱하는 라디컬 소스 (200) 의 일부로부터 별개인 피스로서 도시되어 있지만, 커버 (296) 는 이러한 부분에 대신 통합될 수도 있다. 부가적으로, 제 1 프로세스 가스 유입구 (222) 에 대한 대안적인 흐름 경로들은, 원격 볼륨 (268) 으로의 더 효율적인 라디컬 전달을 제공하기 위해 또한 사용될 수도 있다. 몇몇 구현들에서, 커버 (296) 는 전극에 의해 대체되거나 전극을 포함할 수도 있으며, 전극은 제 1 프로세스 가스를 사용하여 원격 볼륨 (268) 내에서 플라즈마를 생성하는데 사용될 수도 있다. 그러한 구현들에서, 전극은 평평한 판 전극일 수도 있고, 제 1 프로세스 가스는, 예를 들어, 원격 볼륨 (268) 의 측면에서 하나 이상의 포트들로부터 원격 볼륨 (268) 으로 피드될 수도 있다. 일반적으로, 여기에 도시되고 설명된 배플 구성들은 광범위하게 다양한 원격 플라즈마 소스들과 함께 사용될 수도 있다. 이들 다른 타입들의 원격 플라즈마 소스들을 사용하는 다른 구현들은, 본 발명의 범위 내에 있는 것으로 또한 이해될 것이다. 높은 레벨에서, 임의의 원격 플라즈마 소스는, 플라즈마 소스와 면판 사이에 상술된 바와 같은 배플 시스템 및 배플 가스 흐름 하드웨어가 장착될 수도 있으며, 적어도 본 발명의 범위 내에 있다.
도 3a는 원격 볼륨으로부터 배플 볼륨으로의 초기 제 1 프로세스 가스 흐름을 우회시키도록 구성된 라디컬 소스의 부분적인 개략도를 도시한다. 관측될 수 있는 바와 같이, 제 1 프로세스 가스 소스 (371) 는, 제 1 프로세스 가스 유입 밸브 (330)/배플 가스 유입 밸브 (326) 에서 만나는 2개의 별개의 가스 라인들에 의해 원격 볼륨 (368) 및 배플 볼륨 (370) 에 접속될 수도 있다. 이러한 결합 밸브는, 예를 들어, 3방향 밸브일 수도 있거나, 제 1 프로세스 가스가 어느 하나의 가스 라인으로 흐르게 하도록 배열되는 수 개의 온/오프 밸브들일 수도 있다. 이러한 구성에서, 제 1 프로세스 가스 및 배플 가스는 실질적으로 동일한 가스일 수도 있다.
도 3b는 별개의 제 1 프로세스 가스 및 배플 가스 소스들을 갖도록 구성된 라디컬 소스의 부분적인 개략도를 도시한다. 이러한 구현에서, 별개의 배플 가스 소스 (373) 가 제공될 수도 있으며, 배플 가스 유입 밸브 (326) 에 의해 제어되는 가스 라인을 통해 배플 볼륨 (370) 에 접속될 수도 있다. 제 1 프로세스 가스 소스 (371) 는 제 1 프로세스 가스 유입구 (330) 에 의해 제어되는 가스 라인에 의해 원격 볼륨 (368) 에 접속될 수도 있다. 이러한 구현은 배플 가스가 제 1 프로세스 가스와 상이하게 한다.
몇몇 다른 구현들은 도 3a 및 도 3b에 도시된 피처들의 블렌드 (blend) 를 제공할 수도 있다. 예를 들어, 배플 가스 및 제 1 프로세스 가스 각각은 상이한 소스들에 의해 제공될 수도 있지만, 2개의 가스 소스들이 혼합하게 하는 밸브 어레인지먼트들이 구현될 수도 있다. 따라서, 예를 들어, 아르곤과 같은 캐리어 가스는 제 1 프로세스 가스에 부가될 수도 있고, 그 후, 혼합된 가스는 배플 볼륨 (370) 으로 흐를 수도 있다. 비-배플 흐름 동작들 동안, 아르곤 소스는 턴 오프될 수도 있으며, 제 1 프로세스 가스만이 원격 볼륨 (368) 로 흐를 수도 있다.
도 2a 내지 도 2g가 배플-장착된 라디컬 소스의 다양한 고레벨 예들을 도시하지만, 그러한 라디컬 소스의 더 상세한 예가 다음의 도면들을 참조하여 확대된다.
도 4a는 라디컬 소스의 일 예의 등각도를 도시한다. 라디컬 소스 (400) 는, 예를 들어, 플라즈마 돔 (402) 의 상단에 상술된 제 1 프로세스 가스 유입구와 같은 플라즈마 돔 (402) 및 오리피스 (466) 을 피처링하는 것으로 도시되어 있다. 추가적인 세부사항들은, 도 4a의 라디컬 소스의 예의 등각 단면도를 도시하는 도 4b에서 보여질 수도 있다. RF 코일들은 도 4a-4j에 도시되지 않지만, 그러한 장비 또는 다른 플라즈마-생성 디바이스들은 플라즈마 돔 (402) 에 근접하게 위치될 수도 있다.
라디컬 소스 (400) 는 2개의 피스들, 즉 배플 상단 부분 (448) 및 배플 바닥 부분 (450) 으로부터 형성되는 배플 (410) 을 포함할 수도 있다. 그러한 2-피스 구성은, 내부 피처들, 예를 들어, 냉각 채널들 (446) 이 배플 (410) 의 내부에 포함되게 할 수도 있다. 예를 들어, 도 4b에서, 냉각 채널들 (446) 은 배플 상단 부분 (450) 과 인터페이싱하는 배플 바닥 부분 (448) 의 표면으로 리세스된다. 그러한 구현들에서, 배플 상단 부분 (448) 및 배플 바닥 부분 (450) 은, 예를 들어, 땜질을 통해 접합될 수도 있거나, 그렇지 않으면 함께 결합될 수도 있어서, 그러한 내부 냉각 채널들 (446) 에 대한 별개의 냉각제 유입구들 및 유출구들을 제공하는 실질적으로 씨일링된 유닛을 형성한다. 배플 (410) 은 그 자체를 통해 연장하는 다수의 배플 홀들 (444) 을 가질 수도 있다. 배플 (410) 이 냉각되지 않는 구현들에서, 상술된 2-피스 구성은 더 간단한 단일-피스 구성으로, 예를 들어, 그것을 통해 연장하는 배플 홀들 (444) 을 갖는 판으로 대체될 수도 있다.
라디컬 소스 (400) 는 또한, 2개의 피스들, 즉 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 로부터 유사하게 형성되는 듀얼-흐름 면판 (408) 을 포함할 수도 있다. 면판 바닥 부분 (464) 은 제 1 가스 분배 홀들 (440) 및 제 2 가스 분배 홀들 (442) 양자 뿐만 아니라, 제 2 프로세스 가스 유입구들로부터 제 2 가스 분배 홀들 (442) 로 가스를 라우팅하도록 구성된 내부 가스 분배 채널들 (482) 을 포함할 수도 있다. 면판 상단 부분 (462) 은 제 1 가스 분배 홀들 (440) 을 또한 포함할 수도 있으며, 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 이 함께 맞물림 (mate) 되는 경우, 면판 바닥 부분 (464) 상의 가스 분배 채널들 (482) 과 결합되어, 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 양자로 연장하는 내부 가스 분배 채널들 (482) 의 단일 세트를 형성하는 매칭 가스 분배 채널들 (482) 을 또한 포함할 수도 있다.
몇몇 구현들에서, 면판 또는 듀얼-흐름 면판은 또한, 유체가 면판을 냉각 또는 가열시키기 위해 흐를 수도 있는 내부 냉각 채널들을 피처링할 수도 있다. 냉각 채널들은, 유체에 의한 프로세스 환경의 오염을 회피하기 위해 라디컬 소스 및 프로세싱 장치의 가스 흐름 경로들 및 프로세스 볼륨들로부터 씨일링될 수도 있다. 냉각 채널들은 면판이 프로세스 효율도를 향상시키기 위해 온도-제어되게 할 수도 있다.
도 4b에서 관측될 수 있는 바와 같이, 제 1 가스 분배 홀들 (440) 및 배플 홀들 (444) 은, 실질적으로 동일한 패턴으로 배열되고, 동일한 수들로 존재한다. 그러나, 배플 홀들 (244) 이 직경에서 제 1 가스 분배 홀들 (440) 보다 크고, 배플 (410) 을 통한 흐름 도전성은 그에 따라, 듀얼-흐름 면판 (408) 을 통한 흐름 도전성보다 대응적으로 더 크다. 다른 구현들에서, 배플 홀들 (444) 은 상이한 패턴으로 배열될 수도 있고 및/또는 제 1 가스 분배 홀들 (440) 로부터 상이한 양으로 존재할 수도 있다.
라디컬 소스 (400) 는 또한 어댑터 링 (452) 을 포함할 수도 있다. 어댑터 링 (452) 은, 프로세스 가스 소스들, 냉각 시스템들 등으로의 접속을 위한 피팅 (fitting) 들을 수용하기 위한 스레드된 인터페이스들과 같은 다양한 피처들을 포함할 수도 있다. 개스켓 판 (454) 은, 필요하다면, 라디컬 소스 (400) 내의 다양한 컴포넌트들 사이에 부가적인 씨일링 표면들을 제공하는데 사용될 수도 있다. 도 4b에 도시된 다양한 컴포넌트들은, 예를 들어, 조임기들 (458) 에 의해 함께 홀딩될 수도 있다. 라디컬 소스 (400) 는 웨이퍼 지지부 (416) 위에 중심이 있을 수도 있지만, 웨이퍼 지지부 (416) 는 라디컬 소스 (400) 와는 별개인 것으로 이해될 것이다.
도 4c는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 등각 확대도를 도시한다. 도 4d는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 아래의 컴포넌트들의 등각 확대도를 도시한다. 도 4e는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 반전 등각 확대도를 도시한다. 도 4f는 도 4a의 라디컬 소스의 예의 개스켓 판, 및 개스켓 판 위의 컴포넌트들의 반전 등각 확대도를 도시한다.
관측될 수 있는 바와 같이, 배플 상단 부분 (448) 및 배플 바닥 부분 (450) 양자는 함께 네스트 (nest) 된 플렌지된 (flanged) 원형 판들의 형태를 가질 수도 있다. 설계 및 패키징 요건들에 의존하여 다른 지오메트리들이 또한 가능하다. 도시된 구현에서, 냉각제는 어댑터 링 (452) 내의 냉각제 유입구들 (418) 을 통해 배플 (410) 로 피드될 수도 있다. 냉각제 유입구들 (418) 은, 배플 바닥 부분 (450) 에서 냉각제 유입 포트들 (474) 과 인터페이싱할 수도 있는 냉각제 전달 포트들 (480) 을 통해 어댑터 링 (452) 을 빠져나올 수도 있다. 냉각제 유입 포트들 (474) 은 배플 상단 부분 (448) 의 플렌지로 리세스된 냉각 피드 채널들 (456) 로 피드될 수도 있고 (반전 등각 확대도들을 참조함), 배플 바닥 부분 (450) 내의 냉각 채널들 (446) 로 냉각제를 피드하는데 사용될 수도 있는 냉각 상승기 (riser) 들 (478) 로 냉각제를 라우팅할 수도 있다. 다른 냉각제 상승기들 (478) 은 서펜타인 (serpentine) 냉각 통로들 (446) 의 출구들과 유동적으로 접속될 수도 있고, 냉각제 유출 포트들 (476) 을 통해 냉각제를 전달하고, 냉각제 유출구들 (420) 에 냉각제 전달 포트들 (480) 을 맞물림시킬 수도 있다. 다른 냉각 어레인지먼트들, 예를 들어, 상이한 냉각 통로 지오메트리들/라우팅이 또한 가능하다. 상술된 바와 같이, 몇몇 구현들은 냉각된 배플을 피처링하지 않을 수도 있으며, 배플 냉각과 연관된 다양한 피처들은 그러한 구현들에서 생략될 수도 있다.
어댑터 링은 또한, 배플 (410) 및 듀얼-흐름 면판 (408) 에 의해 바운딩된 배플 볼륨으로 배플 가스의 도입을 허용하도록 구성된 하나 이상의 배플 가스 유입구들 (426) 을 피처링할 수도 있다. 이러한 구현에서, 어댑터 링 (452) 에 관해 동등하게 이격된 6개의 배플 가스 유입구들 (426) 은, 방사 배플 가스 유출구들 (472) 을 통해 배플 볼륨으로 배플 가스를 제공하는데 사용된다.
개스켓 판 (454) 은 방사 소스 (400) 에 존재하는 다양한 가스 라우팅 통로들에 대한 즉시 씨일링 표면으로서 기능할 수도 있다. 몇몇 구현들에서, 그러한 라우팅이 어떻게 수행되는지에 의존하여, 개스켓 판 (454) 은 불필요할 수도 있고 생략될 수도 있다.
나타낸 바와 같이, 듀얼-흐름 면판 (408) 은 (냉각을 갖는) 배플 (410) 과 다소 유사한 방식으로 구성될 수도 있다. 예를 들어, 듀얼-흐름 면판 (408) 은 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 로부터 형성될 수도 있다. 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 은, 도시된 바와 같이, 네스트된 플렌지된 판들의 세트의 전체 형상을 가질 수도 있지만, 다른 지오메트리들이 또한 본 발명의 범위 내에 있는 것으로 고려된다. 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 중 하나 또는 그 양자는 가스 분배 채널들 (482) 을 피처링할 수도 있으며, 방사 및 주변 통로들의 네트워크를 형성하는 이러한 경우에서, 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 의 맞물림 표면들을 횡단한다. 제 1 가스 분배 홀들 (440) 은 면판 상단 부분 (462) 및 면판 바닥 부분 (464) 양자를 통해 이동할 수도 있지만, 제 2 가스 분배 홀들 (442) 은 면판 바닥 부분 (464) 만을 통해 이동하고 가스 분배 채널들 (482) 과 링크 업 (link up) 될 수도 있다. 제 2 프로세스 가스 전달 포트들 (484) 은 제 2 프로세스 가스가, 라디컬 소스 내에서 제 1 프로세스 가스 또는 배플 가스와 혼합되지 않으면서 가스 분배 채널들 (482) 로 라우팅되게 할 수도 있다.
도 4g는 도 4a의 라디컬 소스의 예의 등각 단면도를 도시하며, 단면의 평면은 2개의 배플 가스 유입구들을 통과한다. 하얀색 화살표들은 플라즈마 돔 (402) 의 상단으로 및 듀얼-흐름 면판 (408) 을 향한 제 1 프로세스 가스의 흐름을 표시한다. RF 코일들 (미도시) 은 플라즈마 돔 (402) 내의 제 1 프로세스 가스를 사용하여 라디컬-생성 플라즈마를 생성하는데 사용될 수도 있다. 동시에, 배플 가스는 배플 가스 유입구들 (426) 및 배플 가스 유출구들 (472) 을 통해 배플 볼륨으로 도입된다. 배플 가스는 배플 볼륨으로 흐를 수도 있고, 그 후, 주로 배플 홀들 (444) 을 통해 원격 볼륨으로 흐를 수도 있다. 몇몇 배플 가스가 또한, 배플 홀들 (444) 과 비교하여 제 1 가스 분배 홀들 (440) 의 감소된 흐름 도전성으로 인해 제 1 가스 분배 홀들 (440) 을 통하여 웨이퍼 지지부 (416) 를 향해 흐를 수도 있지만, 웨이퍼 지지부 (416) 를 향한 배플 가스 흐름의 양은, 플라즈마 돔 (402) 을 향한 배플 가스 흐름의 양보다 상당히 더 작을 수도 있다. 플라즈마 돔 (402) 을 향한 배플 가스의 흐름은, 플라즈마 돔 (402) 내에 형성된 플라즈마에 의해 생성되는 라디컬들이 웨이퍼 지지부 (416) 를 향해 확산하게 하는 것을 실질적으로 방지할 수도 있다.
도 4h는 도 4e에 도시된 단면의 측면도를 도시한다. 도 4h에 또한 도시된 것은 원격 볼륨 (468) 및 배플 볼륨 (470) 이다. 배플 볼륨 (470) 의 깊이는 도시된 것보다 크거나 작을 수도 있다. 통상적으로 배플 볼륨 (470) 의 깊이는, 바람직하지 않게 큰 배플 볼륨 (470) 을 회피하면서 배플 (410) 의 중심을 향한 양호한 라디컬 흐름을 허용하는데 충분히 깊을 수도 있다. 너무 얕은 배플 볼륨 (470) 깊이는, 방사 방향으로 배플 가스 흐름을 제한할 수도 있으며, 배플 (410) 의 중앙에서 배플 홀들 (444) 을 통과하는 배플 가스와 배플 (410) 의 주변 근처에서 배플 홀들 (444) 을 통과하는 배플 가스 사이에서 압력 차이를 초래할 수도 있으며, 그 압력 차이는 그 압력 차이가 웨이퍼에 걸친 프로세스 비균일도의 바람직하지 않은 레벨들을 초래하는데 충분히 높을 수도 있다. 이것은, 플라즈마 돔 (402) 으로부터의 라디컬들이 배플 (410) 의 중앙을 통과하는 것을 방지할 시에 배플 가스의 효율도를 감소시킬 수도 있다. 너무 큰 배플 볼륨은, 배플 가스로 배플 볼륨 (470) 을 채우는데 걸리는 시간의 양을 증가시킬 수도 있고, 배플 가스 동작들의 사이클 시간을 증가시킬 수도 있으며, 이는 툴 생산성을 감소시킬 수도 있다.
도 4i는 도 4a의 라디컬 소스의 일부의 각진 부분 절단도를 도시한다. 도 4j는 상이한 각도로부터의 도 4a의 라디컬 소스의 일부의 각진 부분적인 절단도를 도시한다. 도 4i 및 도 4j에서 관측될 수 있는 바와 같이, 냉각제 (회색 화살표들) 는, 냉각제 유입구 (418) 를 통해, 냉각제 피드 채널들 (456) 을 따라, 냉각제 상승기 (478) 아래로, 서펜타인 냉각 통로를 통해, 다른 냉각제 상승기 (478) 위로 및 다른 냉각제 피드 채널 (456) 을 통해 , 및 냉각 유출구 (420) 외부로 전달될 수도 있다. 그러한 어레인지먼트는 배플 (410) 에 관해 방사 패턴으로 반복될 수도 있다.
라디컬 소스들에 관한 상기 설명이 RPALD 프로세스들의 맥락에 존재하지만, 그러한 장비가 또한, 시간 및 공간에서 분리되게 바람직하게 유지되는 원격 플라즈마 생성 및 라디컬 흐름들 및 전구체 가스 흐름들을 수반하는 임의의 반도체 제조 프로세스에서 사용될 수도 있음을 이해할 것이다. 예를 들어, 그러한 장비는, 재료의 컨포멀 층들이 라디컬들 및 하나 이상의 전구체(들)의 교번하는 애플리케이션들을 사용하여 에칭되는 원자층 에칭 (ALE)-타입 프로세스에서 사용될 수도 있다. 일반적으로, 원자층 프로세스에 대한 참조들이, 그것이 원자층 증착, 원자층 에칭, 또는 유사한 기본적인 특징을 갖는 몇몇 다른 프로세스인지와 관계없이 원자 계층화를 수반하는 임의의 컨포멀 프로세스를 지칭하는 것으로 이해될 것이다.
도 5는 여기에 설명된 바와 같은 라디컬 소스를 사용하기 위한 하나의 기술을 도시하는 흐름도를 도시한다. 블록 (502) 에서, 기술 (500) 이 시작한다. 다양한 반도체 제조 기술들이 기술 (500) 을 포함할 수도 있고, 그러한 제조 기술들이 블록 (502) 이전에 부가적인 단계들을 포함할 수도 있음을 이해할 것이다. 블록 (504) 에서, 제 1 프로세스 가스는 상술된 원격 볼륨과 같은 원격 볼륨으로 흐른다. 블록 (506) 에서, 플라즈마는, 예를 들어, 원격 볼륨에 관해 위치된 RF 코일들을 에너자이징함으로써 생성될 수도 있다. 대안적으로, 제 1 프로세스 가스는 라디컬화될 수도 있고, 즉, 라디컬들은 제 1 프로세스 가스를 사용하여 생성될 수도 있다. 이것은 원격 볼륨 내에서 발생할 수도 있거나, 라디컬화된 제 1 프로세스 가스는 외부 소스, 예를 들어, 외부 원격 플라즈마 생성기로부터 원격 볼륨으로 공급될 수도 있다.
블록 (508) 에서, 배플 가스는 본 발명에서 상술된 배플 볼륨과 같은 배플 볼륨으로 흐를 수도 있다. 몇몇 구현들에서, 배플 가스는 제 1 프로세스 가스와 동일할 수도 있으며, 또한, 블록 (504) 의 제 1 프로세스 가스 흐름으로서 기능할 수도 있다. 배플 가스 흐름은, 라디컬화된 제 1 프로세스 가스가 본 발명에서 상술된 면판들과 같은 면판을 통해 원격 볼륨을 탈출하고 흐르게 하는 것을 유지하도록 작동할 수도 있다. 블록 (510) 에서, 제 2 프로세스 가스는 면판으로부터 웨이퍼 반응 영역으로 흐를 수도 있고, 반도체 웨이퍼 또는 기판 상에 증착될 수도 있다. 충분한 증착이 발생된 이후, 제 2 프로세스 가스 흐름이 중지될 수도 있고, 웨이퍼 반응 영역의 퍼지가 블록 (512) 에서 시작된다.
블록 (512) 의 퍼지 동작이 완료된 이후, 배플 볼륨으로의 배플 가스 흐름은 블록 (514) 에서 중지될 수도 있으며, 원격 볼륨으로부터의 라디컬화된 제 1 프로세스 가스가 블록 (516) 에서 면판 내의 제 1 가스 분배 홀들을 통해 웨이퍼 반응 영역으로 흐르게 한다. 충분한 라디컬화된 제 1 프로세스 가스가 웨이퍼 반응 영역에 제공되고 충분한 반응 시간이 경과된 경우, 배플 볼륨으로의 배플 가스 흐름은 블록 (518) 에서 재시작될 수도 있으며, 원격 볼륨으로부터 웨이퍼 반응 영역으로의 라디컬화된 제 1 프로세스 가스 흐름을 추가적으로 단축시킨다 (curtail). 웨이퍼 반응 영역으로부터 미반응된 라디컬화된 제 1 프로세스 가스를 클리어 (clear) 하기 위해, 추가적인 퍼지 동작이 블록 (520) 에서 수행될 수도 있다. 블록 (522) 에서, 추가적인 ALD 사이클들이 필요한지에 관한 결정이 행해질 수도 있다. 그러하다면, 기술은 블록 (510) 으로 리턴할 수도 있다. 그렇지 않다면, 기술은 블록 (524) 으로 계속될 수도 있으며, 여기서, 플라즈마가 소멸될 수도 있다. 기술은 블록 (526) 에서 종료할 수도 있다. 기술 (500) 이 수행되는 특정한 제조 프로세스에 의존하여, 부가적인 단계들이 블록 (526) 이후에 또는 도시된 블록들 중 임의의 블록들 사이에서 수행될 수도 있음을 이해할 것이다.
블록 (514) 에서, 원격 볼륨으로의 제 1 프로세스 가스의 흐름이 중지될 수도 있다. 블록 (514) 는 선택적이며, 몇몇 경우들에서는 생략될 수도 있다. 예를 들어, 배플 가스는 제 1 프로세스 가스와 충분히 유사한 조성을 가지면, 제 1 프로세스 가스는 중지될 수도 있고, 플라즈마가 배플 가스를 이용하여 피드될 수도 있다. 그러나, 배플 가스가 플라즈마를 켜지게 유지하기 위하여 제 1 프로세스 가스와 조성에서 충분히 유사하지 않으면, 제 1 프로세스 가스는 원격 볼륨에 계속 공급될 수도 있다.
블록 (516) 에서, 가스는 펌핑 포트를 통해 원격 볼륨으로부터 방출될 수도 있다. 이것은, 플라즈마 돔의 오버-가압을 방지하도록 행해질 수도 있다. 원격 볼륨 내의 압력 환경이 수용가능한 경계들 내에 있다면, 그러한 방출은 불필요할 수도 있다. 따라서, 블록 (516) 은 몇몇 구현들에서는 선택적일 수도 있다.
블록 (518) 에서, 원격 볼륨으로의 배플 가스의 흐름이 중지된다. 이것은, 원격 볼륨 내의 제 1 프로세스 가스 및 라디컬들이 웨이퍼를 향해 계속 흐르게 할 수도 있다. 제 1 프로세스 가스가 블록 (514) 에서 턴 오프되면, 제 1 프로세스 가스의 흐름은 선택적인 블록 (520) 에서 재시작될 수도 있다. 퍼지 사이클이 블록 (522) 에서 종료될 수도 있다.
블록 (524) 에서, 원격-생성된 플라즈마를 수반하는 추가적인 프로세싱이 보장되는지에 관한 결정이 행해질 수도 있다. 그러하다면, 기술은 블록 (508) 로 리턴할 수도 있다. 그렇지 않다면, 기술은 블록 (526) 으로 진행할 수도 있으며, 여기서, 플라즈마는 소멸될 수도 있다. 기술은 블록 (528) 에서 종료할 수도 있지만, 사용된 반도체 제조 프로세스에 의존하여 블록 (528) 이후 다양한 다른 단계들 또는 동작들이 수행될 수도 있음을 인식할 것이다.
상술된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널들 등의 제작 또는 제조를 위한 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 사용될 수도 있다. 필수적이지는 않지만 통상적으로, 그러한 툴들/프로세스들은 일반적인 제조 설비에서 함께 사용되거나 수행될 것이다. 막의 리소그래피 패터닝은 통상적으로 다음의 단계들 중 일부 또는 전부를 포함하며, 각각의 단계는 다수의 가능한 툴들을 이용하여 가능하게 된다: (1) 스핀-온 (spin-on) 또는 스프레이-온 (spray-on) 툴을 사용하여 워크피스, 즉 기판 상에 포토레지스트의 인가; (2) 뜨거운 판 또는 용광로 (furnace) 또는 UV 경화 툴을 사용하여 포토레지스트의 경화; (3) 웨이퍼 스테퍼와 같은 툴로 가시, UV, 또는 x-레이 광에 포토레지스트를 노출시킴; (4) 레지스트를 선택적으로 제거하고, 그에 의해, 습식 벤치와 같은 툴을 사용하여 그것을 패터닝하기 위해 레지스트를 현상함; (5) 건식 또는 플라즈마-보조 에칭 툴을 사용함으로써 레지스트 패턴을 하부 막 또는 워크피스에 전사; 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거.
본 발명의 다른 양태는 여기에 설명된 방법들을 달성하도록 구성된 장치이다. 적절한 장치는, 프로세스 동작들을 달성하기 위한 하드웨어, 및 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 갖는 시스템 제어기를 포함한다. 시스템 제어기는, 예를 들어, 여기에 설명된 방사 소스들로의 제 1 프로세스 가스들, 제 2 프로세스 가스들, 및 배플 가스들의 흐름을 제어하는 밸브들을 동작하도록 구성될 수도 있다. 시스템 제어기는 또한, 배플을 통한 냉각제의 흐름을 제어하고, RF 생성기 하드웨어의 동작을 제어하도록 구성될 수도 있다. 시스템 제어기는, 반도체 프로세스 요건들에 따라 라디컬 소스를 제어하기 위해 하나 이상의 센서들, 예를 들어, 온도 센서들, 압력 센서들 등으로부터 데이터를 수신할 수도 있다. 시스템 제어기는 통상적으로, 하나 이상의 메모리 디바이스들, 및 장치가 본 발명에 따른 방법을 수행하게 하기 위해 명령들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 본 발명에 따른 프로세스 동작들을 제어하기 위한 명령들을 포함하는 머신-판독가능 매체들은 시스템 제어기에 통신적으로 커플링될 수도 있다.
상기 구현들 중 임의의 구현은 단독으로 또는 임의의 결합으로 서로 함께 사용될 수도 있다. 다양한 구현들이, 본 명세서에서의 하나 이상의 장소들에서 설명되거나 언급될 수도 있는 종래 기술에 관한 다양한 결함들에 의해 동기부여될 수도 있지만, 구현들은 이들 결함들 중 임의의 결함을 반드시 해결하지는 않는다. 즉, 상이한 구현들은 명세서에서 설명될 수도 있는 상이한 결함들을 해결할 수도 있다. 몇몇 구현들은, 명세서에서 설명될 수도 있는 몇몇 결함들을 또는 단지 하나의 결함만을 부분적으로 해결할 수도 있으며, 몇몇 구현들은 이들 결함들 중 임의의 결함을 해결하지 못할 수도 있다.
다양한 구현들이 여기에 설명되었지만, 그들이 제한이 아닌 단지 예로서 제공됨을 이해해야 한다. 따라서, 본 발명의 폭 및 범위는 여기에 설명된 구현들 중 임의의 구현에 의해 제한되지 않아야 하지만, 다음의 및 추후의 제공된 청구항들 및 그들의 등가물들에 따라서만 정의되어야 한다.
상술된 구현들 중 임의의 구현에서의 특징들이 서로 호환되지 않는 것으로 명백히 식별되거나, 그들이 상호 배타적이고 보안적인 및/또는 지지 관점에서 용이하게 결합가능하지 않다는 것을 암시하지 않으면, 이들 구현들의 특정한 특성들이 약간 상이하지만 하나 이상의 포괄적인 기술 솔루션들을 제공하도록 본 발명의 모든 것이 선택적으로 결합될 수 있음을 고려 및 생각함을 이해할 것이다. 따라서, 상기 설명이 단지 예로서 제공되며, 세부적인 변경들이 본 발명의 범위 내에서 행해질 수도 있음을 추가적으로 인식할 것이다.

Claims (26)

  1. 반도체 프로세스들에 대한 라디컬 소스로서,
    면판 (faceplate) 을 통과하고 라디컬 소스를 빠져나가는 제 1 복수의 가스 분배 홀들을 포함하는 상기 면판으로서, 상기 제 1 복수의 가스 분배 홀들은 제 1 총 흐름 도전성을 갖는, 상기 면판;
    제 1 플래넘 볼륨으로서, 상기 제 1 플래넘 볼륨은 상기 면판에 의해 부분적으로 바운딩 (bound) 되는, 상기 제 1 플래넘 볼륨;
    제 1 측면 및 상기 제 1 측면에 반대인 제 2 측면을 갖는 배플로서, 상기 배플은 상기 면판에 대면하는 상기 제 1 측면을 가지면서 상기 면판으로부터 오프셋되고, 상기 배플은, 상기 배플의 제 1 측면과 상기 면판 사이에 위치된 배플 볼륨, 및 상기 배플의 제 2 측면에 의해 부분적으로 바운딩된 원격 볼륨으로 상기 제 1 플래넘 볼륨을 분할하며, 상기 배플은 상기 배플 볼륨 및 상기 원격 볼륨을 유동적으로 접속시키는 복수의 배플 홀들을 포함하고, 상기 배플 홀들은 상기 제 1 총 흐름 도전성보다 더 큰 총 흐름 도전성을 갖는, 상기 배플;
    상기 배플 볼륨으로 배플 가스를 흐르게 하도록 구성된 하나 이상의 배플 가스 유입구들; 및
    하나 이상의 제 1 프로세스 가스 유입구들로서, 상기 하나 이상의 제 1 프로세스 가스 유입구들은, 상기 원격 볼륨으로 제 1 프로세스 가스를 흐르게 하도록 구성되는, 상기 하나 이상의 제 1 프로세스 가스 유입구들을 포함하는, 라디컬 소스.
  2. 제 1 항에 있어서,
    상기 하나 이상의 배플 가스 유입구들은, 상기 배플 가스를 상기 원격 볼륨에 먼저 노출시키지 않으면서 및 상기 배플 가스를 제 1 가스 분배 홀들에 먼저 노출시키지 않으면서, 상기 배플 볼륨으로 상기 배플 가스를 흐르게 하도록 구성되는, 라디컬 소스.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 하나 이상의 제 1 프로세스 가스 유입구들은, 제 1 프로세스 가스를 상기 배플로 먼저 노출시키지 않으면서, 상기 원격 볼륨으로 상기 제 1 프로세스 가스를 흐르게 하도록 구성되는, 라디컬 소스.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 면판과 접속되는 원격 플라즈마 돔을 더 포함하며,
    상기 원격 볼륨은 상기 배플 및 상기 원격 플라즈마 돔에 의해 실질적으로 정의되는, 라디컬 소스.
  5. 제 4 항에 있어서,
    상기 원격 볼륨 내에서 상기 제 1 프로세스 가스를 사용하여 플라즈마를 점화시키도록 구성된 무선-주파수 생성기를 더 포함하는, 라디컬 소스.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 면판과 접속되는 커버로서, 상기 커버는 상기 하나 이상의 제 1 프로세스 가스 유입구들을 포함하는, 상기 커버; 및
    상기 하나 이상의 제 1 프로세스 가스 유입구들과 접속되는 외부 원격 플라즈마 생성기로서, 상기 외부 원격 플라즈마 생성기는, 상기 하나 이상의 제 1 프로세스 가스 유입구들을 통해 상기 원격 볼륨으로 라디컬화된 제 1 프로세스 가스를 공급하도록 구성되는, 상기 외부 원격 플라즈마 생성기를 더 포함하는, 라디컬 소스.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 배플과 접속되고 상기 배플로부터 오프셋되는 전극판을 더 포함하며,
    상기 원격 볼륨은 상기 전극판과 상기 배플 사이에 형성되고,
    상기 전극판은 상기 제 1 프로세스 가스를 사용하여 상기 원격 볼륨 내에서 플라즈마를 점화시키도록 구성되는, 라디컬 소스.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 면판은, 상기 면판 내의 가스 분배 채널들의 세트에 유동적으로 접속된 복수의 제 2 가스 분배 홀들을 갖는 듀얼-흐름 면판이며,
    상기 가스 분배 채널들은 하나 이상의 제 2 프로세스 가스 유입구들, 및 상기 배플에 반대쪽인 측면 상에서 상기 면판을 빠져나가는 제 2 가스 분배 홀들에 유동적으로 접속되는, 라디컬 소스.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 배플은 석영으로부터 제작되거나, 석영-코팅되는, 라디컬 소스.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 배플 볼륨은 하나 이상의 외부 주변 표면들에 의해 추가적으로 바운딩되며,
    상기 하나 이상의 배플 가스 유입구들은, 일 선회 (revolution) 의 외부 표면들 중 하나 이상을 따라 위치되는, 라디컬 소스.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 라디컬 소는 상기 배플에 대면하는 상기 면판의 측면에 걸쳐 배열된 복수의 배플 가스 유입구들을 포함하며,
    상기 배플 가스 유입구들은, 상기 면판 내의 배플 가스 분배 채널들의 세트에 유동적으로 접속되고,
    상기 배플 가스 분배 채널들은 상기 배플 가스 유입구들을 통해 상기 배플 볼륨으로 배플 가스를 흐르게 하도록 구성되는, 라디컬 소스.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 면판 및 상기 배플은 실질적으로 동일한 사이즈를 갖는, 라디컬 소스.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 배플 볼륨은, 대략적인 크기를 갖거나, 상기 원격 볼륨이 축방향에 있는 것보다 축방향에서 더 얇으며,
    상기 축방향은 상기 면판과 실질적으로 수직한, 라디컬 소스.
  14. 제 1 항 또는 제 2 항에 있어서,
    제 1 가스 분배 홀들 및 상기 배플 홀들은 매칭 패턴으로 배열되고,
    상기 배플 홀들은 상기 제 1 가스 분배 홀들보다 더 큰, 라디컬 소스.
  15. 제 1 항 또는 제 2 항에 있어서,
    제 1 가스 분배 홀들 및 상기 배플 홀들은 비-매칭 패턴들로 배열되는, 라디컬 소스.
  16. 제 15 항에 있어서,
    상기 제 1 가스 분배 홀들 및 상기 배플 홀들은 서로 중첩하지 않는, 라디컬 소스.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 배플은 액체-냉각되는, 라디컬 소스.
  18. 제 17 항에 있어서,
    상기 배플은, 상기 배플을 횡단하고, 상기 배플 홀들 중 임의의 홀을 교차하지 않는 내부 냉각 통로들을 포함하는, 라디컬 소스.
  19. 제 1 항 또는 제 2 항에 있어서,
    상기 배플 가스 유입구들로부터 상기 배플 볼륨으로의 배플 가스 흐름을 제어하도록 구성된 하나 이상의 배플 가스 유입 압력 제어 밸브들; 및
    메모리 디바이스, 및 상기 메모리 디바이스 및 상기 하나 이상의 배플 가스 유입 압력 제어 밸브들과 통신적으로 접속되는 하나 이상의 프로세서들을 포함하는 제어기를 더 포함하며,
    상기 메모리는,
    라디컬화된 제 1 프로세스 가스가 제 1 가스 분배 홀들을 통하여 상기 면판을 통해 흐르게 되는 것이 실질적으로 방지되는, 상기 라디컬 소스를 이용하여 수행되는 원자층 증착 (ALD) 사이클의 제 1 동작들 동안 상기 하나 이상의 배플 가스 유입 압력 제어 밸브들을 개방하고,
    상기 ALD 사이클의 제 2 동작들 동안 상기 하나 이상의 배플 가스 유입 압력 제어 밸브들을 실질적으로 폐쇄하며 - 상기 제 2 동작들은 제 1 가스 분배 홀들을 통하여 상기 면판을 통해 라디컬화된 제 1 프로세스 가스를 흐르게 하는 것을 포함함 -; 그리고
    교번하는 방식으로 상기 제 1 동작들 및 상기 제 2 동작들을 반복하도록 상기 하나 이상의 프로세서들을 제어하기 위한 컴퓨터-실행가능 명령들을 저장하는, 라디컬 소스.
  20. 제 19 항에 있어서,
    상기 면판은, 상기 면판 내의 가스 분배 채널들의 세트에 유동적으로 접속된 복수의 제 2 가스 분배 홀들을 갖는 듀얼-흐름 면판이고,
    상기 가스 분배 채널들은 하나 이상의 제 2 프로세스 가스 유입구들에 유동적으로 접속되고,
    상기 제 2 가스 분배 홀들은 상기 배플의 반대쪽의 측면 상에서 상기 면판을 빠져나가며,
    상기 제 1 동작은,
    상기 제 2 가스 분배 홀들을 통해 상기 면판의 바깥쪽으로 제 2 프로세스 가스를 흐르게 하는 것, 및
    각각의 연속적인 제 1 동작과 제 2 동작 사이 및 각각의 연속적인 제 2 동작과 제 1 동작 사이에서 퍼지 (purge) 동작들을 수행하는 것을 포함하는, 라디컬 소스.
  21. 제 1 항 또는 제 2 항에 있어서,
    상기 배플 볼륨이 상기 원격 볼륨과 펌핑 포트 (pumping port) 사이에 개재되지 않도록 상기 원격 볼륨에 유동적으로 접속된 상기 펌핑 포트를 더 포함하는, 라디컬 소스.
  22. 제 1 항 또는 제 2 항에 있어서,
    상기 하나 이상의 제 1 프로세스 가스 유입구들 및 상기 하나 이상의 배플 가스 유입구들은 실질적으로 동일한 가스의 동일한 가스 소스 또는 별개의 소스들에 접속되는, 라디컬 소스.
  23. 제 22 항에 있어서,
    공통 소스로부터 2개의 별개의 흐름 경로들 중 하나로의 스위칭가능한 가스 전달을 용이하게 하는 3방향 (three-way) 밸브 또는 다른 밸브 어레인지먼트 (arrangement) 는, 상기 하나 이상의 제 1 프로세스 가스 유입구들 및 상기 하나 이상의 배플 가스 유입구들을 상기 가스 소스에 접속시키는데 사용되는, 라디컬 소스.
  24. 원격 플라즈마 원자층 제조 프로세스에서 라디컬 소스를 동작시키는 방법으로서,
    a) 상기 라디컬 소스의 원격 볼륨 내에 라디컬화된 제 1 프로세스 가스를 제공하는 단계;
    b) 상기 라디컬 소스의 배플 볼륨으로 배플 가스를 흐르게 하는 단계로서, 상기 배플 볼륨은, 웨이퍼 반응 영역에 대면하는 복수의 제 1 가스 분배 홀들을 갖는 상기 라디컬 소스의 면판과 상기 원격 볼륨 사이에 개재되고, 상기 배플 볼륨은 배플에 의해 상기 배플 볼륨으로부터 분할되고 복수의 배플 홀들에 의해 상기 배플을 통하여 상기 원격 볼륨과 유동적으로 접속되는, 상기 배플 가스를 흐르게 하는 단계;
    c) 상기 면판 내의 복수의 제 2 가스 분배 홀들을 통해 및 상기 웨이퍼 반응 영역을 향해 제 2 프로세스 가스를 흐르게 하는 단계;
    d) 상기 복수의 제 2 가스 분배 홀들을 통해 상기 제 2 프로세스 가스의 흐름을 실질적으로 중지하는 단계;
    e) 상기 복수의 제 2 가스 분배 홀들을 통한 상기 제 2 프로세스 가스의 흐름이 중지된 이후, 상기 웨이퍼 반응 영역으로부터 미반응된 제 2 프로세스 가스를 제거하기 위해 상기 웨이퍼 반응 영역의 제 1 퍼지를 수행하는 단계;
    f) 상기 제 1 퍼지가 완료된 이후, 상기 배플 볼륨으로의 상기 배플 가스의 흐름을 실질적으로 중지하는 단계;
    g) 상기 원격 볼륨으로부터 상기 배플 볼륨으로, 상기 면판 내의 상기 제 1 가스 분배 홀들을 통해, 및 상기 웨이퍼 반응 영역으로 상기 라디컬화된 제 1 프로세스 가스를 흐르게 하는 단계;
    h) 상기 웨이퍼 반응 영역의 제 2 퍼지를 수행하는 단계;
    i) 상기 배플 볼륨으로의 상기 배플 가스의 흐름을 재시작하는 단계; 및
    j) 원자층 프로세스 내의 각각의 사이클 동안 상기 단계들 c) 내지 i) 를 반복하는 단계를 포함하는, 라디컬 소스를 동작시키는 방법.
  25. 제 24 항에 있어서,
    상기 배플 가스가 상기 배플 볼륨으로 흐르는 동안, 펌핑 포트를 통해 상기 원격 볼륨에서 구축된 압력을 해소 (relieve) 시키는 단계를 더 포함하는, 라디컬 소스를 동작시키는 방법.
  26. 제 24 항 또는 제 25 항에 있어서,
    상기 배플 내의 냉각 채널들을 통해 냉각제를 흐르게 하는 단계를 더 포함하는, 라디컬 소스를 동작시키는 방법.
KR1020130161939A 2012-12-21 2013-12-23 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계 KR102174446B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020200142328A KR102294827B1 (ko) 2012-12-21 2020-10-29 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261740914P 2012-12-21 2012-12-21
US61/740,914 2012-12-21
US13/842,054 US10316409B2 (en) 2012-12-21 2013-03-15 Radical source design for remote plasma atomic layer deposition
US13/842,054 2013-03-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020200142328A Division KR102294827B1 (ko) 2012-12-21 2020-10-29 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계

Publications (2)

Publication Number Publication Date
KR20140081755A true KR20140081755A (ko) 2014-07-01
KR102174446B1 KR102174446B1 (ko) 2020-11-05

Family

ID=50975114

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020130161939A KR102174446B1 (ko) 2012-12-21 2013-12-23 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계
KR1020200142328A KR102294827B1 (ko) 2012-12-21 2020-10-29 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020200142328A KR102294827B1 (ko) 2012-12-21 2020-10-29 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계

Country Status (3)

Country Link
US (2) US10316409B2 (ko)
KR (2) KR102174446B1 (ko)
TW (1) TWI697942B (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106463344B (zh) * 2014-05-16 2019-10-11 应用材料公司 喷头设计
US9570289B2 (en) 2015-03-06 2017-02-14 Lam Research Corporation Method and apparatus to minimize seam effect during TEOS oxide film deposition
KR101670382B1 (ko) * 2015-03-10 2016-10-28 우범제 퍼지가스 분사 플레이트 및 그 제조 방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10395918B2 (en) 2015-05-22 2019-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for controlling plasma in semiconductor fabrication
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US11004661B2 (en) 2015-09-04 2021-05-11 Applied Materials, Inc. Process chamber for cyclic and selective material removal and etching
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US11015247B2 (en) 2017-12-08 2021-05-25 Lam Research Corporation Integrated showerhead with improved hole pattern for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10943768B2 (en) * 2018-04-20 2021-03-09 Applied Materials, Inc. Modular high-frequency source with integrated gas distribution
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
JP7301075B2 (ja) * 2018-06-14 2023-06-30 エムケーエス インストゥルメンツ,インコーポレイテッド リモートプラズマ源用のラジカル出力モニタ及びその使用方法
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
CN112673456A (zh) * 2018-09-10 2021-04-16 朗姆研究公司 使用亚稳的活化自由基物质的原子层处理工艺
US11721527B2 (en) * 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
CN111501024A (zh) * 2020-05-08 2020-08-07 Tcl华星光电技术有限公司 气相沉积装置

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251188B1 (en) * 1997-03-04 2001-06-26 Tokyo Electron Limited Apparatus for forming laminated thin films or layers
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030205328A1 (en) * 2000-04-26 2003-11-06 Kinnard David W. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
KR20040079559A (ko) * 2003-03-07 2004-09-16 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR20040091218A (ko) * 2003-04-19 2004-10-28 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US20040216844A1 (en) * 2003-05-02 2004-11-04 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20070215048A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle contamination in a deposition system
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20090320756A1 (en) * 2008-06-25 2009-12-31 Tokyo Electron Limited Microwave plasma processing apparatus
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20110036322A (ko) * 2009-10-01 2011-04-07 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기가 구비된 플라즈마 반응기 및 이를 지지하는 거치대
US20110088847A1 (en) * 2009-10-15 2011-04-21 Law Kam S Showerhead assembly for plasma processing chamber
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Family Cites Families (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3156326B2 (ja) 1992-01-07 2001-04-16 富士通株式会社 半導体成長装置およびそれによる半導体成長方法
GB9411911D0 (en) 1994-06-14 1994-08-03 Swan Thomas & Co Ltd Improvements in or relating to chemical vapour deposition
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
ATE181637T1 (de) 1994-10-31 1999-07-15 Applied Materials Inc Plasmareaktoren zur halbleiterscheibenbehandlung
US5919382A (en) 1994-10-31 1999-07-06 Applied Materials, Inc. Automatic frequency tuning of an RF power source of an inductively coupled plasma reactor
US6054013A (en) 1996-02-02 2000-04-25 Applied Materials, Inc. Parallel plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6036878A (en) 1996-02-02 2000-03-14 Applied Materials, Inc. Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6200412B1 (en) 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US5614026A (en) 1996-03-29 1997-03-25 Lam Research Corporation Showerhead for uniform distribution of process gas
EP0854210B1 (en) 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Vapor deposition apparatus for forming thin film
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
GB9712400D0 (en) 1997-06-16 1997-08-13 Trikon Equip Ltd Shower head
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
JP4149051B2 (ja) 1998-11-09 2008-09-10 東京エレクトロン株式会社 成膜装置
TW582050B (en) 1999-03-03 2004-04-01 Ebara Corp Apparatus and method for processing substrate
US6565661B1 (en) 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6205869B1 (en) 1999-08-12 2001-03-27 Sentry Equipment Corporation Apparatus and method for sampling fluid from reactor vessel
US6364949B1 (en) 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
TW514996B (en) 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
JP3953247B2 (ja) 2000-01-11 2007-08-08 株式会社日立国際電気 プラズマ処理装置
DE10007059A1 (de) 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6306247B1 (en) * 2000-04-19 2001-10-23 Taiwan Semiconductor Manufacturing Company, Ltd Apparatus and method for preventing etch chamber contamination
US6537419B1 (en) * 2000-04-26 2003-03-25 David W. Kinnard Gas distribution plate assembly for providing laminar gas flow across the surface of a substrate
DE10024883A1 (de) 2000-05-19 2001-11-29 Bosch Gmbh Robert Plasmaätzanlage
KR100406174B1 (ko) 2000-06-15 2003-11-19 주식회사 하이닉스반도체 화학적 강화 화학 기상 증착 장비에 사용되는 샤워 헤드
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
DE50210381D1 (de) * 2001-04-10 2007-08-09 Basf Ag Eisenoxide mit höherem veredelungsgrad
JP4250375B2 (ja) 2001-05-15 2009-04-08 キヤノン株式会社 成膜装置及び電子源の製造装置並びにそれらを用いた成膜方法及び電子源の製造方法
US20060191637A1 (en) 2001-06-21 2006-08-31 John Zajac Etching Apparatus and Process with Thickness and Uniformity Control
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6617679B2 (en) * 2002-02-08 2003-09-09 Advanced Energy Industries, Inc. Semiconductor package for multiple high power transistors
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7335396B2 (en) * 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7296534B2 (en) 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
JP4306403B2 (ja) 2003-10-23 2009-08-05 東京エレクトロン株式会社 シャワーヘッド構造及びこれを用いた成膜装置
KR100513920B1 (ko) 2003-10-31 2005-09-08 주식회사 시스넥스 화학기상증착 반응기
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US7273526B2 (en) 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050241579A1 (en) 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
US7712434B2 (en) 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20050241767A1 (en) * 2004-04-30 2005-11-03 Ferris David S Multi-piece baffle plate assembly for a plasma processing system
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
CN102154628B (zh) 2004-08-02 2014-05-07 维高仪器股份有限公司 用于化学气相沉积反应器的多气体分配喷射器
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7601242B2 (en) * 2005-01-11 2009-10-13 Tokyo Electron Limited Plasma processing system and baffle assembly for use in plasma processing system
JP2006261217A (ja) 2005-03-15 2006-09-28 Canon Anelva Corp 薄膜形成方法
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
KR100747735B1 (ko) 2005-05-13 2007-08-09 주식회사 테스 반도체 제조 장치
KR100731164B1 (ko) 2005-05-19 2007-06-20 주식회사 피에조닉스 샤워헤드를 구비한 화학기상 증착 방법 및 장치
US7895970B2 (en) 2005-09-29 2011-03-01 Tokyo Electron Limited Structure for plasma processing chamber, plasma processing chamber, plasma processing apparatus, and plasma processing chamber component
JP4997842B2 (ja) 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
DE102005055468A1 (de) 2005-11-22 2007-05-24 Aixtron Ag Verfahren zum Abscheiden von Schichten in einem CVD-Reaktor sowie Gaseinlassorgan für einen CVD-Reaktor
US20070264427A1 (en) 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
CN101003895B (zh) 2006-01-16 2011-10-19 中微半导体设备(上海)有限公司 一种传送反应物到基片的装置及其处理方法
JP2007191792A (ja) 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
KR100752622B1 (ko) 2006-02-17 2007-08-30 한양대학교 산학협력단 원거리 플라즈마 발생장치
JP4844167B2 (ja) 2006-02-24 2011-12-28 東京エレクトロン株式会社 冷却ブロック及びプラズマ処理装置
US8231799B2 (en) 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
JP2008027796A (ja) * 2006-07-24 2008-02-07 Canon Inc プラズマ処理装置
US8187679B2 (en) * 2006-07-29 2012-05-29 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
JP2008066413A (ja) 2006-09-05 2008-03-21 Tokyo Electron Ltd シャワーヘッド構造及びこれを用いた処理装置
KR100849929B1 (ko) 2006-09-16 2008-08-26 주식회사 피에조닉스 반응 기체의 분사 속도를 적극적으로 조절하는 샤워헤드를구비한 화학기상 증착 방법 및 장치
JP2008088228A (ja) 2006-09-29 2008-04-17 Fujifilm Corp インクジェット用インク組成物、及び、これを用いた画像形成方法並びに記録物
US20080156264A1 (en) 2006-12-27 2008-07-03 Novellus Systems, Inc. Plasma Generator Apparatus
US20090136652A1 (en) 2007-06-24 2009-05-28 Applied Materials, Inc. Showerhead design with precursor source
US20090095222A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas spiral channel showerhead
US20090095221A1 (en) 2007-10-16 2009-04-16 Alexander Tam Multi-gas concentric injection showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
FI123322B (fi) * 2007-12-17 2013-02-28 Beneq Oy Menetelmä ja laitteisto plasman muodostamiseksi
US8512509B2 (en) 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
KR20090078538A (ko) 2008-01-15 2009-07-20 삼성전기주식회사 샤워 헤드와 이를 구비하는 화학 기상 증착 장치
JP5230225B2 (ja) * 2008-03-06 2013-07-10 東京エレクトロン株式会社 蓋部品、処理ガス拡散供給装置、及び基板処理装置
US8110068B2 (en) 2008-03-20 2012-02-07 Novellus Systems, Inc. Gas flow distribution receptacles, plasma generator systems, and methods for performing plasma stripping processes
KR101004927B1 (ko) 2008-04-24 2010-12-29 삼성엘이디 주식회사 Cvd용 샤워 헤드 및 이를 구비하는 화학 기상 증착 장치
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8147648B2 (en) 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
JP5026373B2 (ja) 2008-09-04 2012-09-12 シャープ株式会社 気相成長装置及び気相成長方法
JP2010084190A (ja) 2008-09-30 2010-04-15 Sharp Corp 気相成長装置および気相成長方法
WO2010101369A2 (ko) 2009-03-03 2010-09-10 주성엔지니어링㈜ 가스 분배 장치 및 이를 구비하는 기판 처리 장치
JP4576466B2 (ja) 2009-03-27 2010-11-10 シャープ株式会社 気相成長装置及び気相成長方法
US20100263588A1 (en) 2009-04-15 2010-10-21 Gan Zhiyin Methods and apparatus for epitaxial growth of semiconductor materials
KR101064210B1 (ko) 2009-06-01 2011-09-14 한국생산기술연구원 막증착 진공장비용 샤워헤드
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
CN102576667A (zh) 2009-07-22 2012-07-11 应用材料公司 中空阴极喷头
KR101062462B1 (ko) 2009-07-28 2011-09-05 엘아이지에이디피 주식회사 샤워헤드 및 이를 포함하는 화학기상증착장치
US8258025B2 (en) 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
US20110117728A1 (en) 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
WO2011044451A2 (en) 2009-10-09 2011-04-14 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US20120321910A1 (en) * 2010-01-12 2012-12-20 Sundew Technologies Llc Methods and apparatus for atomic layer deposition on large area substrates
US20110256692A1 (en) 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
JP4840832B2 (ja) 2010-04-28 2011-12-21 シャープ株式会社 気相成長装置、気相成長方法、および半導体素子の製造方法
US8910644B2 (en) 2010-06-18 2014-12-16 Applied Materials, Inc. Method and apparatus for inducing turbulent flow of a processing chamber cleaning gas
JP5474193B2 (ja) 2010-07-12 2014-04-16 株式会社アルバック 成膜装置
US8721791B2 (en) 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
TWI534291B (zh) 2011-03-18 2016-05-21 應用材料股份有限公司 噴淋頭組件
US9695510B2 (en) 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9245717B2 (en) 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
US8562785B2 (en) 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
DE102011056589A1 (de) 2011-07-12 2013-01-17 Aixtron Se Gaseinlassorgan eines CVD-Reaktors
JP5792563B2 (ja) 2011-08-31 2015-10-14 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
CN102424956B (zh) 2011-12-02 2013-07-10 彭继忠 用于金属有机化合物化学气相沉积设备的喷淋装置
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9447499B2 (en) 2012-06-22 2016-09-20 Novellus Systems, Inc. Dual plenum, axi-symmetric showerhead with edge-to-center gas delivery
US9121097B2 (en) 2012-08-31 2015-09-01 Novellus Systems, Inc. Variable showerhead flow by varying internal baffle conductance
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140127911A1 (en) * 2012-11-07 2014-05-08 Lam Research Corporation Palladium plated aluminum component of a plasma processing chamber and method of manufacture thereof
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140235069A1 (en) 2013-02-15 2014-08-21 Novellus Systems, Inc. Multi-plenum showerhead with temperature control
US9255326B2 (en) 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
CN103521956A (zh) 2013-10-10 2014-01-22 光达光电设备科技(嘉兴)有限公司 分离式喷淋头结构
US10107490B2 (en) 2014-06-30 2018-10-23 Lam Research Corporation Configurable liquid precursor vaporizer
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251188B1 (en) * 1997-03-04 2001-06-26 Tokyo Electron Limited Apparatus for forming laminated thin films or layers
US20030205328A1 (en) * 2000-04-26 2003-11-06 Kinnard David W. Actively-cooled distribution plate for reducing reactive gas temperature in a plasma processing system
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20030010451A1 (en) * 2001-07-16 2003-01-16 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
KR20040079559A (ko) * 2003-03-07 2004-09-16 위순임 원격 플라즈마 발생기를 이용한 진공 프로세스 챔버
KR20040091218A (ko) * 2003-04-19 2004-10-28 위순임 고밀도 플라즈마 화학적 기상 증착 챔버 및 이를 위한가스 노즐
US20040216844A1 (en) * 2003-05-02 2004-11-04 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20070215048A1 (en) * 2006-03-16 2007-09-20 Kenji Suzuki Method and apparatus for reducing particle contamination in a deposition system
US20080156631A1 (en) * 2006-12-27 2008-07-03 Novellus Systems, Inc. Methods of Producing Plasma in a Container
US20090320756A1 (en) * 2008-06-25 2009-12-31 Tokyo Electron Limited Microwave plasma processing apparatus
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20110036322A (ko) * 2009-10-01 2011-04-07 주식회사 뉴파워 프라즈마 원격 플라즈마 발생기가 구비된 플라즈마 반응기 및 이를 지지하는 거치대
US20110088847A1 (en) * 2009-10-15 2011-04-21 Law Kam S Showerhead assembly for plasma processing chamber
US20120135609A1 (en) * 2010-11-30 2012-05-31 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition

Also Published As

Publication number Publication date
TWI697942B (zh) 2020-07-01
US20140179114A1 (en) 2014-06-26
US11053587B2 (en) 2021-07-06
TW201442071A (zh) 2014-11-01
KR102174446B1 (ko) 2020-11-05
US10316409B2 (en) 2019-06-11
KR102294827B1 (ko) 2021-08-27
US20190301013A1 (en) 2019-10-03
KR20200127939A (ko) 2020-11-11

Similar Documents

Publication Publication Date Title
KR102294827B1 (ko) 원격 플라즈마 원자층 증착을 위한 라디컬 소스 설계
KR102484362B1 (ko) 효과적인 혼합 및 퍼징을 위한 유입부
CN101370963B (zh) 高温原子层沉积进气歧管
TW201913809A (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
US20140235069A1 (en) Multi-plenum showerhead with temperature control
KR102453999B1 (ko) 능동적으로 냉각된 그리드를 갖는 가스 분배 디바이스
KR102620610B1 (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
US20230343608A1 (en) Gasbox for semiconductor processing chamber
US20230402261A1 (en) Uniform in situ cleaning and deposition
US20230377908A1 (en) Compact modular gas distribution plumbing and heating system for multi-station deposition modules
US11804363B2 (en) Chamber components for gas delivery modulation
JP6543406B2 (ja) プラズマ処理装置
WO2024055142A1 (en) Gas supply apparatus and substrate processing apparatus including the same
US20220108891A1 (en) Modular zone control for a processing chamber

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant