KR101304684B1 - 기판 제작 방법 - Google Patents

기판 제작 방법 Download PDF

Info

Publication number
KR101304684B1
KR101304684B1 KR1020117014870A KR20117014870A KR101304684B1 KR 101304684 B1 KR101304684 B1 KR 101304684B1 KR 1020117014870 A KR1020117014870 A KR 1020117014870A KR 20117014870 A KR20117014870 A KR 20117014870A KR 101304684 B1 KR101304684 B1 KR 101304684B1
Authority
KR
South Korea
Prior art keywords
spaced
feature
features
substrate
modified
Prior art date
Application number
KR1020117014870A
Other languages
English (en)
Other versions
KR20110099281A (ko
Inventor
스캇 이. 실즈
거테즈 에스. 샌두
안톤 제이. 데빌리어스
Original Assignee
마이크론 테크놀로지, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크론 테크놀로지, 인크. filed Critical 마이크론 테크놀로지, 인크.
Publication of KR20110099281A publication Critical patent/KR20110099281A/ko
Application granted granted Critical
Publication of KR101304684B1 publication Critical patent/KR101304684B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 제작 방법은 기판 위에 이격된 제 1 특징부를 형성하는 단계를 포함한다. 상기 이격된 제 1 특징부 위에 변경가능 물질이 증착되고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질이 변경되어, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질이 형성된다. 상기 변경된 물질과는 다른 조성을 갖는 제 1 물질이 상기 변경된 물질 위에 증착된다. 상기 제 1 물질을 에칭하여, 상기 변경된 물질이 노출되고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하는 이격된 제 2 특징부가 형성된다. 그 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질이 에칭된다. 상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판이 처리된다. 다른 실시예들이 또한 개시된다.

Description

기판 제작 방법{METHODS OF FABRICATING SUBSTRATES}
여기서 개시되는 실시예들은, 예를 들어, 집적 회로의 제작에 사용될 수 있는, 기판 제작 방법에 관한 것이다.
집적 회로는 실리콘 웨이퍼 또는 그외 다른 반도성 물질과 같은 반도체 기판 상에 형성되는 것이 일반적이다. 일반적으로, 반도성, 전도성, 또는 절연성의 다양한 물질층들을 이용하여 집적 회로를 형성한다. 예를 들어, 다양한 물질이 다양한 프로세스를 이용하여 도핑되거나, 이온-임플랜팅되거나, 증착되거나, 에칭되거나, 성장한다. 반도체 공정의 계속적인 목표는 개별 전자 컴포넌트의 크기를 감소시키고자 하는 노력을 경주하여, 소형의 조밀한 집적 회로를 구현하는 것이다.
반도체 기판의 패턴화 및 처리를 위한 한가지 기술은 포토리소그래피다. 이 기술은 "포토레지스트"라고 알려진 패턴처리가능한 마스킹층의 증착을 포함한다. 이 물질을 처리하여 소정 용매에서의 용해도를 변경할 수 있고, 따라서, 기판 상에 패턴을 형성하는데 쉽게 이용할 수 있다. 예를 들어, 포토레지스트층의 일부분을 마스크 또는 레티클과 같은 복사-패턴처리 툴의 구멍을 통해 화학선 에너지에 노출시켜서, 증착된 상태에서의 용해도에 비해 노출되지 않은 영역에 대한 노출된 영역의 용매 용해도를 변경할 수 있다. 그후, 포토레지스트 종류에 따라, 노출된 영역 또는 노출되지 않은 영역을 제거할 수 있고, 따라서, 기판 상에 포토레지스트의 마스킹 패턴을 남기게 된다. 마스킹된 부분들 옆에 놓인 하부 기판의 인접 영역들은 예를 들어 에칭 또는 이온 임플랜팅에 의해 처리되어 마스킹 물질에 인접하여 위치하는 기판의 요망 공정을 수행할 수 있다. 소정의 예에서는, 포토레지스트의 여러가지 종류의 층들이, 또는 포토레지스트와 비-복사 감지 마스킹 물질과의 조합이, 사용된다.
특징부 크기의 계속적인 감소로 인해 특징부 형성에 사용되는 기술에 대해 더 많은 수요가 존재한다. 예를 들어, 전도성 라인과 같은 패턴처리된 특징부를 형성하기 위해 포토리소그래피가 흔히 사용된다. "피치"라고 불리는 개념을 이용하여 바로 인접한 간격과 연계하여 특징부의 크기를 설명할 수 있다. 피치는 직선 단면의 반복 패턴의 두 인접 특징부들에서의 동일 지점 간의 거리로 정의되고, 따라서, 특징부의 최대 폭과, 바로 다음에 인접한 특징부에 대한 간격을 포함한다. 그러나, 광학 소자 및 광/복사 파장 길이와 같은 인자로 인해, 포토리소그래피 기술은 최소 피치를 가지는 경향이 있고, 이러한 최소 피치 아래에서는 특정 포토리소그래피 기술이 특징부를 신뢰가능하게 형성할 수 없다. 따라서, 포토리소그래피 기술의 최소 피치는, 포토리소그래피를 이용한 계속되는 특징부 크기 감소에 대한 장애물이다.
피치 더블링(pitch doubling) 또는 피치 멀티플리케이션(pitch multiplication)은 포토리소그래피 기술의 능력을 최소 피치 너머로 확장하기 위해 제안된 한가지 방법이다. 이는 일반적으로, 최소 가능 포토리소그래피 특징부 크기의 횡방향 두께보다 작은 횡방향 두께를 가지도록 스페이서-형성층을 증착함으로써 최소 포토리소그래피 분해능보다 좁은 특징부를 형성한다. 이러한 스페이서-형성층은 흔히 이방성 에칭되어 서브-리소그래피 특징부를 형성하고, 그후, 최소 포토리소그래피 특징부 크기에서 형성된 특징부들이 기판으로부터 에칭된다.
피치가 실제로 반이 되는 이러한 기술을 이용하여, 이러한 피치 감소를 피치 "더블링"이라고 과거부터 불려왔다. 더욱 일반적으로, "피치 멀티플리케이션"은 피치를 두배 이상, 또는, 정수와는 다른 소수값으로 증가시키는 것을 포함한다. 따라서, 소정 인자만큼 피치를 멀티플리케이션하는 것은, 이 인자만큼 피치를 감소시키는 과정을 포함한다.
도 1은 본 발명의 일 실시예에 따른 프로세스에서 기판의 단면도.
도 2는 도 1의 단계 이전의 공정 단계에서 도 1의 기판의 도면.
도 3은 도 1에 도시되는 단계 이후의 공정 단계에서 도 1의 기판의 도면.
도 4는 도 3의 단계 이후의 공정 단계에서 도 3의 기판의 도면.
도 5는 도 4의 단계 이후의 공정 단계에서 도 4의 기판의 도면.
도 6은 도 5의 단계 이후의 공정 단계에서 도 5의 기판의 도면.
도 7은 도 6의 단계 이후의 공정 단계에서 도 6의 기판의 도면.
도 8은 도 7의 단계 이후의 공정 단계에서 도 7의 기판의 도면.
도 9는 도 8의 단계 이후의 공정 단계에서 도 8의 기판의 도면.
도 10은 본 발명의 일 실시예에 따른 프로세스에서 다른 기판의 단면도.
도 11은 도 10에 도시되는 단계에 이어지는 공정 단계에서 도 10의 기판의 도면.
도 12는 도 11에 도시되는 단계에 이어지는 공정 단계에서 도 11의 기판의 도면.
도 13은 도 12에 도시되는 단계에 이어지는 공정 단계에서 도 12의 기판의 도면.
도 14는 도 13에 도시되는 단계에 이어지는 공정 단계에서 도 13의 기판의 도면.
도 15는 도 14에 도시되는 단계에 이어지는 공정 단계에서 도 14의 기판의 도면.
도 16은 도 15에 도시되는 단계에 이어지는 공정 단계에서 도 15의 기판의 도면.
도 17은 도 16에 도시되는 단계에 이어지는 공정 단계에서 도 16의 기판의 도면.
도 18은 본 발명의 일 실시예에 따른 프로세스에서 다른 기판의 단면도.
도 19는 도 18에 도시되는 단계에 이어지는 공정 단계에서 도 18의 기판의 도면.
도 20은 도 19에 도시되는 단계에 이어지는 공정 단계에서 도 19의 기판의 도면.
도 21은 도 20에 도시되는 단계에 이어지는 공정 단계에서 도 20의 기판의 도면.
도 22는 도 21에 도시되는 단계에 이어지는 공정 단계에서 도 21의 기판의 도면.
도 23은 도 22에 도시되는 단계에 이어지는 공정 단계에서 도 22의 기판의 도면.
도 24는 도 23에 도시되는 단계에 이어지는 공정 단계에서 도 23의 기판의 도면.
도 25는 도 24에 도시되는 단계에 이어지는 공정 단계에서 도 24의 기판의 도면.
도 26은 본 발명의 일 실시예에 따른 프로세스에서 다른 기판의 단면도.
도 27은 도 26에 도시되는 단계에 이어지는 공정 단계에서 도 26의 기판의 도면.
도 28은 본 발명의 일 실시예에 따른 프로세스에서 다른 기판의 단면도.
도 29는 도 28에 도시되는 단계에 이어지는 공정 단계에서 도 28의 기판의 도면.
도 30은 도 29에 도시되는 단계에 이어지는 공정 단계에서 도 29 기판의 도면.
도 31은 도 30에 도시되는 단계에 이어지는 공정 단계에서 도 30의 기판의 도면.
도 32는 도 31에 도시되는 단계에 이어지는 공정 단계에서 도 31의 기판의 도면.
도 33은 본 발명의 일 실시예에 따른 프로세스에서 다른 기판의 단면도.
도 34는 도 33에 도시되는 단계에 이어지는 공정 단계에서 도 33의 기판의 도면.
도 35는 도 34에 도시되는 단계에 이어지는 공정 단계에서 도 34의 기판의 도면.
도 36은 도 35에 도시되는 단계에 이어지는 공정 단계에서 도 35의 기판의 도면.
도 37은 도 36에 도시되는 단계에 이어지는 공정 단계에서 도 36의 기판의 도면.
예를 들어 집적 회로의 형성과 같은, 본 발명에 따른 기판 제작 방법의 일부 실시예들이 도 1-10을 참고하여 먼저 설명된다. 도 1과 관련하여, 기판, 예를 들어, 반도체 기판이 도면부호 (10)으로 표시된다. 본 명세서의 범주에서, "반도체 기판" 또는 "반도성 기판"은 반도성 웨이퍼(웨이퍼 자체, 또는, 그 위에 다른 물질을 포함하는 조립체)와 같은 벌크 반도성 물질과, 반도성 물질층(반도성 물질층 자체, 또는 다른 물질을 포함하는 조립체)을 포함하는, 반도성 물질을 포함하는 임의의 구조를 의미하는 것으로 규정된다. "기판"이라는 용어는 상술한 반도성 기판을 포함하는, 임의의 지지 구조물을 의미한다.
기판(10)은 기판 위에 형성되는 마스크 패턴을 통해 결국 처리될 물질(12)을 포함하는 것으로 도시된다. 물질(12)은 균질(homogenous)일 수도 있고, 비-균질일 수도 있으며, 예를 들어, 서로 다른 복수의 조성 영역 및/또는 층을 포함할 수 있다. 서로 이격된 제 1 특징부(14)가 기판(12) 위에 형성되어 있다. 임의의 적절한 물질이 고려될 수 있고, 균질일 수도 있고 균질이지 않을 수도 있다. 본 명세서의 범주에서, "이격된"이란 표현은 수직방향과 반대인 횡방향으로 이격됨을 의미하지만, 그렇지 않을 수도 있다. 이격된 제 1 특징부(14)들이 기존의 방식, 또는 아직 개발 중인 방식으로 패턴처리되거나 형성될 수 있고, 포토레지스트를 이용한 포토리소그래피 패턴처리(포지티브 레지스트, 또는 네거티브 레지스트, 또는, 단일 또는 멀티-패턴 리소그래피로부터의 듀얼-톤 레지스트)가 한 예가 될 수 있다. 더욱이, 이격된 제 1 특징부(14)들이 아래 설명되는 임의의 기술에 의해 형성될 수 있다. 일례에서, 이격된 제 1 특징부(14)가 기다란 라인의 형태로 놓일 수 있고, 평면도(도시되지 않음)로 볼 때, 기판의 적어도 일부분에 걸쳐 서로 평행하게 이어진다.
일 실시예에서, 이격된 제 1 특징부(14)들은 더 넓은 폭의 특징부들의 횡방향 에칭/트리밍(trimming)으로부터 나타날 수 있다. 예를 들어, 도 2는 도 1의 단계 이전의 공정 단계에서 기판(10)을 도시한다. 이는 피치 "P"의 반복 패턴으로 기판(12) 위에 제작된 포토레지스트를 포함하는, 또는, 포토레지스트로 실질적으로 구성되는, 또는, 포토레지스트로 구성되는, 이격된 마스크 특징부(16)들을 포함하는 형태로 도시된다. 피치 P는 기판(10) 제작시의 최소 포토리소그래피 분해능보다 작을 수도 있고, 클 수도 있으며, 같을 수도 있다. 이와는 관계없이, 도 2의 이격된 마스크 특징부들은 횡방향으로 트리밍되어, 이격된 제 1 특징부(14)들을 포함하는 도 1의 일례의 구성을 생성하도록 해당 폭을 감소시킨다. 이는 이격된 마스크 특징부(16)들의 측부 및 상부로부터 대략 동일한 물질을 제거하는 이방성 에칭에 의해 수행될 수 있다. 대안으로서, 이격된 마스크 특징부(16)의 상부로부터보다는 이격된 마스크 특징부(16)의 횡방향 측부로부터 더 많은 물질을 에칭하려는 경향이 있는 화학 물질 및 조건을 이용할 수 있다. 대안으로서, 횡방향 측부로부터보다는 상부로부터 더 많은 물질을 에칭하려는 경향이 있는 화학 물질 및 조건들을 이용할 수 있다.
예를 들어, 도 1에 도시되는 구성은 유도-연결된 반응기 내에서 도 2의 기판을 플라즈마 에칭함으로써 도출될 수 있다. 이격된 마스크 특징부(16)의 물질이 포토레지스트 및/또는 그외 다른 유기질 함유 물질인 경우에 실질적으로 이방성 에칭을 달성할 일례의 에칭 파라미터는 약 2mTorr 내지 약 50mTorr의 압력과, 약 0℃ 내지 약 110℃의 기판 온도와, 약 150 와트 내지 약 500 와트의 소스 파워와, 약 25볼트보다 작거나 같은 바이어스 전압이다. 일례의 에칭 가스는 약 20 sccm 내지 약 100 sccm 범위의 Cl2와 약 10 sccm 내지 약 50 sccm 범위의 O2의 조합이다. 이격된 마스크 특징부(16)의 물질이 포토레지스트를 포함할 경우, 초당 약 0.2 나노미터 내지 초당 약 3 나노미터의 속도로 마스크 특징부(16)를 이방성으로 에칭할 것이다. 이러한 일례의 에칭이 실질적으로 이방성일 경우, 단일 윗면에 비해 두 측부가 횡방향으로 노출됨에 따라, 이격된 마스크 특징부의 횡방향 에칭이 더 크게 나타날 것이다.
수직 에칭에 비해 횡방향 에칭이 더 크게 나타나는 것이 바람직할 경우, 유도-연결된 반응기에서의 일례의 파라미터 범위들은 약 2 mTorr 내지 약 20 mTorr의 압력과, 약 150 와트 내지 약 500 와트의 소스 파워와, 약 25볼트보다 작거나 같은 바이어스 전압과, 약 0℃ 내지 약 110℃의 기판 온도와, 약 20 sccm 내지 약 100 sccm 범위의 HBr 유량과, 약 5 sccm 내지 약 20 sccm의 O2 유량과, 약 80 sccm 내지 약 120 sccm의 CF4 유량을 포함한다.
예를 들어, 높이 및 폭의 동등한 감소를 위해, 또는, 폭보다는 높이를 더 감소시키기 위해, 이격된 마스크 특징부의 측부로부터보다는 상부로부터 에칭이 더 크게 이루어지는 것이 요망될 수 있다. 횡방향에 반해 수직 방향으로 에칭 속도를 높이기 위한 일례의 파라미터는, 약 2mTorr 내지 약 20mTorr의 압력과, 약 0℃ 내지 약 100℃의 온도와, 약 150 와트 내지 약 300 와트의 소스 파워와, 약 200 볼트보다 작거나 같은 바이어스 전압과, 약 20 sccm 내지 약 100 sccm의 Cl2 및 HBr 유량과, 약 10 sccm 내지 약 20 sccm 범위의 O2 유량을 포함한다.
도 1 및 도 2의 실시예는 도시되는 단면에서 서로에 대해 동일한 형태 및 폭, 그리고 동일한 간격을 가지는 해당 특징부들을 도시하고 있다. 그러나 이러한 구성이 반드시 요구되는 것은 아니다.
도 3과 관련하여, 변경가능한 물질(18)이 이격된 제 1 특징부(14) 위에 형성되어 있다. 변경가능한 물질(18)은 계면을 형성하는 소정의 물질과 균일하게, 또는 선택적으로 상호작용한다. 변경가능한 물질(18)은 (도시되는 바와 같이) 기-패턴처리된 표면에 캐스팅될 수 있고, 공형일 수도 있고, 공형이 아닐 수도 있다. 스핀-캐스팅, 딥-캐스팅, 드롭-캐스팅, 또는 유사 캐스팅을 통한 캐스팅이 예에 해당한다. 변경가능한 물질은 이격된 제 1 특징부로부터의 물질을 이용해 변경되어, 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성할 수 있다. 변경된 물질은 변경가능한 물질의 증착에 따라 자발적으로 형성될 수도 있고, 가령, 열처리, 광전 처리, 전자적 처리, 또는 이온성 처리(산-본위 화학 물질 포함)를 통해 차후에 활성화될 수도 있다. 따라서, 이러한 변경은 증착 중 및/또는 증착 이후에 발생될 수 있다. 일 실시예에서, 변경가능한 물질의 증착 완료 후까지 어떤 변경도 일어나지 않는다. 더욱이, 이러한 변경은 제한적인 반응물 또는 평형 조건의 경우에 자체-제한적일 수 있고, 반응물이 과량일 경우 운동학적으로 구속될 수 있다. 변경가능한 물질(18)은 평면형 외측 표면 또는 비-평면형 외측 표면을 가질 수 있고, 일례의 평면형 외측 표면(19)이 도 3에 도시된다. 변경가능한 물질(18)은 균질일 수도 있고, 균질이지 않을 수도 있다.
물질(18)은 AZ R200TM, AZ R500TM, 및 AZ R600TM으로 지정된 물질과 같은, 소위 "AZ R" 물질로 Clariant International, Ltd. 사에서 판매 중인 제품 군과 유사하다. "AZ R" 물질은 화학적으로-증폭된 레지스트로부터 유리되는 산에 노출시 가교-결합되는 유기 조성물을 함유한다. 따라서, 일례로서, 이러한 물질은, 이격된 제 1 특징부(14)의 물질이 화학적으로-증폭된 레지스트를 포함하는 일례의 변경가능한 물질을 포함한다. 특히, "AZ R" 물질은 포토레지스트 간에 코팅될 수 있고, 약 100℃ 내지 약 120℃의 온도에서 레지스트가 베이킹될 수 있어서, 레지스트로부터 변경가능한 물질 내로 산을 확산시켜서 레지스트 인근에 변경가능한 물질의 영역 내에 화학적 가교-결합을 형성할 수 있다. 따라서, 레지스트에 인접하여 위치한 물질의 부분들은 레지스트에 충분히 인접하여 위치하지 않은, 물질의 다른 부분에 대해 선택적으로 경화된다. 이러한 물질은 그후 경화된 부분에 대해 비-경화된 부분을 선택적으로 제거하는 조건에 노출될 수 있다. 이러한 제거는 Clariant International, Inc. 사의 "SOLUTION CTM" 상표로 판매되는 용액, 또는 탈이온화된 물에 10% 이소프로필 알콜을 이용하여 달성될 수 있다. "AZ R" 물질을 이용한 프로세스는 RELACS(Resolution Enhancement Lithography Assisted by Chemical Shrink) 프로세스의 예로 고려된다.
"AZ R" 물질의 문제점은, 포토레지스트와 조성 면에서 충분히 유사하여 경화된 "AZ R" 물질에 대해 포토레지스트를 선택적으로 제거하는 것이 어려울 수 있다는 점이다. 일 실시예에서 변경가능한 물질(18)은, 기판이 베이킹될 때 물질(18)이 놓이는 물질(14)로부터 유리되는 하나 이상의 물질(가령, 산)에 노출될 때 변경되는 유사한 또는 동일한 유기 조성물을 포함할 수 있다는 점에서 "AZ R" 물질과 유사할 수 있다. 그러나, "AZ R" 물질과 달리, 물질(18)은 특징부(14)의 물질에 대해 물질(18)을 화학적으로 변화시키기 위해 제공되는 유기 조성물에 산포된 하나 이상의 성분을 또한 함유할 수 있다. 특징부(14)의 물질이 물질(18)에 대해 선택적으로 제거될 수 있는 실시예에서 포토레지스트 및/또는 그외 다른 유기 물질을 그 예로 들 수 있다. 물질(18)의 유기 조성물에 산포될 수 있는 성분은 티타늄, 탄소, 플루오르, 브롬, 실리콘, 및 게르마늄 중 한가지 이상을 포함할 수 있다. 유기 조성물에 산포된 임의의 탄소는 유기 조성물의 벌크 탄소와는 화학적으로 다르도록 카바이드 화합물의 일부분일 수 있다. 예를 들어 플루오르 및/또는 브롬은 플루오르화수소산 및 브롬화수소산으로 구성될 수 있다. 일부 실시예에서, 물질(18)의 유기 조성물에 산포된 성분들은 실리콘, 게르마늄, 금속(예를 들어, 티타늄, 텅스텐, 백금, 등), 및/또는, 금속-함유 화합물(예를 들어, 금속 나이트라이드, 금속 실리사이드, 등)과 같은 하나 이상의 무기 성분을 포함한다. "AZ R" 물질과 유사한 물질의 성분을 "AZ R"-타입 조성물이라 부를 수 있다. 따라서, 일부 실시예에서, 변경가능한 물질(18)은 유기 "AZ R"-타입 조성물에 산포된 하나 이상의 무기 성분들을 가진다고 간주될 수 있다. 그러나, 변경가능한 물질(18)이, 아래 설명되는 바와 같이, 유기질과는 다른, 그리고, "AZ R"-타입과는 다른 조성물을 포함할 수 있다.
도 4와 관련하여, 기판(10)은 이격된 제 1 특징부(14) 인근의 물질(14, 18)의 상호-확산을 야기하는 조건에 노출되었다. 물질(14)의 일부 성분은 물질(18)을 변경하여, 이격된 제 1 특징부(14) 인근에 변경된 물질(20)을 형성한다. 따라서, 변경가능한 물질은 이격된 제 1 특징부로부터의 물질을 이용해 변경될 수 있어서, 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성할 수 있다(도 4 참조). 일 실시예에서, 이러한 변경은 이격된 제 1 특징부(14) 각각에 인접하여 위치한 변경가능한 물질(18)의 일부분을 변경시켜서, 변경된 물질(20)을 형성하고, 이때, 이격된 제 1 특징부로부터 멀리 떨어진 변경가능한 물질의 부분들을 변경되지 않은 상태로 남겨두게 된다. 도 4는 이격된 제 1 특징부(14) 위에 높이를 따라 변경된 물질(20)이 형성된 실시예를 또한 도시한다. 변경된 물질(20)이 균질일 수도 있고 균질이지 않을 수도 있다.
일부 실시예에서, 이격된 제 1 특징부(14)의 물질은 화학적으로-증폭된 포토레지스트를 포함하고, 물질(18)에 대한 변경을 부여하는 이러한 포토레지스트로부터 확산되는 물질은 산이다. 이러한 산은 적어도 약 100℃의 온도에서 반도체 기판(10)을 베이킹함으로써 포토레지스트로부터 유리되게 할 수 있다. 산은 물질(18)의 "AZ R"-타입 조성물과 가교-결합을 형성한다. 이격된 특징부(14)로부터 가교-결합이 확산되는 거리 및 가교-결합의 양은 베이킹 시간 및 베이킹 온도 중 하나 이상을 수정함으로써 조정될 수 있다.
이격된 특징부(14)가 실리콘을 포함하는 추가적인 예로서, 일례의 변경가능한 물질(18)은 티타늄과 같은 내화 금속이어서, 반응을 통해 금속 실리사이드를 포함하는 변경된 물질을 형성한다. 이는 일례로서 제시되며, 미국특허출원공보 제2007/0049030호에 개시되어 있다. 이격된 제 2 특징부의 조성에 적어도 부분적으로 좌우되는 추가적인 변경가능한 물질이, 기존의 것이든, 개발 중인 것이든 간에, 고려된다.
도 5와 관련하여, 일 실시예에서, 물질(20) 형성을 위해 변경되지 않은 물질(18)의 반응하지 않은 말단부(도시되지 않음)가, 변경된 물질(20)에 대해 선택적으로, 에칭에 의해, 제거되었다. 물질(18, 20, 12)의 조성에 따라 작업자에 의해 적절한 화학 물질 및 조건이 선택될 수 있다. 예를 들어, 상술한 "AZ R"-타입 조성물에 대해, 이러한 제거는 상술한 이소프로필 알콜 및/또는 SOLUTION C를 이용하여 달성될 수 있다. 물질(18)이 "AZ R"-타입 조성물에 산포된 추가적인 성분들을 포함할 수 있는 경우에, 이러한 성분들은 물질(18)의 변경되지 않은 영역들이 제거됨에 따라 단순히 씻겨져 나갈 수 있다. 대안으로서, 이러한 추가적인 성분들이, 이러한 추가적 성분들을 제거하는 용매를 이용하여 제거될 수 있다. 예를 들어, 물질(18)의 일 성분으로 실리콘다이옥사이드가 사용될 경우, 물질(18)의 변경되지 않은 영역의 제거 중에 플루오르화수소산이 사용되어, 변경되지 않은 영역의 "AZ R"-타입 조성물에 추가하여 변경되지 않은 영역의 실리콘다이옥사이드가 제거됨을 보장할 수 있다.
도 6과 관련하여, 제 1 물질(22)이 변경된 물질(20) 위에 증착되어 있고, 제 1 물질(20)은 변경된 물질(20)의 조성과는 약간 다른 조성을 갖는다. 제 1 물질(22)은 이격된 제 1 특징부(14)의 조성과 다른 조성을 가질 수도 있고, 같은 조성을 가질 수도 있다. 이는 전도성, 반도성, 또는 절연성, 또는 이들 간의 조합을 포함할 수 있다. 예로는 실리콘다이옥사이드, 실리콘나이트라이드, 유기 반사방지 코팅, 무기 반사방지 코팅, 폴리실리콘, 티타늄, 또는 티타늄나이트라이드, 등이 있고, 이들 간의 조합도 포함된다.
도 7과 관련하여, 제 1 물질(22)이 에칭되어 변경된 물질(20)을 노출시키고, 변경된 물질(20)의 측벽 상에 수용되는 제 1 물질(22)을 포함하는 이격된 제 2 특징부(24)가 형성되어 있다. 일 실시예에서, 제 1 물질(22)의 에칭 중 임의의 제 1 특징부(14) 위에 제 1 물질(22) 외에 어떤 에칭 마스크도 수용되지 않는다. 일 실시예에서, 제 1 물질(22)의 에칭 중 기판 위에 제 1 물질(22) 외에 어떤 에칭 마스크도 수용되지 않는다. 계속적인 설명을 위해, 도 7은 최근접한 2개의 제 2 특징부(24)들로 구성된 각각의 쌍(25)을 도시하며, 쌍(25)의 각각의 2개의 제 2 특징부 사이에 간격이 존재한다.
도 8과 관련하여, 변경된 물질(20)(도시되지 않음)이 이격된 제 2 특징부(24)와 이격된 제 1 특징부(14) 사이로부터 에칭되어 있다. 화학 물질 및 물질에 따른 에칭 조건이 도 8 및 도 8의 에칭 단계를 수행함에 있어 작업자에 의해 선택될 수 있다. 일 실시예에서, 변경된 물질(20) 외에 어떤 에칭 마스크도 변경된 물질(20)의 에칭 중 임의의 제 1 특징부(14) 위에 수용되지 않는다. 일 실시예에서, 변경된 물질(20) 외에 어떤 에칭 마스크도 변경된 물질(20)의 에칭 중 기판 위에 수용되지 않는다. 이와 관계없이, 도 8은 제 2 특징부(24)의 높이가 제 1 특징부(14)의 높이보다 높은 실시예를 도시한다. 도 8은 이격된 제 1 특징부(14) 및 이격된 제 2 특징부(24)를 포함하면서 기판(12) 위에 마스크 패턴(26)이 형성되는 실시예를 도시한다. 이는 바로 인접한 이격된 제 2 특징부(24)의 쌍(25)이 이격된 제 1 특징부(14) 각각과 교대로 구성되는 실시예를 또한 도시한다.
상술한 공정을 수행하여, 예를 들어, 서브리소그래피 수준일 수도 있고, 아닐 수도 있는 피치 멀티플리케이션을 도출할 수 있다. 이와는 관계없이, 도 1-8의 실시예는 도 2의 이격된 마스크 특징부(16)의 피치 P의 1/3인 피치를 갖도록 형성된 마스크 패턴(26)(도 8)을 도시한다. 도 1-8의 임의의 수준의 피치 감소(정수가 아닌 소수 감소 포함)는 특징부들과 특징부들 간의 간격을 생성하기 위해 증착되는 층들의 두께와 조합하여 (도 1의 기판으로부터 도 2의 기판을 형성함에 있어) 이격된 특징부들에 나타날 수 있는 횡방향 트리밍의 정도에 따라 대부분 결정될 것이다. 예를 들어, 도 3에서 변경된 물질(20)이 형성되는 두께와, 그 궁극적 제거는 결과적인 마스크 패턴(26)의 인접한 특징부들의 간격에 영향을 미친다. 마찬가지로, 도 7의 구성을 생성하기 위한 에칭 기술과 조합된 도 6의 제 1 물질(22)의 증착 두께는 이격된 제 2 특징부(24)의 폭에 영향을 미친다. 더욱이, 제 1 특징부(14) 및/또는 제 2 특징부(24)의 일부 또는 전부가 도 8의 구성을 형성 후 횡방향으로 추가로 트리밍될 수 있다. 더욱이, 도 3의 변경된 물질(20)이 횡방향으로 트리밍될 수 있다.
이격된 제 2 특징부 및 이격된 제 3 특징부를 포함하는 마스크 패턴이, 이러한 마스크 패턴을 통해 아래로 수용되는 기판을 처리하는데 사용된다. 이러한 공정은 기존의, 또는 아직 개발 중인 기술을 이용할 수 있고, 에칭 및/또는 이온 임플랜팅이 구체적인 예다. 도 9는 마스크 패턴(26)이 기판(10)의 물질(12) 내로 에칭될 때 에칭 마스크로 사용되는 공정의 예를 도시한다.
추가적인 실시예가 도 10-17을 참조하여 다음에 설명된다. 도 10은 도 4의 단계에 대응하는 공정 단계에서의 기판 조각(10a)의 대안의 실시예를 도시한다. 상술한 실시예들로부터의 유사한 도면 부호들이 적절한 경우 사용되었고, 구성 상의 차이는 첨자 a, 또는 아예 다른 도면 부호로 표시하였다. 첨자 a는 앞서와는 다른 구성을 표시하지만, 이러한 구성에 대한 일례의 물질은 첨자 a없이 동일한 도면 부호로 상술한 실시예들에 사용된 것과 동일하다. 도 10에서, 이격된 제 1 특징부(16)가 기판(12) 위에 수용된다. 변경가능한 물질(18a)이 변경되어, 도 4의 실시예에서 도시된 것보다 작은 두께로 변경된 물질(20a)을 형성하였다.
도 11과 관련하여, 물질(20a)을 형성하기 위해 변경되지 않은 물질(18a)의 반응하지 않은 말단부(도시되지 않음)이, 변경된 물질(20a)에 대해 선택적으로, 에칭, 등에 의해 제거되어 있다.
도 12와 관련하여, 변경된 물질(20a)이 이방성으로 에칭되어, 변경된 물질(20a)을 포함하는 스페이서 특징부(28)를 형성하였다.
도 13과 관련하여, 제 1 물질(22a)이 변경된 물질(20a) 위에 증착되어 있다.
도 14와 관련하여, 제 1 물질(22a)이 에칭되어 스페이서 특징부(28)의 변경된 물질(20a)을 노출시키고 있고, 변경된 물질(20a)의 측벽 상에 제 1 물질(22a)을포함하는 이격된 제 2 특징부(24a)가 형성되어 있다.
도 15와 관련하여, 스페이서 특징부(28)의 변경된 물질(20a)(도시되지 않음)이 이격된 제 2 특징부(24a) 및 이격된 제 1 특징부(16) 사이로부터 에칭되어, 이격된 제 1 특징부(16) 및 이격된 제 2 특징부(24a)를 포함하는 마스크 패턴(26a)을 생성하였다. 기판(12)은 마스크 패턴(26a)을 통해 처리될 수 있다. 이와 관계없이, 도 16은 기판 물질(12) 처리가 도 15의 구성의 마스크 패턴(26a)에 대해 이루어졌는지 여부에 관계없이 마스크 패턴(26aa)을 형성하기 위한 대안의 및/또는 추가의 공정을 도시한다. 구체적으로, 도 16은 도 15의 이격된 제 1 특징부(16)가 횡방향으로 트리밍되어 폭이 감소되고, 따라서, 도 15에서 도 15로 진행하는 공정에서 도시된 바와 같이, 변경된 물질(20a)에 에칭이 발생된 후 이격된 제 1 특징부(16a)를 형성하고 있다. 도 2의 실시예의 마스크 특징부(16)의 횡방향 트리밍은 변경가능한 물질(18)의 증착 이전에 추가적으로 수행될 수 있다. 더욱이, 도 16의 이격된 제 1 특징부(16a) 및 이격된 제 2 특징부(24a) 중 적어도 하나가, 마스크로 마스크 패턴(26aa)을 이용하여 기판 물질(12)의 처리가 이루어지는 지에 관계없이, 추가적으로 횡방향으로 트리밍될 수 있다. 도 16은 도 1 및 도 8의 제 1 특징부(14)와 동일한 폭을 갖는 제 1 특징부(16a)를 도시하지만, 횡방향 트리밍의 실행 및 시간에 따라 다른 형태 및 크기가 나타날 수도 있다.
도 17과 관련하여, 기판(12)이 마스크 패턴(26aa)을 통해 처리되어 있다. 도 17에 도시되는 일례의 공정은 임플랜팅된 영역(30)을 형성하는 이온 임플랜팅 공정이다.
기판 제작 방법의 추가적인 실시예가 기판 조각(10b)과 관련하여 도 18-25를 참조하여 다음에 설명된다. 상술한 실시예들로부터의 유사한 도면 부호들이 적절한 경우 사용되었고, 구성 상의 차이는 첨자 b, 또는 아예 다른 도면 부호로 표시하였다. 첨자 b는 앞서와는 다른 구성을 표시하지만, 이러한 구성에 대한 일례의 물질은 첨자 b없이 동일한 도면 부호로 상술한 실시예들에 사용된 것과 동일하다. 도 18은 기판(12) 위에 형성되어 있는 제 1 특징부(16b)를 도시한다. 도 1로부터의 제 1 특징부(14), 또는 다른 구성 특징부가 형성될 수도 있다. 이격된 제 1 특징부(16b)의 조성과는 약간 다른 조성의 물질(29)이 증착되어 있다. 그 예로는 제 1 물질(22)에 대해 앞서 설명한 물질이 있다. 물질(29)이 균질일 수도 있고, 균질이지 않을 수도 있다.
도 19와 관련하여, 물질(29)은 이방성으로 에칭되어, 이격된 제 1 특징부(16b)의 측벽 상에 스페이서(34)를 형성한다.
도 20과 관련하여, 변경가능한 물질(18b)이 이방성으로 에칭된 스페이서(34) 위에 증착되어 있다.
도 21과 관련하여, 이방성으로 에칭된 스페이서(34)로부터의 물질을 이용해, 변경가능한 물질(18b)이 변경되어, 이방성으로 에칭된 각각의 스페이서(34)의 측벽 상에 변경된 물질(20b)을 형성하고 있다. 도 21은 변경된 물질(20b)이 스페이서(34) 위에 종방향으로 형성되는 실시예를 또한 도시한다.
도 22와 관련하여, 변경된 물질(20b) 형성을 위해 변경되지 않은 물질(18b)의 반응하지 않은 말단부(도시되지 않음)가, 변경된 물질(20b)에 대해 선택적으로, 예를 들어 에칭에 의해, 제거되어 있다.
도 23과 관련하여, 변경된 물질(20b)이 이방성으로 에칭되어 있고, 변경된 물질(20b)을 포함하는 이격된 제 3 특징부(36)가 형성되어 있다.
도 24와 관련하여, 이방성으로 에칭된 스페이서(34)(도시되지 않음)가 기판(10b)으로부터 제거되어, 이격된 제 1 특징부(16b) 및 이격된 제 3 특징부(36)를 포함하는 마스크 패턴(26b)을 형성하고 있다. 기판 물질(12)이 마스크 패턴(26b)을 통해 처리될 수 있다. 이격된 제 1 특징부(16b) 및 이격된 제 3 특징부(34) 중 임의의 특징부가 이러한 공정을 수행하기 전, 또는 수행한 후에, 또는 둘 모두의 경우에, 횡방향으로 트리밍될 수 있다. 도 25는 이격된 제 1 특징부(16bb)를 형성하여 마스크 패턴(26bb)을 형성하기 위한, 도 24의 이격된 제 1 특징부(16b)의 횡방향 트리밍을 도시한다. 예를 들어, 도 18의 이격된 제 1 특징부(16b)는 물질(29) 증착 및 스페이서(34) 형성 이전에 횡방향으로 트리밍되어 있거나, 횡방향으로 트리밍될 수 있다. 추가적으로, 이방성으로 에칭된 스페이서(34)를 형성하기 전에, 그리고 기판으로부터 이를 제거한 후에 모두, 이격된 제 1 특징부(16b)를 횡방향으로 트리밍할 수 있다.
도 26 및 도 27은 일 실시예의 기판 조각(10c)을 도시한다. 상술한 실시예들로부터의 유사한 도면 부호들이 적절한 경우 사용되었고, 구성 상의 차이는 첨자 c, 또는 아예 다른 도면 부호로 표시하였다. 첨자 c는 앞서와는 다른 구성을 표시하지만, 이러한 구성에 대한 일례의 물질은 첨자 c없이 동일한 도면 부호로 상술한 실시예들에 사용된 것과 동일하다. 도 26은 도 23에 도시된 구성에 대한 대안의 구성을 도시하며, 이격된 제 1 특징부의 출발 크기 및 간격과 관련하여, 도 18의 구성과는 다른 기판 구성으로 시작된다. 도 26에서, 이격된 제 1 특징부(16c)가 도 18의 물질(29) 증착 이전에 최초에 형성된 마스크 특징부를 횡방향 트리밍함으로써 구현되었다(도 27의 (29c)). 도 27은 스페이서(34c)(도 27에 도시되지 않음)가 제거된 후 마스크 패턴(26c)을 도시한다.
추가적인 실시예가 기판 조각(10d)과 관련하여 도 28-32를 참고하여 다음에 설명된다. 상술한 실시예들로부터의 유사한 도면 부호들이 적절한 경우 사용되었고, 구성 상의 차이는 첨자 d, 또는 아예 다른 도면 부호로 표시하였다. 첨자 d는 앞서와는 다른 구성을 표시하지만, 이러한 구성에 대한 일례의 물질은 첨자 d없이 동일한 도면 부호로 상술한 실시예들에 사용된 것과 동일하다. 도 28과 관련하여, 이는 도 6에 도시된 공정에 대한 대안의 공정을 도시한다. 따라서, 물질(18)이 제 1 변경가능한 물질로 간주될 수 있도록 도 1-5의 공정이 이루어졌고, 이때, 상기 제 1 변경가능한 물질은 이격된 제 1 특징부(14) 위에 형성되고, 이격된 제 1 특징부(14)로부터의 물질을 이용해, 변경되어, 이격된 제 1 특징부(14)의 측벽 상에 제 1 변경된 물질(20)을 형성한다. 이는 제 1 변경된 물질(20) 및 이격된 제 1 특징부(14)를 포함하는 이격된 제 2 특징부(50)(도 28)를 형성하는 것으로 간주될 수 있다. 제 2 변경가능한 물질(52)이 이격된 제 2 특징부(50) 위에 형성되어 있다. 제 2 변경가능한 물질(52)의 조성 및 특성은, 변경가능한 물질(18)에 대해 앞서 설명한 바와 같을 수 있고, 이격된 제 2 특징부(50)의 외측 영역의 조성에 적어도 부분적으로 좌우될 수 있다.
도 29와 관련하여, 제 2 변경가능한 물질(52)이 이격된 제 2 특징부(50)로부터의 제 1 변경된 물질(20)을 이용해, 변경되어, 이격된 제 2 특징부(50)의 측벽 상에 제 2 변경된 물질(54)을 형성하고 있다. 도시되는 예에서, 이는 이격된 제 2 특징부(50)의 상부 위에 수용되도록 제 2 변경된 물질(54)을 형성하고 있다. 제 2 변경된 물질(54)의 조성 및 특성은 변경된 물질(20)에 대해 앞서 설명한 바와 같다.
도 30과 관련하여, 변경되지 않은 제 2 변경가능 물질(52)(도시되지 않음)이 제 2 변경된 물질(54)에 대해 선택적으로 기판으로부터 제거되어 있다.
도 31과 관련하여, 제 2 변경된 물질(54)을 포함하는 이격된 제 3 특징부(56)가 형성되어 있다. 이를 위한 일례의 기술은, 예를 들어, 물질(20) 및 물질(12)에 대해 선택적으로 수행되는, 실질적으로 이방성의 에칭을 포함한다. 일 실시예에서, 이는 이격된 제 2 특징부(50) 위에 물질(54)외에는 어떤 에칭 마스크도 수용되지 않도록 수행될 수 있다. 일 실시예에서, 이는 기판 위에 물질(54) 외에 어떤 에칭 마스크도 수용되지 않도록 수행될 수 있다.
도 32와 관련하여, 제 1 변경된 물질(20)(도시되지 않음)이 이격된 제 1 특징부(14) 및 이격된 제 3 특징부(56) 사이로부터 에칭되어, 이격된 제 1 특징부(14) 및 이격된 제 3 특징부(56)를 포함하는 마스크 패턴(26d)을 생성하고 있다. 도시되는 예에서, 이격된 제 3 특징부(56)의 높이는 이격된 제 1 특징부(14)의 높이보다 높다. 기판(12)은, 예를 들어, 상술한 실시예들 중 임의의 실시예에서 설명된 방식대로, 마스크 패턴(26d)을 통해 처리된다(도시되지 않음). 더욱이, 이러한 공정을 수행하기 전후로, 이격된 제 1 특징부(14) 및 이격된 제 3 특징부(56) 중 하나 이상에 대해 횡방향 트리밍이 이루어질 수 있다. 마찬가지로, 이격된 제 1 특징부(14)는 제 1 변경가능한 물질의 증착 전에, 및/또는 제 1 변경된 물질의 에칭 후에, 횡방향으로 트리밍될 수 있다.
추가적인 실시예가 기판 조각 10e와 관련하여 도 33-37을 참고하여 다음에 설명된다. 상술한 실시예들로부터의 유사한 도면 부호들이 적절한 경우 사용되었고, 구성 상의 차이는 첨자 e, 또는 아예 다른 도면 부호로 표시하였다. 첨자 e는 앞서와는 다른 구성을 표시하지만, 이러한 구성에 대한 일례의 물질은 첨자 e없이 동일한 도면 부호로 상술한 실시예들에 사용된 것과 동일하다. 도 33은 기판(12) 위에 형성되는 이격된 제 1 특징부(16)와, 그 위에 증착된 제 1 물질(60)을 도시하고 있다. 제 1 물질(60)은 이격된 제 1 특징부(16)의 조성과는 약간 다른 조성을 갖는다. 일례의 물질은 물질(22)에 대해 앞서 설명한 물질을 포함한다. 도 33은 제 1 물질(60)이 이격된 제 1 특징부(16)의 두께보다 작은 두께로 증착되는 예를 도시한다.
도 34와 관련하여, 제 1 물질(60) 위에 제 2 물질(62)이 증착되어 있고, 이는 제 1 물질(60)과는 약간 다른 조성을 갖는다. 이는 이격된 제 1 특징부(16)에 비해 약간 다른 조성을 갖는다. 일례의 물질은 물질(22)에 대해 앞서 설명한 물질을 포함한다.
도 35와 관련하여, 제 2 물질(62)이 에칭되어 제 1 물질(60)을 노출시키고 있고, 이격된 두개의 제 2 특징부(64)가, 인접한, 이격된 제 1 특징부(16) 사이에 형성되어 있다. 제 2 특징부(64)는 적어도, 그 사이에 수용되는 제 1 물질(60)에 의해, 제 1 특징부(16)로부터 이격된다.
도 36과 관련하여, 제 1 물질(60)이 이격된 제 1 특징부(16) 및 이격된 제 2 특징부(64) 사이로부터 에칭되어, 마스크 패턴(26e)이 형성되어 있다. 도 36은 이격된 제 2 특징부(64)의 높이가 이격된 제 1 특징부(16)의 높이보다 높은 일례의 실시예를 도시한다.
도 37과 관련하여, 도 36의 제 1 특징부(16)의 폭이 횡방향으로 트리밍되어, 이격된 제 1 특징부(16e) 및 이격된 제 2 특징부(64)를 포함하는 마스크 패턴(26ee)을 형성한다. 도 33-37은 제 2 특징부(64)가 실질적으로 균일한 최대 폭으로 제작되는 실시예를 도시한다. 수정된 제 1 특징부를 생성하기 위한 도 37의 횡방향 트리밍은, 제 2 특징부(64)의 실질적으로 균일한 최대 폭과 실질적으로 동일한 실질적으로 균일한 최대 제 1 특징부 폭을 생성하는 형태로 도시된다. 대안의 구성 및/또는 추가적 공정이 물론 고려될 수 있다. 상술한 실시예들 중 임의의 실시예를 이용하여 유사 공정이 도시 및 이용될 수 있다.

Claims (35)

  1. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와 - 상기 이격된 제 1 특징부는 높이가 일치하는 기저를 가짐 - ,
    상기 이격된 제 1 특징부 위에 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성하는 단계와,
    상기 변경된 물질과는 다른 조성을 갖고, 상기 이격된 제 1 특징부의 기저와 높이가 일치하는 종방향으로 가장 안쪽의 기저를 갖는 제 1 물질을 상기 변경된 물질 위에 증착하는 단계와,
    상기 제 1 물질을 에칭하여 상기 변경된 물질을 노출시키고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    이격된 제 2 특징부를 형성한 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  2. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부 위에 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성하는 단계와,
    상기 변경된 물질과는 다른 조성을 갖고 상기 이격된 제 1 특징부와 동일한 조성을 갖는 제 1 물질을 상기 변경된 물질 위에 증착하는 단계와,
    상기 제 1 물질을 에칭하여 상기 변경된 물질을 노출시키고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    상기 이격된 제 2 특징부를 형성한 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  3. 제 1 항에 있어서, 상기 제 1 물질은 상기 이격된 제 1 특징부와 동일한 조성을 갖는, 기판 제작 방법.
  4. 제 1 항에 있어서, 변경가능 물질을 변경하는 단계는, 상기 이격된 제 1 특징부의 상부 위에 변경된 물질을 형성하는, 기판 제작 방법.
  5. 제 1 항에 있어서, 상기 변경가능 물질은 평면형 외측 표면을 갖는, 기판 제작 방법.
  6. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부 위에 비-평면형 외측 표면을 갖는 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성하는 단계와,
    상기 변경된 물질과는 다른 조성을 갖는 제 1 물질을 상기 변경된 물질 위에 증착하는 단계와,
    상기 제 1 물질을 에칭하여 상기 변경된 물질을 노출시키고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    상기 이격된 제 2 특징부를 형성한 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  7. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부 위에 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성하는 단계와 - 상기 변경가능 물질을 변경하는 단계는 상기 변경가능 물질의 증착 중 이루어짐 - ,
    상기 변경된 물질과는 다른 조성을 갖는 제 1 물질을 상기 변경된 물질 위에 증착하는 단계와,
    상기 제 1 물질을 에칭하여 상기 변경된 물질을 노출시키고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    상기 이격된 제 2 특징부를 형성한 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  8. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부 위에 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 변경된 물질을 형성하는 단계와 - 상기 변경가능 물질을 변경하는 단계는 상기 변경가능 물질의 증착 완료 후까지 이루어지지 않음 - ,
    상기 변경된 물질과는 다른 조성을 갖는 제 1 물질을 상기 변경된 물질 위에 증착하는 단계와,
    상기 제 1 물질을 에칭하여 상기 변경된 물질을 노출시키고, 상기 변경된 물질의 측벽 상에 상기 제 1 물질을 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    상기 이격된 제 2 특징부를 형성한 후, 상기 이격된 제 2 특징부와 상기 이격된 제 1 특징부 사이로부터 상기 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  9. 제 1 항에 있어서, 상기 변경가능 물질의 증착 완료 후까지 어떤 변경도 이루어지지 않는, 기판 제작 방법.
  10. 제 1 항에 있어서, 변경가능 물질을 변경하는 단계는, 상기 이격된 제 1 특징부의 말단에 위치한 상기 변경가능 물질의 말단부를 변경없이 남겨두면서, 상기 이격된 제 1 특징부 각각에 인접한 상기 변경가능 물질의 일부분을 변경하여 변경된 물질을 형성하는, 기판 제작 방법.
  11. 제 1 항에 있어서, 상기 이격된 제 1 특징부는 포토레지스트를 포함하고, 상기 변경가능 물질은 산에 노출될 때 가교-결합가능한 유기 조성물에 산포된 하나 이상의 무기 성분을 포함하며, 상기 이격된 제 1 특징부로부터의 물질은 산을 포함하고, 변경가능 물질을 변경하는 단계는, 상기 이격된 제 1 특징부로부터의 물질의 산에 노출될 때 상기 유기 조성물 내에 가교-결합을 형성하는 단계를 포함하는, 기판 제작 방법.
  12. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부의 측벽 상에 이방성으로 에칭된 스페이서를 형성하는 단계와,
    상기 이방성으로 에칭된 스페이서 위에 변경가능 물질을 증착하고, 상기 이방성으로 에칭된 스페이서로부터의 물질을 이용해, 상기 변경가능 물질을 변경하여, 상기 이방성으로 에칭된 스페이서 각각의 측벽 상에 변경된 물질을 형성하는 단계와,
    변경가능 물질을 변경한 후, 상기 기판으로부터 상기 이방성으로 에칭된 스페이서를 제거하고, 상기 변경된 물질을 포함하고 서로 이격된 제 3 특징부를 형성하는 단계와,
    기판으로부터 상기 이방성으로 에칭된 스페이서를 제거 후에, 상기 이격된 제 1 특징부 및 상기 이격된 제 3 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  13. 제 12 항에 있어서, 상기 처리 이전에 상기 이격된 제 1 특징부를 횡방향으로 트리밍하는 단계를 포함하는, 기판 제작 방법.
  14. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부 위에 제 1 변경가능 물질을 증착하고, 상기 이격된 제 1 특징부로부터의 물질을 이용해, 상기 제 1 변경가능 물질을 변경하여, 상기 이격된 제 1 특징부의 측벽 상에 제 1 변경된 물질을 형성하는 단계와,
    상기 제 1 변경된 물질 및 상기 이격된 제 1 특징부를 포함하고 서로 이격된 제 2 특징부를 형성하는 단계와,
    상기 이격된 제 2 특징부 위에 제 2 변경가능 물질을 증착하고, 상기 이격된 제 2 특징부로부터의 상기 제 1 변경된 물질을 이용해, 상기 제 2 변경가능 물질을 변경하여, 상기 이격된 제 2 특징부의 측벽 상에 제 2 변경된 물질을 형성하는 단계와,
    상기 제 2 변경된 물질을 포함하고 서로 이격된 제 3 특징부를 형성하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 3 특징부 사이로부터 상기 제 1 변경된 물질을 에칭하는 단계와,
    상기 이격된 제 1 특징부 및 상기 이격된 제 3 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  15. 제 14 항에 있어서, 상기 이격된 제 3 특징부의 높이가 상기 이격된 제 1 특징부의 높이보다 높은, 기판 제작 방법.
  16. 기판 제작 방법에 있어서,
    서로 이격된 제 1 특징부를 기판 위에 형성하는 단계와,
    상기 이격된 제 1 특징부와 다른 조성을 갖는 제 1 물질을 상기 이격된 제 1 특징부 위에 증착하는 단계와 - 상기 제 1 물질은 상기 이격된 제 1 특징부의 두께보다 얇은 두께로 증착됨 - ,
    상기 제 1 물질과 다른 조성을 갖는 제 2 물질을 상기 제 1 물질 위에 증착하는 단계와,
    상기 제 2 물질을 에칭하여 상기 제 1 물질을 노출시키고, 인접하여 위치한 상기 이격된 제 1 특징부 사이에 두개의 서로 이격된 제 2 특징부를 형성하는 단계로서, 상기 제 2 특징부와 상기 제 1 특징부는 그 사이에 수용되는 제 1 물질에 의해 서로 이격되고, 상기 이격된 제 2 특징부는 상기 제 2 물질의 종방향으로 가장 안쪽의 기저의 종방향으로 아래에 상기 제 1 물질을 포함하는, 단계와,
    상기 이격된 제 1 특징부와 상기 이격된 제 2 특징부 사이로부터 상기 제 1 물질을 에칭하는 단계와,
    상기 제 1 물질의 에칭 후, 상기 제 1 특징부의 폭을 횡방향으로 트리밍하는 단계와,
    횡방향 트리밍 이후, 상기 이격된 제 1 특징부 및 상기 이격된 제 2 특징부를 포함하는 마스크 패턴을 통해 기판을 처리하는 단계
    를 포함하는 기판 제작 방법.
  17. 삭제
  18. 제 16 항에 있어서, 상기 제 2 특징부는, 균일한 최대 폭을 갖고, 횡방향 트리밍은 상기 제 2 특징부의 균일한 최대 폭과 동일한, 균일한 최대 제 1 특징부 폭을 생성하는, 기판 제작 방법.
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
KR1020117014870A 2008-12-04 2009-11-11 기판 제작 방법 KR101304684B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/328,448 2008-12-04
US12/328,448 US8796155B2 (en) 2008-12-04 2008-12-04 Methods of fabricating substrates
PCT/US2009/064004 WO2010065252A2 (en) 2008-12-04 2009-11-11 Methods of fabricating substrates

Publications (2)

Publication Number Publication Date
KR20110099281A KR20110099281A (ko) 2011-09-07
KR101304684B1 true KR101304684B1 (ko) 2013-09-06

Family

ID=42231567

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117014870A KR101304684B1 (ko) 2008-12-04 2009-11-11 기판 제작 방법

Country Status (7)

Country Link
US (2) US8796155B2 (ko)
EP (1) EP2353175A4 (ko)
JP (1) JP5418924B2 (ko)
KR (1) KR101304684B1 (ko)
CN (1) CN102239541B (ko)
TW (1) TWI406360B (ko)
WO (1) WO2010065252A2 (ko)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295745A (ja) * 2008-06-04 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8692310B2 (en) 2009-02-09 2014-04-08 Spansion Llc Gate fringing effect based channel formation for semiconductor device
US8268543B2 (en) * 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
CN103794476B (zh) * 2012-10-30 2017-09-22 中芯国际集成电路制造(上海)有限公司 自对准三重图形的形成方法
CN103928313B (zh) * 2014-04-22 2017-12-15 上海华力微电子有限公司 一种小尺寸图形的制作方法
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
US10332744B2 (en) * 2016-04-29 2019-06-25 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
CN107068548B (zh) * 2017-04-18 2018-10-16 睿力集成电路有限公司 半导体器件及其制备方法
CN110349845B (zh) * 2018-04-04 2020-09-22 联华电子股份有限公司 形成半导体结构的方法
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR102608900B1 (ko) 2018-07-30 2023-12-07 삼성전자주식회사 반도체 소자 제조 방법
US11257673B2 (en) * 2018-11-26 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dual spacer metal patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060110706A (ko) * 2005-04-21 2006-10-25 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
JP2008072097A (ja) 2006-09-12 2008-03-27 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法
US20080176152A1 (en) 2004-09-23 2008-07-24 Samsung Electronics Co., Ltd. Mask Patterns for Semiconductor Device Fabrication and Related Methods and Structures

Family Cites Families (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531A (en) 1979-09-25 1981-04-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58157135A (ja) 1982-03-15 1983-09-19 Matsushita Electric Ind Co Ltd パタ−ン形成方法
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US5703675A (en) 1992-01-17 1997-12-30 Nikon Corporation Projection-exposing apparatus with deflecting grating member
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JPH0677180A (ja) 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JPH06275577A (ja) 1993-03-23 1994-09-30 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
DE19526011C1 (de) 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
DE60041823D1 (de) 2000-12-29 2009-04-30 St Microelectronics Srl Ein elektrisch modifizierbarer nichtflüchtiger Halbleiterspeicher der eingeschriebene Daten solange hält, bis ihre Neuprogrammierung abgeschlossen ist
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6455433B1 (en) 2001-03-30 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming square-shouldered sidewall spacers and devices fabricated
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR100569536B1 (ko) 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6735111B2 (en) * 2002-01-16 2004-05-11 Micron Technology, Inc. Magnetoresistive memory devices and assemblies
US6548401B1 (en) 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
KR100425289B1 (ko) 2002-02-28 2004-03-30 기가텔레콤 (주) 키패드 발광 장치
JP3976598B2 (ja) 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
KR20040016678A (ko) 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6756619B2 (en) 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
JP2004177952A (ja) 2002-11-20 2004-06-24 Rohm & Haas Electronic Materials Llc 多層フォトレジスト系
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
US6905975B2 (en) 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7037840B2 (en) 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7060536B2 (en) * 2004-05-13 2006-06-13 St Assembly Test Services Ltd. Dual row leadframe and fabrication method
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7166533B2 (en) 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
EP1880410A2 (en) 2005-05-13 2008-01-23 Sachem, Inc. Selective wet etching of oxides
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
JP4125311B2 (ja) 2005-08-30 2008-07-30 株式会社東芝 ロボットおよびマニピュレータ
US7829262B2 (en) * 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US7265059B2 (en) 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20070085152A1 (en) 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7768055B2 (en) 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7759253B2 (en) 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR100801078B1 (ko) 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) * 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
US8148052B2 (en) 2006-11-14 2012-04-03 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
WO2008070060A2 (en) 2006-12-06 2008-06-12 Fujifilm Electronic Materials U.S.A., Inc. Device manufacturing process utilizing a double pattering process
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) * 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074958A1 (en) 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
US8012675B2 (en) * 2008-09-18 2011-09-06 Macronix International Co., Ltd. Method of patterning target layer on substrate
JP2010087301A (ja) 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) * 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
NL2006639A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Self-assemblable polymer and method for use in lithography.
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080176152A1 (en) 2004-09-23 2008-07-24 Samsung Electronics Co., Ltd. Mask Patterns for Semiconductor Device Fabrication and Related Methods and Structures
KR20060110706A (ko) * 2005-04-21 2006-10-25 삼성전자주식회사 이중 스페이서들을 이용한 미세 피치의 패턴 형성 방법
JP2008072097A (ja) 2006-09-12 2008-03-27 Hynix Semiconductor Inc 半導体素子の微細パターン形成方法

Also Published As

Publication number Publication date
US8796155B2 (en) 2014-08-05
KR20110099281A (ko) 2011-09-07
WO2010065252A2 (en) 2010-06-10
JP5418924B2 (ja) 2014-02-19
CN102239541A (zh) 2011-11-09
US20100144151A1 (en) 2010-06-10
TW201030895A (en) 2010-08-16
WO2010065252A3 (en) 2010-08-12
EP2353175A2 (en) 2011-08-10
EP2353175A4 (en) 2013-09-11
US20140335694A1 (en) 2014-11-13
CN102239541B (zh) 2014-04-09
US9653315B2 (en) 2017-05-16
JP2012511255A (ja) 2012-05-17
TWI406360B (zh) 2013-08-21

Similar Documents

Publication Publication Date Title
KR101304684B1 (ko) 기판 제작 방법
US8273634B2 (en) Methods of fabricating substrates
US8703570B2 (en) Methods of fabricating substrates
US7709396B2 (en) Integral patterning of large features along with array using spacer mask patterning process flow
US8846517B2 (en) Methods of forming a pattern on a substrate
US9741580B2 (en) Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate
US20140162458A1 (en) Methods of Forming A Pattern On A Substrate

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160727

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170804

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190821

Year of fee payment: 7