TWI406360B - 製造基板之方法 - Google Patents

製造基板之方法 Download PDF

Info

Publication number
TWI406360B
TWI406360B TW098139943A TW98139943A TWI406360B TW I406360 B TWI406360 B TW I406360B TW 098139943 A TW098139943 A TW 098139943A TW 98139943 A TW98139943 A TW 98139943A TW I406360 B TWI406360 B TW I406360B
Authority
TW
Taiwan
Prior art keywords
features
spaced apart
substrate
altered
forming
Prior art date
Application number
TW098139943A
Other languages
English (en)
Other versions
TW201030895A (en
Inventor
Scott Sills
Gurtej S Sandhu
Anton Devilliers
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW201030895A publication Critical patent/TW201030895A/zh
Application granted granted Critical
Publication of TWI406360B publication Critical patent/TWI406360B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)

Description

製造基板之方法
本文中所揭示之實施例係關於製造基板之方法,例如,如可用於積體電路之製造中的基板。
積體電路通常形成於諸如矽晶圓或其他半導體材料之半導體基板上。大體上,將半導電、導電或絕緣之各種材料之層用於形成積體電路。例如,使用各種製程來對各種材料進行摻雜、離子植入、沈積、蝕刻、生長等。半導體處理中之持續目標為繼續爭取減小個別電子組件之尺寸,藉此允許實現更小且更密集的積體電路。
用於圖案化且處理半導體基板之一技術為光微影。此技術包括通常被稱為光阻之可圖案化遮罩層之沈積。此等材料可經處理以改質其在某些溶劑中之溶解度,且藉此可容易地用於在基板上形成圖案。舉例而言,光阻層之部分可經由諸如遮罩或主光罩之輻射圖案化工具中之開口而曝露至光化能量,以與沈積後(as-deposited)狀態中之溶解度相比改變曝露區域對比未曝露區域之溶劑溶解度。此後,取決於光阻之類型,可移除曝露或未曝露區域,藉此在基板上留下光阻之遮罩圖案。在經遮罩部分旁之下層基板之鄰近區可例如藉由蝕刻或離子植入而受到處理以實現對鄰近於遮罩材料之基板之所要處理。在某些情況下,利用光阻之多個不同層及/或光阻與非輻射敏感遮罩材料之組合。
特徵尺寸中之連續減小對用於形成特徵之技術提出不斷增大的要求。舉例而言,光微影通常用於形成圖案化特徵,諸如,導電線路。通常被稱為「節距」之概念可用於描述特徵連同緊鄰於其之間隔的尺寸。節距可經定義為在直線橫截面中之重複圖案之兩個鄰近特徵中之相同點之間的距離,藉此包括特徵之最大寬度及至下一緊鄰的特徵之間隔。然而,歸因於諸如光學器件及光或輻射波長之因素,光微影技術傾向於具有一最小節距,當低於其時特定光微影技術無法可靠地形成特徵。因此,光微影技術之最小節距為使用光微影時之持續特徵尺寸減小的障礙。
節距加倍或節距倍增為一用於將光微影技術之能力擴展超過其最小節距之經提議方法。此方法通常藉由沈積隔片形成層以具有小於最小有能力的光微影特徵尺寸之厚度的橫向厚度來形成比最小光微影解析度更窄的特徵。隔片形成層通常經各向異性蝕刻以形成次微影特徵,且接著自基板蝕刻以最小光微影特徵尺寸形成之特徵。
使用節距實際上減半之此技術,節距中之此減小習知地被稱為節距「加倍」。更一般化地,「節距倍增」包含兩倍或兩倍以上之節距增加,且亦包含除整數以外的分數值之節距增加。因此,習知地,節距乘以某因數之「倍增」實際上涉及使節距以彼因數減小。
最初參看圖1至圖9描述根據本發明之製造基板之方法之一些實施例(例如,在形成積體電路中)。參看圖1,基板(例如,半導體基板)大體上以參考數字10指示。在此文件之上下文中,術語「半導體基板」或「半導電基板」經定義以意謂包含半導電材料之任何構造,其包括(但不限於)諸如半導電晶圓之塊體半導電材料(單獨或在其上包含其他材料之裝配件中),及半導電材料層(單獨或在包含其他材料之裝配件中)。術語「基板」指代任何支撐結構,其包括(但不限於)以上所述之半導電基板。
基板10經描繪為包含材料12,最終將經由形成於其上之遮罩圖案處理材料12。材料12可為均質或非均質的,例如,包含多個不同組合物區域及/或層。隔開第一特徵14已形成於基板12上。預期任何適當材料,且不管其是均質還是非均質的。在此文件之上下文中,「隔開」指代如與垂直或其他方向相對之橫向。隔開第一特徵14可藉由任何現存或有待開發之方式而圖案化/形成,其中使用光阻(不管是由單一或多圖案微影引起之正型、負型還是雙色調抗蝕劑)之光微影圖案化為一實例。此外,隔開第一特徵14可由以下所述之任何技術形成。在一實例中,隔開第一特徵14可呈伸長線之形式,例如在基板之至少某部分上相互平行延伸,如將在俯視圖(圖中未繪示)中可看到的。
此外在一實施例中,隔開第一特徵14可由對較寬特徵之橫向蝕刻/修整引起。舉例而言,圖2描繪在圖1之製程之前的處理步驟之基板10。此經展示為包含隔開的遮罩特徵16,(例如)其包含已以具有節距「P」之重複圖案製造於基板12上的光阻、實質上由該光阻組成或由該光阻組成。節距P可等於、大於或小於用以製造基板10的最小光微影解析度。無論如何,圖2之隔開遮罩特徵16已被橫向修整以減小其各別寬度以產生包含隔開第一特徵14之圖1之實例構造。此情況可由各向同性蝕刻進行,該各向同性蝕刻自隔開遮罩特徵16之側面及頂部近似相等地移除材料。交替地,可使用化學處理及條件,其傾向於較自各別頂部而言自隔開遮罩特徵16之橫向側面蝕刻更多材料。交替地,可使用化學處理及條件,其傾向於較自橫向側面而言自隔開遮罩特徵16之頂部蝕刻更多材料。
舉例而言,由圖1所描繪之構造可藉由在感應耦合反應器內電漿蝕刻圖2之基板而得到。將達成基本上各向同性蝕刻(其中隔開遮罩特徵16之材料為光阻及/或其他包含有機物的材料)之實例蝕刻參數為自約2mTorr至約50mTorr之壓力、自約0℃至約110℃之基板溫度、自約150瓦特至約500瓦特之電源功率及小於或等於約25伏特之偏壓。實例蝕刻氣體為自約20sccm至約100sccm之Cl2 與自約10sccm至約50sccm之O2 之組合。在隔開遮罩特徵16之材料包含光阻之情況下,此將以每秒約0.2奈米至每秒約3奈米之速率各向同性地蝕刻遮罩特徵16。雖然此實例蝕刻為基本上各向同性的,但當兩側面經橫向曝露而非僅其單一上表面經曝露時,隔開遮罩特徵之較大橫向蝕刻將發生。
若與垂直蝕刻相比更多橫向蝕刻為所要的,則在感應耦合反應器中之實例參數範圍包括自約2mTorr至約20mTorr之壓力、自約150瓦特至約500瓦特之電源功率、小於或等於約25伏特之偏壓、自約0℃至約110℃之基板溫度、自約20sccm至約100sccm之Cl2 及/或HBr流、自約5sccm至約20sccm之O2 流,及自約80sccm至約120sccm之CF4 流。
可希望所陳述之蝕刻提供較自側面而言之自隔開遮罩特徵頂部更大的移除,(例如)以達成相等高度及寬度減小或比寬度減小更多的高度減小。用於在垂直方向而非橫向方向上達成更大蝕刻速率之實例參數包括自約2mTorr至約20mTorr之壓力、自約0℃至約100℃之溫度、自約150瓦特至約300瓦特之電源功率、大於或等於約200伏特之偏壓、自約20sccm至約100sccm之Cl2 及/或HBr流,及自約10sccm至約20sccm之O2 流。
實例性的圖1及圖2實施例將各別特徵描繪為在所描繪之橫截面中相對於彼此具有相等形狀及寬度,以及其間的相等間隔。然而,在此實施例或其他實施例中不要求此情況。
參看圖3,可變材料18已形成於隔開第一特徵14上。可變材料18與和其形成界面之某些材料選擇性地或均一地相互作用。可變材料18可澆鑄於預先圖案化的表面上(例如如所示),且可為保形或非保形的。經由旋轉澆鑄、浸沾澆鑄、滴落澆鑄或類似澆鑄的澆鑄為實例。將用來自隔開第一特徵之材料改變可變材料以在隔開第一特徵之側壁上形成經改變材料。僅舉例而言,經改變材料可在沈積可變材料時自發形成,或(例如)經由熱、光子、電子、離子(其包括基於酸之化學處理)處理隨後經激活。因此,改變可在沈積期間及/或在沈積之後發生。在一實施例中,直至在可變材料之沈積完成之後才發生改變。此外,改變在限制試劑或均衡條件之狀況下可為自限制的,或在反應物過量的情況下在動力學上停止(arrested)。可變材料18可具有平面最外表面或非平面最外表面,實例平面最外表面19描繪在圖3中。可變材料18可能為或可能並非均質的。
材料18可類似於可購自Clariant International有限公司的類別之材料,如所謂的「AZ R」材料,諸如經指定為AZ R200TM 、AZ R500TM 及AZ R600TM 之材料。「AZ R」材料含有有機組合物,其在曝露至自化學放大抗蝕劑釋放之酸時交聯。因此,例如,此等材料構成實例可變材料,其中隔開第一特徵14之材料包含化學放大抗蝕劑。更特定言之,「AZ R」材料可經塗布於光阻上,且隨後可在自約100℃至約120℃之溫度烘烤抗蝕劑以將酸自抗蝕劑擴散至可變材料中以在最接近抗蝕劑之可變材料之區域內形成化學交聯。鄰近於抗蝕劑之材料之部分因此相對於並非充分接近於抗蝕劑之材料之其他部分而經選擇性硬化。材料接著可經曝露至相對於硬化部分選擇性移除非硬化部分之條件。此移除可利用(例如)去離子水中之10%異丙醇或由Clariant International有限公司作為「SOLUTION CTM 」銷售之溶液來完成。利用「AZ R」材料之製程有時被當作RELACS(由化學微縮輔助之解析度增強微影,Resolution Enhancement Lithography Assisted by Chemical Shrink)製程之實例。
使用「AZ R」材料之挑戰在於其在組合物上可足夠類似於光阻,以致於難以相對於經硬化「AZ R」材料而選擇性移除光阻。在一實施例中,可變材料18與「AZ R」材料之類似之處可在於其可包含類似或相同有機組合物,在烘烤基板時,該有機組合物在曝露至自材料18位於其上之材料20所釋放的一或多個物質(例如,酸)時改變(例如,形成交聯)。然而,不同於「AZ R」材料,材料18亦可含有分散於有機組合物中之一或多個組份,其相對於特徵14之材料而被提供至化學改變材料18(例如,在可相對於材料18選擇性移除特徵14之材料之實施例中的光阻)。可分散於材料18之有機組合物中之組份可包括鈦、碳、氟、溴、矽及鍺中之一或多者。分散於有機組合物中之任何碳可為碳化化合物之一部分,因此其在化學上不同於有機組合物之塊體碳(bulk carbon)。任何氟及/或溴可(例如)包含氫氟酸及氫溴酸。在一些實施例中,分散於材料18之有機組合物中之組份包括一或多個無機組份,諸如,矽、鍺、金屬(例如,鈦、鎢、鉑等)及/或含金屬化合物(例如,金屬氮化物、金屬矽化物等)。類似於「AZ R」材料之材料18之組份可被稱為「AZ R」型組合物。因此,在一些實施例中,可變材料18可被認為具有分散於有機「AZ R」型組合物中之一或多個無機組份。然而,可變材料18可包含除有機組合物且除「AZ R」型組合物以外的材料,例如如下文所解釋。
參看圖4,基板10已經受引起最接近隔開第一特徵14之材料14及18之相互擴散的條件。材料14之某物質改變材料18,以形成最接近隔開第一特徵14之經改變材料20。因此,能夠用來自第一隔開特徵之材料改變可變材料以在隔開第一特徵之側壁上形成經改變材料,例如如圖4中所示。在一實施例中,該改變改變鄰近於隔開第一特徵14中之每一者之可變材料18的一部分以形成經改變材料20,同時使隔開第二特徵末稍端之可變材料之部分維持不變。圖4亦描繪一實施例,其中經改變材料20已在高度上形成於隔開第一特徵14上。可變材料20可能為或可能並非均質的。
在一些實施例中,隔開第一特徵14之材料包含化學放大光阻,且賦予材料18之改變的自此光阻擴散的物質為酸。可藉由在至少約100℃之溫度烘烤半導體基板10引起酸自光阻釋放。酸形成與材料18之「AZ R」型組合物之交聯。可藉由修改烘烤時間及烘烤溫度中之一或兩者來調整交聯之數量及交聯自隔開特徵14擴展開之距離。
作為隔開特徵14包含矽之額外實例,實例可變材料18為耐火金屬(諸如鈦)以導致最終形成包含金屬矽化物之經改變材料之反應。僅舉例而言,此在美國專利申請公開案第US 2007/0049030號中展示且描述。當然亦預期至少部分地取決於隔開第二特徵之組合物的額外可變材料,且不管其現存或有待開發。
參看圖5,且在一實施例中,(例如)藉由相對於經改變材料20選擇性蝕刻,已移除材料18之未改變以形成材料20之未反應末稍端部分(圖中未繪示)。可由技術從業人員取決於材料18、20及12之組合物而選擇適當化學處理及條件。舉例而言,關於以上所提到之「AZ R」型組合物,可利用如上所論述之異丙醇及/或SOLUTION CTM 完成此移除。在材料18可包含分散於「AZ R」型組合物中之額外組份的情況下,可在移除材料18之未改變區域時簡單地沖洗掉此等組份。交替地,可用移除額外組份之溶劑移除此等額外組份。舉例而言,若二氧化矽用作材料18之組份,則可在移除材料18之未改變區域期間利用氫氟酸以確保除未改變區域之「AZ R」型組合物以外還移除未改變區域之二氧化矽。
參看圖6,第一材料22已沈積於經改變材料20上,且具有不同於經改變材料20之組合物的某組合物。第一材料22可具有不同於隔開第一特徵14之組合物的某組合物,或可具有與隔開第一特徵14之組合物相同的組合物。此材料可為導電、半導電或絕緣的,包括其任何組合。實例包括二氧化矽、氮化矽、有機抗反射塗層、無機抗反射塗層、多晶矽、鈦或氮化鈦,包括其任何組合。
參看圖7,已蝕刻第一材料22以曝露經改變材料20,且已形成包含收納於經改變材料20之側壁上之第一材料22的隔開第二特徵24。在一實施例中,在蝕刻第一材料22期間,除第一材料22以外無其他蝕刻遮罩收納於第一特徵14中之任一者上。在一實施例中,在蝕刻第一材料22期間,除第一材料22以外無其他蝕刻遮罩收納於基板上。為了達成繼續論述之目的,圖7描繪第二特徵24中之兩個緊鄰特徵之各別最接近對25,其具有在對25之每兩個特徵之間的各別間隔。
參看圖8,已自隔開第二特徵24與隔開第一特徵14之間蝕刻經改變材料20(圖中未繪示)。在進行圖7及圖8的蝕刻中之每一者中,可由技術從業人員選擇取決於材料之化學處理及蝕刻條件。在一實施例中,在蝕刻經改變材料20期間,除經改變材料20以外無其他蝕刻遮罩收納於第一特徵14中之任一者上。在一實施例中,在蝕刻經改變材料20期間,除經改變材料20以外無其他蝕刻遮罩收納於基板上。無論如何,圖8描繪一實施例,其中第二特徵24比第一特徵14高。圖8描繪一實例實施例,其中遮罩圖案26已形成於基板12上且其包含隔開第一特徵14及隔開第二特徵24。此圖亦描繪一實例實施例,其中成對25之緊鄰的隔開第二特徵24與隔開第一特徵14中之個別者交替。
例如,可進行以上處理以導致可能為或可能並非次微影之節距倍增。無論如何,圖1至圖8實施例描繪了遮罩圖案26(圖8),其已經形成以具有為圖2中之隔開遮罩特徵16之節距「P」之三分之一(為3之整數因數)的節距。圖1至圖8中任何程度的節距減小(包括非整數的分數減小)或其他當然將很大程度上基於隔開特徵之可發生之任何橫向修整(例如在由圖1之基板形成圖2之基板的過程中)的程度結合用以產生特徵及在特徵之間的間隔之沈積層之厚度來確定。舉例而言,經改變材料20在圖3中形成為之厚度及其最終移除會影響在所得遮罩圖案26中之鄰近特徵之間的間隔。類似地,圖6中之第一材料22之沈積厚度與用以產生圖7構造之蝕刻技術相結合地影響了隔開第二特徵24之寬度。此外且無論如何,在形成圖8構造之後,可進一步橫向修整隔開第二特徵24及/或隔開第一特徵14中的一些或所有者。此外,例如,可橫向修整圖3之經改變材料20。
將包含隔開第二特徵及隔開第三特徵之遮罩圖案用於經由此遮罩圖案處理在高度上收納於其下之基板。此處理可構成任何現存或有待開發技術,其中蝕刻及/或離子植入為特定實例。圖9描繪藉由此處理之一實例,其中在蝕刻至基板10之材料12中時遮罩圖案26已用作蝕刻遮罩。
接著參看圖10至圖17描述額外實施例。圖10描繪在處理序列上對應於圖4之製程之替代實施例基板片段10a。已在適當時利用來自首先描述的實施例之相同數字,其中構造差異以後置字「a」或以不同數字指示。當後置字「a」指示不同構造時,用於此等構造之實例材料與用於以上實施例中之無後置字「a」之相同數字的材料相同。在圖10中,隔開第一特徵16收納於基板12上。已改變可變材料18a以形成厚度比圖4實施例中所描繪之厚度小的經改變材料20a。
參看圖11,已(例如)藉由相對於經改變材料20a選擇性蝕刻來移除材料18a之未改變以形成材料20a之未反應末稍端部分(圖中未繪示)。
參看圖12,已各向異性地蝕刻經改變材料20a以形成包含經改變材料20a之隔片特徵28。
參看圖13,第一材料22a已沈積於經改變材料20a上。
參看圖14,已蝕刻第一材料22a以曝露隔片特徵28之經改變材料20a,且已形成包含在經改變材料20a之側壁上之第一材料22a的隔開第二特徵24a。
參看圖15,已自隔開第二特徵24a與隔開第一特徵16之間蝕刻隔片特徵28(圖中未繪示)之經改變材料20a(圖中未繪示),藉此產生包含隔開第一特徵16及隔開第二特徵24a之遮罩圖案26a。可經由遮罩圖案26a處理基板12。無論如何,圖16描繪用以形成遮罩圖案26aa之替代及/或額外處理,而不管處理基板材料12是否關於圖15構造之遮罩圖案26a發生。特定言之,圖16描繪一實例,其中已橫向修整圖15之隔開第一特徵16以減小其各別寬度,藉此在經改變材料20a之蝕刻已發生(如由自圖14至圖15之處理所描繪)之後形成隔開第一特徵16a。圖2實施例之遮罩特徵16之橫向修整可在沈積可變材料18之前另外進行。此外且無論如何,獨立於且不管基板材料12之處理是否使用遮罩圖案26aa作為遮罩來發生,可另外橫向修整圖16中之隔開第一特徵16a及隔開第二特徵24a中之一或兩者。圖16將第一特徵16a描繪為具有與圖1及圖8中之第一特徵14相同的寬度,儘管可取決於橫向修整之動作及時間而導致其他形狀及尺寸。
參看圖17,已經由遮罩圖案26aa處理基板12。圖17中所描繪之實例處理為離子植入之處理,其形成植入區域30。
接著參看圖18至圖25關於基板片段10b描述製造基板之方法之額外實施例。在適當時利用關於上述實施例之相同數字,其中構造中之差異以後置字「b」或以不同數字指示。當後置字「b」指示不同構造時,用於此等構造之實例材料與用於以上實施例中之無後置字「b」之相同數字的材料相同。圖18描繪已形成於基板12上之第一特徵16b。可交替形成來自圖1之第一特徵14或其他構造特徵。已沈積具有不同於隔開第一特徵16b之組合物的某組合物之材料29。實例包括以上關於第一材料22所描述之彼等組合物中之任一者。材料29可能為或可能並非均質的。
參看圖19,材料29已經各向異性蝕刻以在隔開第一特徵16b之側壁上形成隔片34。
參看圖20,可變材料18b已沈積於各向異性蝕刻隔片34上。
參看圖21,已用來自各向異性蝕刻隔片34之材料改變可變材料18b以在每一各向異性蝕刻隔片34之側壁上形成經改變材料20b。圖21亦描繪一實施例,其中經改變材料20b已在高度上形成於隔片34上。
參看圖22,已(例如)藉由相對於經改變材料20b的選擇性蝕刻來移除材料18b之未改變以形成經改變材料20b之未反應末稍端部分(圖中未繪示)。
參看圖23,已各向異性地蝕刻經改變材料20b,且已形成包含經改變材料20b之隔開第三特徵36。
參看圖24,已自基板10b移除各向異性蝕刻隔片34(圖中未繪示),藉此形成包含隔開第一特徵16b及隔開第三特徵36之遮罩圖案26b。可經由遮罩圖案26b處理基板材料12。可在進行此處理之前及/或之後橫向修整隔開第一特徵16b及隔開第三特徵36中之任一者。圖25描繪對圖24之隔開第一特徵16b之橫向修整,以形成隔開第一特徵16bb,及藉此形成遮罩圖案26bb。此外如上所述,僅舉例而言,在沈積材料29及形成隔片34之前可已橫向修整或可橫向修整圖18之隔開第一特徵16b。另外僅舉例而言,可在形成各向異性蝕刻隔片34之前與在自基板移除此隔片之後皆橫向修整隔開第一特徵16b。
圖26及圖27描繪額外實例實施例基板片段10c。已在適當時利用來自上述實施例之相同數字,其中構造差異以後置字「c」或以不同數字指示。當後置字「c」指示不同構造時,用於此構造之實例材料與用於以上實施例中之無後置字「c」之相同數字的材料相同。圖26描繪由圖23所描繪之構造的替代構造,且以在隔開第一特徵之起始尺寸及間隔方面不同於圖18構造的基板構造開始。在圖26中,已由在圖18中之材料29之沈積之前橫向修整最初形成的遮罩特徵而引起隔開第一特徵16c,且該材料29在圖26中經指定為29c。圖27描繪在已移除隔片34c(圖27中未展示)之後的遮罩圖案26c。
接著參看圖28至圖32關於基板片段10d描述額外實施例。已在適當時利用來自上述實施例之相同數字,其中構造差異以後置字「d」或以不同數字指示。當後置字「d」指示不同構造時,用於此構造之實例材料與用於以上實施例中之無後置字「d」之相同數字的材料相同。參看圖28,此圖描繪由圖6所展示之處理的替代處理。因此,圖1至圖5之處理已發生,其中材料18可被當作第一可變材料,其形成於隔開第一特徵14上,且用來自隔開第一特徵14之材料改變其以在隔開第一特徵14之側壁上形成第一經改變材料20。此可被當作形成包含第一經改變材料20及隔開第一特徵14之隔開第二特徵50(圖28)。第二可變材料52已形成於隔開第二特徵50上。第二可變材料52之組合物及屬性可與以上關於可變材料18所描述之組合物及屬性相同,且至少部分地取決於隔開第二特徵50之最外區域之組合物。
參看圖29,已用來自隔開第二特徵50之第一經改變材料20改變第二可變材料52以在隔開第二特徵50之側壁上形成第二經改變材料54。在所描繪之實例中,此亦已形成待收納於隔開第二特徵50之頂部上之第二經改變材料54。第二經改變材料54之組合物及屬性與以上關於經改變材料20所描述之組合物及屬性相同。
參看圖30,已自基板相對於第二經改變材料54選擇性地移除未改變的第二可變材料52(圖中未繪示)。
參看圖31,已形成包含第二經改變材料54之隔開第三特徵56。用於此步驟之實例技術包括(例如)相對於材料20及材料12選擇性地進行之任何大體上各向異性蝕刻。在一實施例中,可在除收納於隔開第二特徵50上之材料54以外無其他蝕刻遮罩的情況下進行此蝕刻。在一實施例中,可在除收納於基板上之材料54以外無其他蝕刻遮罩的情況下進行此蝕刻。
參看圖32,已自隔開第一特徵14與隔開第三特徵56之間蝕刻第一經改變材料20(圖中未繪示),藉此產生包含隔開第一特徵14及隔開第三特徵56之遮罩圖案26d。在所描繪之實例中,隔開第三特徵56比隔開第一特徵14高。經由遮罩圖案26d處理基板12(圖中未繪示),例如如在以上實施例中之任一者中所描述。此外,在此處理之前及/或之後可發生對隔開第一特徵14及隔開第三特徵56中之一或兩者之橫向修整。同樣地,僅舉例而言,可在沈積第一可變材料之前及/或在蝕刻第一經改變材料之後橫向修整隔開第一特徵14。
接著參看圖33至圖37關於基板片段10e描述額外實施例。已在適當時利用來自上述實施例之相同數字,其中構造差異以後置字「e」或以不同數字指示。當後置字「e」指示不同構造時,用於此等構造之實例材料與用於以上實施例中之無後置字「e」之相同數字的材料相同。圖33描繪圖2之基板,其具有形成於基板12上之隔開第一特徵16,且第一材料60已沈積於該等隔開第一特徵16上。第一材料60具有不同於隔開第一特徵16之組合物的某組合物。實例材料包括以上關於材料22所描述之彼等組合物中之任一者。圖33亦描繪一實例,其中第一材料60經沈積至小於隔開第一特徵16之厚度的厚度。
參看圖34,第二材料62已沈積於第一材料60上,且具有不同於第一材料60之組合物的某組合物。此第二材料可具有與隔開第一特徵16之組合物相同或不同的組合物。實例材料包括以上關於材料22所描述之彼等組合物中之任一者。
參看圖35,已蝕刻第二材料62以曝露第一材料60,且已在鄰近的隔開第一特徵16之間形成兩個隔開第二特徵64。第二特徵64與第一特徵16至少由收納於其間的第一材料60隔開。
參看圖36,已自隔開第一特徵16與隔開第二特徵64之間蝕刻第一材料60,藉此已形成遮罩圖案26e。圖36描繪一實例實施例,其中隔開第二特徵64比隔開第一特徵16高。
參看圖37,已橫向修整圖36之第一特徵16之寬度,藉此形成包含隔開第一特徵16e及隔開第二特徵64之遮罩圖案26ee。圖33至圖37描繪實例實施例,其中第二特徵64經製造以具有大體上均一最大寬度。用以產生經修改第一特徵之圖37中之橫向修整亦經展示為產生大體上等於第二特徵64之大體上均一最大寬度之大體上均一最大第一特徵寬度。當然亦預期替代構造及/或額外處理。可展示類似處理且配合上述實施例中之任一者一起使用。
遵照法規,已在語言上或多或少特定關於結構及方法特徵描述本文中所揭示之標的物。然而,應理解申請專利範圍不限於所展示及描述之特定特徵,因為本文中所揭示之手段包含實例實施例。申請專利範圍因而被給予如字面上所表達之完整範疇,且應根據等效物之準則適當地加以解釋。
10...基板
10a...基板片段
10b...基板片段
10c...基板片段
10d...基板片段
10e...基板片段
12...材料/基板
14...隔開第一特徵
16...隔開遮罩特徵
16a...隔開第一特徵
16b...隔開第一特徵
16bb...隔開第一特徵
16c...隔開第一特徵
16e...隔開第一特徵
18...可變材料
18a...可變材料
18b...可變材料
19...平面最外表面
20...經改變材料
20a...經改變材料
20b...經改變材料
22...第一材料
22a...第一材料
24...隔開第二特徵
24a...隔開第二特徵
25...對
26...遮罩圖案
26a...遮罩圖案
26aa...遮罩圖案
26b...遮罩圖案
26bb...遮罩圖案
26c...遮罩圖案
26d...遮罩圖案
26e...遮罩圖案
26ee...遮罩圖案
28...隔片特徵
29...材料
29c...材料
30...植入區域
34...各向異性蝕刻隔片
34c...隔片
36...隔開第三特徵
50...隔開第二特徵
52...第二可變材料
54...第二經改變材料
56...隔開第三特徵
60...第一材料
62...第二材料
64...隔開第二特徵
圖1為根據本發明之一實施例之製程中之基板的圖解剖視圖。
圖2為在圖1之製程之前的處理步驟之圖1基板的視圖。
圖3為在由圖1所示之製程之後的處理步驟之圖1基板的視圖。
圖4為在由圖3所示之製程之後的處理步驟之圖3基板的視圖。
圖5為在由圖4所示之製程之後的處理步驟之圖4基板的視圖。
圖6為在由圖5所示之製程之後的處理步驟之圖5基板的視圖。
圖7為在由圖6所示之製程之後的處理步驟之圖6基板的視圖。
圖8為在由圖7所示之製程之後的處理步驟之圖7基板的視圖。
圖9為在由圖8所示之製程之後的處理步驟之圖8基板的視圖。
圖10為根據本發明之一實施例之製程中之另一基板的圖解剖視圖。
圖11為在由圖10所示之製程之後的處理步驟之圖10基板的視圖。
圖12為在由圖11所示之製程之後的處理步驟之圖11基板的視圖。
圖13為在由圖12所示之製程之後的處理步驟之圖12基板的視圖。
圖14為在由圖13所示之製程之後的處理步驟之圖13基板的視圖。
圖15為在由圖14所示之製程之後的處理步驟之圖14基板的視圖。
圖16為在由圖15所示之製程之後的處理步驟之圖15基板的視圖。
圖17為在由圖16所示之製程之後的處理步驟之圖16基板的視圖。
圖18為根據本發明之一實施例之製程中之另一基板的圖解剖視圖。
圖19為在由圖18所示之製程之後的處理步驟之圖18基板的視圖。
圖20為在由圖19所示之製程之後的處理步驟之圖19基板的視圖。
圖21為在由圖20所示之製程之後的處理步驟之圖20基板的視圖。
圖22為在由圖21所示之製程之後的處理步驟之圖21基板的視圖。
圖23為在由圖22所示之製程之後的處理步驟之圖22基板的視圖。
圖24為在由圖23所示之製程之後的處理步驟之圖23基板的視圖。
圖25為在由圖24所示之製程之後的處理步驟之圖24基板的視圖。
圖26為根據本發明之一實施例之製程中之另一基板的圖解剖視圖。
圖27為在由圖26所示之製程之後的處理步驟之圖26基板的視圖。
圖28為根據本發明之一實施例之製程中之另一基板的圖解剖視圖。
圖29為在由圖28所示之製程之後的處理步驟之圖28基板的視圖。
圖30為在由圖29所示之製程之後的處理步驟之圖29基板的視圖。
圖31為在由圖30所示之製程之後的處理步驟之圖30基板的視圖。
圖32為在由圖31所示之製程之後的處理步驟之圖31基板的視圖。
圖33為根據本發明之一實施例之製程中之另一基板的圖解剖視圖。
圖34為在由圖33所示之製程之後的處理步驟之圖33基板的視圖。
圖35為在由圖34所示之製程之後的處理步驟之圖34基板的視圖。
圖36為在由圖35所示之製程之後的處理步驟之圖35基板的視圖。
圖37為在由圖36所示之製程之後的處理步驟之圖36基板的視圖。
10...基板
12...材料/基板
14...隔開第一特徵
22...第一材料
24...隔開第二特徵
25...對
26...遮罩圖案

Claims (33)

  1. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵,該等隔開第一特徵具有高度上重合之基底;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物及具有一高度上最內部的基底,其在高度上與該等隔開第一特徵之基底重合;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  2. 如請求項1之方法,其中該等隔開第一特徵包含光阻。
  3. 如請求項1之方法,其中形成該等隔開第一特徵包含:在沈積該可變材料之前,形成隔開遮罩特徵,後續接著橫向修整該等隔開遮罩特徵以減小其各別寬度。
  4. 如請求項1之方法,其中形成該等隔開第一特徵包含:在該經改變材料之該蝕刻之後,形成隔開遮罩特徵,後 續接著橫向修整該等隔開遮罩特徵以減小其各別寬度。
  5. 如請求項1之方法,其中該第一材料具有不同於該等隔開第一特徵之組合物的某組合物。
  6. 如請求項1之方法,其中該改變在該等隔開第一特徵之頂部上形成經改變材料。
  7. 如請求項1之方法,其中該可變材料具有一平面最外表面。
  8. 如請求項1之方法,其中在該第一材料之該蝕刻期間,除該第一材料以外無其他蝕刻遮罩經收納於該等隔開第一特徵中之任一者上。
  9. 如請求項8之方法,其中在該第一材料之該蝕刻期間,無蝕刻遮罩經收納於該基板上之任何位置。
  10. 如請求項1之方法,其中在該經改變材料之該蝕刻期間,除該經改變材料以外無其他蝕刻遮罩經收納於該等隔開第一特徵中之任一者上。
  11. 如請求項10之方法,其中在該經改變材料之該蝕刻期間,除該經改變材料以外無其他蝕刻遮罩經收納於該基板上。
  12. 如請求項1之方法,其中該改變在該可變材料之該沈積完成之後發生。
  13. 如請求項1之方法,其中該改變改變鄰近於該等隔開第一特徵中之每一者之該可變材料之一部分以形成該經改變材料,同時使該等隔開第一特徵末稍端之該可變材料之部分維持不變。
  14. 如請求項1之方法,其中該等隔開第一特徵包含光阻,且該可變材料包含分散於在曝露至酸時可交聯之有機組合物中之一或多個無機組份,其中來自該等隔開第一特徵之該材料包括酸,且該改變該可變材料包含在曝露至來自該等隔開第一特徵之該材料中之該酸時在該有機組合物內形成交聯。
  15. 如請求項14之方法,其中該一或多個無機組份包括矽。
  16. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物及與該等隔開第一特徵之組合物相同的組合物;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  17. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵; 在該等隔開第一特徵上沈積一可變材料,該可變材料具有一非平面最外表面,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  18. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料,該改變在該可變材料之沈積期間發生;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵; 在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  19. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料,該改變直至在該可變材料之該沈積完成之後才發生;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  20. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料,該改變係改變鄰接該 等隔開第一特徵之每一者的該可變材料之一部分而沒有改變遠離該等隔開第一特徵之該可變材料之部分,以形成該改變材料;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物,在該第一材料之該沈積之前相對於該經改變材料而選擇性地蝕除該等遠離部分;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  21. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料,該等隔開第一特徵包含光阻且該可變材料包含分散於一有機組合物中之一或多個無機組份,該有機組合物在曝露至酸時交聯,該一或多個無機組份包括金屬;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物; 蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
  22. 一種製造一基板之方法,其包含:在一基板上形成多個隔開第一特徵;在該等隔開第一特徵上沈積一可變材料,且用來自該等隔開第一特徵之材料改變該可變材料以在該等隔開第一特徵之側壁上形成經改變材料;在該經改變材料上沈積一第一材料,該第一材料具有不同於該經改變材料之組合物的某組合物;蝕刻該第一材料以曝露該經改變材料,且在該經改變材料之側壁上形成包含該第一材料之多個隔開第二特徵;在形成該等隔開第二特徵之後,自該等隔開第二特徵與該等隔開第一特徵之間蝕刻該經改變材料;及經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板,該遮罩圖案具有為該等隔開第一特徵之節距之約三分之一的節距。
  23. 一種製造一基板之方法,其包含:在一基板上形成隔開第一特徵; 在該等隔開第一特徵之側壁上形成各向異性蝕刻隔片;在該等各向異性蝕刻隔片上沈積一可變材料,且用來自該等各向異性蝕刻隔片之材料改變該可變材料以在該等各向異性蝕刻隔片中之每一者之一側壁上形成經改變材料;在該改變之後,自該基板移除該等各向異性蝕刻隔片,且形成包含該經改變材料之隔開第三特徵;及在自該基板移除該等各向異性蝕刻隔片之後,經由包含該等隔開第一特徵及該等隔開第三特徵之一遮罩圖案處理該基板。
  24. 如請求項23之方法,其包含在該處理之前橫向修整該等隔開第一特徵。
  25. 如請求項24之方法,其中在形成該等各向異性蝕刻隔片之前橫向修整該等隔開第一特徵。
  26. 如請求項24之方法,其中在自該基板移除該等各向異性蝕刻隔片之後橫向修整該等隔開第一特徵。
  27. 如請求項24之方法,其中在形成該等各向異性蝕刻隔片之前與在自該基板移除該等各向異性蝕刻隔片之後皆橫向修整該等隔開第一特徵。
  28. 一種製造一基板之方法,其包含:在一基板上形成隔開第一特徵;在該等隔開第一特徵上沈積一第一可變材料,且用來自該等隔開第一特徵之材料改變該第一可變材料以在該 等隔開第一特徵之側壁上形成第一經改變材料;形成包含該第一經改變材料及該等隔開第一特徵之隔開第二特徵;在該等隔開第二特徵上沈積一第二可變材料,且用來自該等隔開第二特徵之該第一經改變材料改變該第二可變材料以在該等隔開第二特徵之側壁上形成第二經改變材料;形成包含該第二經改變材料之隔開第三特徵;自該等隔開第一特徵與該等隔開第三特徵之間蝕刻該第一經改變材料;及經由包含該等隔開第一特徵及該等隔開第三特徵之一遮罩圖案處理該基板。
  29. 如請求項28之方法,其中該等隔開第一特徵包含光阻。
  30. 如請求項29之方法,其中形成該等隔開第一特徵包含:在沈積該第一可變材料之前,形成隔開遮罩特徵,後續接著橫向修整該等隔開遮罩特徵以減小其各別寬度。
  31. 如請求項29之方法,其中形成該等隔開第一特徵包含:在該第一經改變材料之該蝕刻之後,形成隔開遮罩特徵,後續接著橫向修整該等隔開遮罩特徵以減小其各別寬度。
  32. 如請求項28之方法,其中該等隔開第三特徵比該等隔開第一特徵高。
  33. 一種製造一基板之方法,其包含:在一基板上形成隔開第一特徵; 在該等隔開第一特徵上沈積一第一材料,該第一材料具有不同於該等隔開第一特徵之組合物的某組合物,該第一材料係沈積至一厚度,該厚度小於該等隔開第一特徵之一厚度;在該第一材料上沈積一第二材料,該第二材料具有不同於該第一材料之組合物的某組合物;蝕刻該第二材料以曝露該第一材料,且在鄰近的隔開第一特徵之間形成兩個隔開第二特徵,該等第二特徵與該等第一特徵至少由收納於其間的第一材料隔開,該等隔開第二特徵包含高度上在該第二材料之一高度上最內部基底下方之該第一材料;自該等隔開第一特徵與該等隔開第二特徵之間蝕刻該第一材料;在該第一材料之該蝕刻之後,橫向修整該等第一特徵之寬度;及在該橫向修整之後,經由包含該等隔開第一特徵及該等隔開第二特徵之一遮罩圖案處理該基板。
TW098139943A 2008-12-04 2009-11-24 製造基板之方法 TWI406360B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/328,448 US8796155B2 (en) 2008-12-04 2008-12-04 Methods of fabricating substrates

Publications (2)

Publication Number Publication Date
TW201030895A TW201030895A (en) 2010-08-16
TWI406360B true TWI406360B (zh) 2013-08-21

Family

ID=42231567

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098139943A TWI406360B (zh) 2008-12-04 2009-11-24 製造基板之方法

Country Status (7)

Country Link
US (2) US8796155B2 (zh)
EP (1) EP2353175A4 (zh)
JP (1) JP5418924B2 (zh)
KR (1) KR101304684B1 (zh)
CN (1) CN102239541B (zh)
TW (1) TWI406360B (zh)
WO (1) WO2010065252A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251189B2 (en) 2009-02-09 2022-02-15 Longitude Flash Memory Solutions Ltd. Gate fringing effect based channel formation for semiconductor device

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009295745A (ja) * 2008-06-04 2009-12-17 Toshiba Corp 半導体装置の製造方法
US8273634B2 (en) 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8268543B2 (en) 2009-03-23 2012-09-18 Micron Technology, Inc. Methods of forming patterns on substrates
US9330934B2 (en) 2009-05-18 2016-05-03 Micron Technology, Inc. Methods of forming patterns on substrates
US8518788B2 (en) 2010-08-11 2013-08-27 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8455341B2 (en) 2010-09-02 2013-06-04 Micron Technology, Inc. Methods of forming features of integrated circuitry
US8575032B2 (en) 2011-05-05 2013-11-05 Micron Technology, Inc. Methods of forming a pattern on a substrate
US9076680B2 (en) 2011-10-18 2015-07-07 Micron Technology, Inc. Integrated circuitry, methods of forming capacitors, and methods of forming integrated circuitry comprising an array of capacitors and circuitry peripheral to the array
US9177794B2 (en) 2012-01-13 2015-11-03 Micron Technology, Inc. Methods of patterning substrates
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate
US8735296B2 (en) * 2012-07-18 2014-05-27 International Business Machines Corporation Method of simultaneously forming multiple structures having different critical dimensions using sidewall transfer
CN103794476B (zh) * 2012-10-30 2017-09-22 中芯国际集成电路制造(上海)有限公司 自对准三重图形的形成方法
CN103928313B (zh) * 2014-04-22 2017-12-15 上海华力微电子有限公司 一种小尺寸图形的制作方法
US9455177B1 (en) * 2015-08-31 2016-09-27 Dow Global Technologies Llc Contact hole formation methods
WO2017189993A1 (en) * 2016-04-29 2017-11-02 Tokyo Electron Limited Method for patterning a substrate using a layer with multiple materials
CN107068548B (zh) * 2017-04-18 2018-10-16 睿力集成电路有限公司 半导体器件及其制备方法
CN110349845B (zh) * 2018-04-04 2020-09-22 联华电子股份有限公司 形成半导体结构的方法
US10566194B2 (en) * 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR102608900B1 (ko) 2018-07-30 2023-12-07 삼성전자주식회사 반도체 소자 제조 방법
US11257673B2 (en) * 2018-11-26 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Dual spacer metal patterning

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060240361A1 (en) * 2005-04-21 2006-10-26 Ji-Young Lee Method of forming small pitch pattern using double spacers
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation
US20080176152A1 (en) * 2004-09-23 2008-07-24 Samsung Electronics Co., Ltd. Mask Patterns for Semiconductor Device Fabrication and Related Methods and Structures

Family Cites Families (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5646531A (en) 1979-09-25 1981-04-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device
JPS58157135A (ja) 1982-03-15 1983-09-19 Matsushita Electric Ind Co Ltd パタ−ン形成方法
JPS59211231A (ja) 1983-05-16 1984-11-30 Matsushita Electric Ind Co Ltd パタ−ン形成方法
BE900156A (fr) 1984-07-13 1985-01-14 Itt Ind Belgium Procede pour superposer deux couches de vernis photosensibles positifs.
JPS6435916A (en) 1987-07-31 1989-02-07 Hitachi Ltd Formation of fine pattern
US4910168A (en) 1988-05-06 1990-03-20 Mos Electronics Corporation Method to reduce silicon area for via formation
JPH01292829A (ja) 1988-05-19 1989-11-27 Mitsubishi Electric Corp 半導体装置の製造方法
US5008207A (en) 1989-09-11 1991-04-16 International Business Machines Corporation Method of fabricating a narrow base transistor
JPH03270227A (ja) * 1990-03-20 1991-12-02 Mitsubishi Electric Corp 微細パターンの形成方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
US5013680A (en) 1990-07-18 1991-05-07 Micron Technology, Inc. Process for fabricating a DRAM array having feature widths that transcend the resolution limit of available photolithography
US5047117A (en) 1990-09-26 1991-09-10 Micron Technology, Inc. Method of forming a narrow self-aligned, annular opening in a masking layer
US5420067A (en) 1990-09-28 1995-05-30 The United States Of America As Represented By The Secretary Of The Navy Method of fabricatring sub-half-micron trenches and holes
US5382315A (en) 1991-02-11 1995-01-17 Microelectronics And Computer Technology Corporation Method of forming etch mask using particle beam deposition
US5372916A (en) 1991-09-12 1994-12-13 Hitachi, Ltd. X-ray exposure method with an X-ray mask comprising phase shifter sidewalls
US6249335B1 (en) 1992-01-17 2001-06-19 Nikon Corporation Photo-mask and method of exposing and projection-exposing apparatus
US5254218A (en) 1992-04-22 1993-10-19 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
US5573837A (en) 1992-04-22 1996-11-12 Micron Technology, Inc. Masking layer having narrow isolated spacings and the method for forming said masking layer and the method for forming narrow isolated trenches defined by said masking layer
JPH0677180A (ja) 1992-08-24 1994-03-18 Fujitsu Ltd 細線状エッチングマスクの製造方法
US5386132A (en) 1992-11-02 1995-01-31 Wong; Chun C. D. Multimedia storage system with highly compact memory device
JPH06275577A (ja) 1993-03-23 1994-09-30 Sumitomo Metal Ind Ltd 半導体装置のコンタクトホール形成方法
JP3270227B2 (ja) 1993-05-26 2002-04-02 富士写真フイルム株式会社 電動巻き上げ装置
US5429988A (en) 1994-06-13 1995-07-04 United Microelectronics Corporation Process for producing high density conductive lines
KR970007173B1 (ko) 1994-07-14 1997-05-03 현대전자산업 주식회사 미세패턴 형성방법
DE19526011C1 (de) 1995-07-17 1996-11-28 Siemens Ag Verfahren zur Herstellung von sublithographischen Ätzmasken
US5905279A (en) 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US7064376B2 (en) 1996-05-24 2006-06-20 Jeng-Jye Shau High performance embedded semiconductor memory devices with multiple dimension first-level bit-lines
US5998256A (en) 1996-11-01 1999-12-07 Micron Technology, Inc. Semiconductor processing methods of forming devices on a substrate, forming device arrays on a substrate, forming conductive lines on a substrate, and forming capacitor arrays on a substrate, and integrated circuitry
TW454339B (en) 1997-06-20 2001-09-11 Hitachi Ltd Semiconductor integrated circuit apparatus and its fabricating method
JP2006245625A (ja) 1997-06-20 2006-09-14 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6207523B1 (en) 1997-07-03 2001-03-27 Micron Technology, Inc. Methods of forming capacitors DRAM arrays, and monolithic integrated circuits
US6063688A (en) 1997-09-29 2000-05-16 Intel Corporation Fabrication of deep submicron structures and quantum wire transistors using hard-mask transistor width definition
KR100247862B1 (ko) 1997-12-11 2000-03-15 윤종용 반도체 장치 및 그 제조방법
US6087263A (en) 1998-01-29 2000-07-11 Micron Technology, Inc. Methods of forming integrated circuitry and integrated circuitry structures
US6605541B1 (en) 1998-05-07 2003-08-12 Advanced Micro Devices, Inc. Pitch reduction using a set of offset masks
US6140217A (en) 1998-07-16 2000-10-31 International Business Machines Corporation Technique for extending the limits of photolithography
US6303272B1 (en) 1998-11-13 2001-10-16 International Business Machines Corporation Process for self-alignment of sub-critical contacts to wiring
EP1039533A3 (en) 1999-03-22 2001-04-04 Infineon Technologies North America Corp. High performance dram and method of manufacture
US6667502B1 (en) 1999-08-31 2003-12-23 Micron Technology, Inc. Structurally-stabilized capacitors and method of making of same
US6174818B1 (en) 1999-11-19 2001-01-16 Taiwan Semiconductor Manufacturing Company Method of patterning narrow gate electrode
US6967140B2 (en) 2000-03-01 2005-11-22 Intel Corporation Quantum wire gate device and method of making same
KR100620651B1 (ko) 2000-06-22 2006-09-13 주식회사 하이닉스반도체 반도체 소자의 미세패턴 제조방법
US6339241B1 (en) 2000-06-23 2002-01-15 International Business Machines Corporation Structure and process for 6F2 trench capacitor DRAM cell with vertical MOSFET and 3F bitline pitch
KR100340879B1 (ko) 2000-06-29 2002-06-20 박종섭 반도체 소자의 미세 패턴 형성방법 및 이를 이용한 게이트 전극 형성방법
US6429123B1 (en) 2000-10-04 2002-08-06 Vanguard International Semiconductor Corporation Method of manufacturing buried metal lines having ultra fine features
DE60041823D1 (de) 2000-12-29 2009-04-30 St Microelectronics Srl Ein elektrisch modifizierbarer nichtflüchtiger Halbleiterspeicher der eingeschriebene Daten solange hält, bis ihre Neuprogrammierung abgeschlossen ist
JP3406302B2 (ja) 2001-01-16 2003-05-12 株式会社半導体先端テクノロジーズ 微細パターンの形成方法、半導体装置の製造方法および半導体装置
US6580136B2 (en) 2001-01-30 2003-06-17 International Business Machines Corporation Method for delineation of eDRAM support device notched gate
US6383952B1 (en) 2001-02-28 2002-05-07 Advanced Micro Devices, Inc. RELACS process to double the frequency or pitch of small feature formation
CA2340985A1 (en) 2001-03-14 2002-09-14 Atmos Corporation Interleaved wordline architecture
US6545904B2 (en) 2001-03-16 2003-04-08 Micron Technology, Inc. 6f2 dram array, a dram array formed on a semiconductive substrate, a method of forming memory cells in a 6f2 dram array and a method of isolating a single row of memory cells in a 6f2 dram array
US6455433B1 (en) 2001-03-30 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming square-shouldered sidewall spacers and devices fabricated
US6627524B2 (en) 2001-06-06 2003-09-30 Micron Technology, Inc. Methods of forming transistor gates; and methods of forming programmable read-only memory constructions
US20030008968A1 (en) 2001-07-05 2003-01-09 Yoshiki Sugeta Method for reducing pattern dimension in photoresist layer
US6590817B2 (en) 2001-07-23 2003-07-08 Micron Technology, Inc. 6F2 DRAM array with apparatus for stress testing an isolation gate and method
DE10142590A1 (de) 2001-08-31 2003-04-03 Infineon Technologies Ag Verfahren zur Seitenwandverstärkung von Resiststrukturen und zur Herstellung von Strukturen mit reduzierter Strukturgröße
US6951822B2 (en) 2001-09-28 2005-10-04 Infineon Technologies North America Corp. Method for forming inside nitride spacer for deep trench device DRAM cell
KR100569536B1 (ko) 2001-12-14 2006-04-10 주식회사 하이닉스반도체 Relacs 물질을 이용하여 패턴 붕괴를 방지하는 방법
KR100843888B1 (ko) 2001-12-14 2008-07-03 주식회사 하이닉스반도체 Relacs 물질을 이용하여 식각 내성이 향상된포토레지스트 패턴을 형성하는 방법
KR20030056601A (ko) 2001-12-28 2003-07-04 주식회사 하이닉스반도체 플래시 메모리 소자의 소스 라인 형성 방법
US6638441B2 (en) 2002-01-07 2003-10-28 Macronix International Co., Ltd. Method for pitch reduction
US6735111B2 (en) * 2002-01-16 2004-05-11 Micron Technology, Inc. Magnetoresistive memory devices and assemblies
US6548401B1 (en) 2002-01-23 2003-04-15 Micron Technology, Inc. Semiconductor processing methods, and semiconductor constructions
JP2003234279A (ja) 2002-02-08 2003-08-22 Sony Corp レジストパターンの形成方法、半導体装置の製造方法およびレジストパターンの形成装置
KR100425289B1 (ko) 2002-02-28 2004-03-30 기가텔레콤 (주) 키패드 발광 장치
JP3976598B2 (ja) 2002-03-27 2007-09-19 Nec液晶テクノロジー株式会社 レジスト・パターン形成方法
KR20030089063A (ko) 2002-05-16 2003-11-21 주식회사 하이닉스반도체 포토레지스트 패턴 형성방법
US6774051B2 (en) 2002-06-12 2004-08-10 Macronix International Co., Ltd. Method for reducing pitch
US6734107B2 (en) 2002-06-12 2004-05-11 Macronix International Co., Ltd. Pitch reduction in semiconductor fabrication
US6548385B1 (en) 2002-06-12 2003-04-15 Jiun-Ren Lai Method for reducing pitch between conductive features, and structure formed using the method
KR20040016678A (ko) 2002-08-19 2004-02-25 삼성전자주식회사 반도체 장치 및 그의 제조방법
US6566280B1 (en) 2002-08-26 2003-05-20 Intel Corporation Forming polymer features on a substrate
US6756619B2 (en) 2002-08-26 2004-06-29 Micron Technology, Inc. Semiconductor constructions
US7205598B2 (en) 2002-08-29 2007-04-17 Micron Technology, Inc. Random access memory device utilizing a vertically oriented select transistor
JP2004134574A (ja) 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
KR20040044368A (ko) 2002-11-20 2004-05-28 쉬플리 캄파니, 엘.엘.씨. 다층 포토레지스트 시스템
KR20040057582A (ko) 2002-12-26 2004-07-02 주식회사 하이닉스반도체 듀얼 다마신 구조를 갖는 미세 패턴 형성 방법
JP2004214379A (ja) 2002-12-27 2004-07-29 Toshiba Corp 半導体装置、ダイナミック型半導体記憶装置及び半導体装置の製造方法
US6916594B2 (en) 2002-12-30 2005-07-12 Hynix Semiconductor Inc. Overcoating composition for photoresist and method for forming photoresist pattern using the same
JP2004247399A (ja) 2003-02-12 2004-09-02 Renesas Technology Corp 半導体装置の製造方法
KR100540475B1 (ko) 2003-04-04 2006-01-10 주식회사 하이닉스반도체 미세 패턴 형성이 가능한 반도체 장치 제조 방법
US6919154B2 (en) 2003-05-05 2005-07-19 Xerox Corporation Photoconductive members
WO2004100235A1 (ja) 2003-05-09 2004-11-18 Fujitsu Limited レジストの加工方法、半導体装置及びその製造方法
US6905975B2 (en) 2003-07-03 2005-06-14 Micron Technology, Inc. Methods of forming patterned compositions
US7230292B2 (en) 2003-08-05 2007-06-12 Micron Technology, Inc. Stud electrode and process for making same
US7125781B2 (en) 2003-09-04 2006-10-24 Micron Technology, Inc. Methods of forming capacitor devices
US7067385B2 (en) 2003-09-04 2006-06-27 Micron Technology, Inc. Support for vertically oriented capacitors during the formation of a semiconductor device
US7030008B2 (en) 2003-09-12 2006-04-18 International Business Machines Corporation Techniques for patterning features in semiconductor devices
US7033735B2 (en) 2003-11-17 2006-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Water soluble negative tone photoresist
JP4143023B2 (ja) 2003-11-21 2008-09-03 株式会社東芝 パターン形成方法および半導体装置の製造方法
US7049652B2 (en) 2003-12-10 2006-05-23 Sandisk Corporation Pillar cell flash memory technology
US7023069B2 (en) 2003-12-19 2006-04-04 Third Dimension (3D) Semiconductor, Inc. Method for forming thick dielectric regions using etched trenches
KR100554514B1 (ko) 2003-12-26 2006-03-03 삼성전자주식회사 반도체 장치에서 패턴 형성 방법 및 이를 이용한 게이트형성방법.
US7354847B2 (en) 2004-01-26 2008-04-08 Taiwan Semiconductor Manufacturing Company Method of trimming technology
US7037840B2 (en) 2004-01-26 2006-05-02 Micron Technology, Inc. Methods of forming planarized surfaces over semiconductor substrates
US6864184B1 (en) 2004-02-05 2005-03-08 Advanced Micro Devices, Inc. Method for reducing critical dimension attainable via the use of an organic conforming layer
KR100781538B1 (ko) 2004-02-07 2007-12-03 삼성전자주식회사 성능이 향상된 멀티 게이트 트랜지스터용 액티브 구조의제조 방법, 이에 의해 제조된 액티브 구조 및 멀티 게이트트랜지스터
JP2005243681A (ja) 2004-02-24 2005-09-08 Tokyo Electron Ltd 膜改質方法、膜改質装置及びスリミング量の制御方法
US7390750B1 (en) 2004-03-23 2008-06-24 Cypress Semiconductor Corp. Method of patterning elements within a semiconductor topography
US7060536B2 (en) * 2004-05-13 2006-06-13 St Assembly Test Services Ltd. Dual row leadframe and fabrication method
US7098105B2 (en) 2004-05-26 2006-08-29 Micron Technology, Inc. Methods for forming semiconductor structures
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7132333B2 (en) 2004-09-10 2006-11-07 Infineon Technologies Ag Transistor, memory cell array and method of manufacturing a transistor
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
DE102004034572B4 (de) 2004-07-17 2008-02-28 Infineon Technologies Ag Verfahren zum Herstellen einer Struktur auf der Oberfläche eines Substrats
US7387939B2 (en) 2004-07-19 2008-06-17 Micron Technology, Inc. Methods of forming semiconductor structures and capacitor devices
US7202127B2 (en) 2004-08-27 2007-04-10 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7439152B2 (en) 2004-08-27 2008-10-21 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7151040B2 (en) 2004-08-31 2006-12-19 Micron Technology, Inc. Methods for increasing photo alignment margins
US7442976B2 (en) 2004-09-01 2008-10-28 Micron Technology, Inc. DRAM cells with vertical transistors
US7910288B2 (en) 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7115525B2 (en) 2004-09-02 2006-10-03 Micron Technology, Inc. Method for integrated circuit fabrication using pitch multiplication
US7655387B2 (en) 2004-09-02 2010-02-02 Micron Technology, Inc. Method to align mask patterns
CN100438040C (zh) 2004-10-14 2008-11-26 茂德科技股份有限公司 动态随机存取存储器的结构
US7595141B2 (en) 2004-10-26 2009-09-29 Az Electronic Materials Usa Corp. Composition for coating over a photoresist pattern
US7298004B2 (en) 2004-11-30 2007-11-20 Infineon Technologies Ag Charge-trapping memory cell and method for production
US7320911B2 (en) 2004-12-06 2008-01-22 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7390616B2 (en) 2005-01-12 2008-06-24 International Business Machines Corporation Method for post lithographic critical dimension shrinking using post overcoat planarization
US7390746B2 (en) 2005-03-15 2008-06-24 Micron Technology, Inc. Multiple deposition for integration of spacers in pitch multiplication process
US7253118B2 (en) 2005-03-15 2007-08-07 Micron Technology, Inc. Pitch reduced patterns relative to photolithography features
US7557015B2 (en) 2005-03-18 2009-07-07 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7981595B2 (en) 2005-03-23 2011-07-19 Asml Netherlands B.V. Reduced pitch multiple exposure process
US7384849B2 (en) 2005-03-25 2008-06-10 Micron Technology, Inc. Methods of forming recessed access devices associated with semiconductor constructions
US7166533B2 (en) 2005-04-08 2007-01-23 Infineon Technologies, Ag Phase change memory cell defined by a pattern shrink material process
WO2006124201A2 (en) 2005-05-13 2006-11-23 Sachem, Inc. Selective wet etching of oxides
US7517753B2 (en) 2005-05-18 2009-04-14 Micron Technology, Inc. Methods of forming pluralities of capacitors
US7544563B2 (en) 2005-05-18 2009-06-09 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
KR100732289B1 (ko) 2005-05-30 2007-06-25 주식회사 하이닉스반도체 반도체 소자의 미세 콘택 형성방법
US7560390B2 (en) 2005-06-02 2009-07-14 Micron Technology, Inc. Multiple spacer steps for pitch multiplication
US7396781B2 (en) 2005-06-09 2008-07-08 Micron Technology, Inc. Method and apparatus for adjusting feature size and position
US7541632B2 (en) 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
JP4197691B2 (ja) 2005-06-21 2008-12-17 株式会社東芝 半導体装置の製造方法
US7459362B2 (en) 2005-06-27 2008-12-02 Micron Technology, Inc. Methods of forming DRAM arrays
US7271108B2 (en) 2005-06-28 2007-09-18 Lam Research Corporation Multiple mask process with etch mask stack
US7282401B2 (en) 2005-07-08 2007-10-16 Micron Technology, Inc. Method and apparatus for a self-aligned recessed access device (RAD) transistor gate
KR100640657B1 (ko) 2005-07-25 2006-11-01 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US7776715B2 (en) 2005-07-26 2010-08-17 Micron Technology, Inc. Reverse construction memory cell
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US7199005B2 (en) 2005-08-02 2007-04-03 Micron Technology, Inc. Methods of forming pluralities of capacitors
TWI264058B (en) 2005-08-09 2006-10-11 Powerchip Semiconductor Corp Method of correcting mask pattern and method of forming the same
US8153350B2 (en) * 2005-08-24 2012-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method and material for forming high etch resistant double exposure patterns
JP4125311B2 (ja) 2005-08-30 2008-07-30 株式会社東芝 ロボットおよびマニピュレータ
US7829262B2 (en) 2005-08-31 2010-11-09 Micron Technology, Inc. Method of forming pitch multipled contacts
US7759197B2 (en) 2005-09-01 2010-07-20 Micron Technology, Inc. Method of forming isolated features using pitch multiplication
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7572572B2 (en) 2005-09-01 2009-08-11 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7262135B2 (en) 2005-09-01 2007-08-28 Micron Technology, Inc. Methods of forming layers
US7776744B2 (en) 2005-09-01 2010-08-17 Micron Technology, Inc. Pitch multiplication spacers and methods of forming the same
US7393789B2 (en) 2005-09-01 2008-07-01 Micron Technology, Inc. Protective coating for planarization
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
KR101200938B1 (ko) 2005-09-30 2012-11-13 삼성전자주식회사 반도체 장치의 패턴 형성 방법
US20070085152A1 (en) 2005-10-14 2007-04-19 Promos Technologies Pte.Ltd. Singapore Reduced area dynamic random access memory (DRAM) cell and method for fabricating the same
US7696101B2 (en) 2005-11-01 2010-04-13 Micron Technology, Inc. Process for increasing feature density during the manufacture of a semiconductor device
US7390749B2 (en) 2005-11-30 2008-06-24 Lam Research Corporation Self-aligned pitch reduction
US7768055B2 (en) 2005-11-30 2010-08-03 International Business Machines Corporation Passive components in the back end of integrated circuits
KR100784062B1 (ko) 2006-01-20 2007-12-10 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100672123B1 (ko) 2006-02-02 2007-01-19 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR100703985B1 (ko) 2006-02-17 2007-04-09 삼성전자주식회사 반도체 소자의 제조 방법
KR100694412B1 (ko) 2006-02-24 2007-03-12 주식회사 하이닉스반도체 반도체소자의 미세패턴 형성방법
US7745339B2 (en) 2006-02-24 2010-06-29 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7842558B2 (en) 2006-03-02 2010-11-30 Micron Technology, Inc. Masking process for simultaneously patterning separate regions
US7476933B2 (en) 2006-03-02 2009-01-13 Micron Technology, Inc. Vertical gated access transistor
US7759253B2 (en) 2006-08-07 2010-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method and material for forming a double exposure lithography pattern
JP4801477B2 (ja) 2006-03-24 2011-10-26 富士通株式会社 レジスト組成物、レジストパターンの形成方法、半導体装置及びその製造方法
US7902074B2 (en) 2006-04-07 2011-03-08 Micron Technology, Inc. Simplified pitch doubling process flow
US7557013B2 (en) 2006-04-10 2009-07-07 Micron Technology, Inc. Methods of forming a plurality of capacitors
US8158333B2 (en) 2006-04-11 2012-04-17 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device
JP2007294511A (ja) 2006-04-21 2007-11-08 Tdk Corp レジストパターンの形成方法、薄膜パターンの形成方法及びマイクロデバイスの製造方法
US8003310B2 (en) 2006-04-24 2011-08-23 Micron Technology, Inc. Masking techniques and templates for dense semiconductor fabrication
US7488685B2 (en) 2006-04-25 2009-02-10 Micron Technology, Inc. Process for improving critical dimension uniformity of integrated circuit arrays
US7314810B2 (en) 2006-05-09 2008-01-01 Hynix Semiconductor Inc. Method for forming fine pattern of semiconductor device
US7429533B2 (en) 2006-05-10 2008-09-30 Lam Research Corporation Pitch reduction
US7537866B2 (en) 2006-05-24 2009-05-26 Synopsys, Inc. Patterning a single integrated circuit layer using multiple masks and multiple masking layers
US7795149B2 (en) 2006-06-01 2010-09-14 Micron Technology, Inc. Masking techniques and contact imprint reticles for dense semiconductor fabrication
US7709341B2 (en) 2006-06-02 2010-05-04 Micron Technology, Inc. Methods of shaping vertical single crystal silicon walls and resulting structures
US7628932B2 (en) 2006-06-02 2009-12-08 Micron Technology, Inc. Wet etch suitable for creating square cuts in si
US7625776B2 (en) 2006-06-02 2009-12-01 Micron Technology, Inc. Methods of fabricating intermediate semiconductor structures by selectively etching pockets of implanted silicon
KR20070122049A (ko) 2006-06-23 2007-12-28 주식회사 하이닉스반도체 이중 노광 공정을 이용한 미세 패턴 형성방법
KR100801078B1 (ko) 2006-06-29 2008-02-11 삼성전자주식회사 수직 채널을 갖는 비휘발성 메모리 집적 회로 장치 및 그제조 방법
US8852851B2 (en) 2006-07-10 2014-10-07 Micron Technology, Inc. Pitch reduction technology using alternating spacer depositions during the formation of a semiconductor device and systems including same
KR100843870B1 (ko) 2006-07-14 2008-07-03 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성 방법
JP4724072B2 (ja) 2006-08-17 2011-07-13 富士通株式会社 レジストパターンの形成方法、半導体装置及びその製造方法
US7521371B2 (en) 2006-08-21 2009-04-21 Micron Technology, Inc. Methods of forming semiconductor constructions having lines
JP4319671B2 (ja) 2006-08-22 2009-08-26 富士通株式会社 レジストパターン及びその製造方法、並びに、半導体装置及びその製造方法
US7611980B2 (en) 2006-08-30 2009-11-03 Micron Technology, Inc. Single spacer process for multiplying pitch by a factor greater than two and related intermediate IC structures
KR100761857B1 (ko) 2006-09-08 2007-09-28 삼성전자주식회사 반도체 소자의 미세패턴 형성방법 및 이를 이용한 반도체소자의 제조방법
US7790357B2 (en) 2006-09-12 2010-09-07 Hynix Semiconductor Inc. Method of forming fine pattern of semiconductor device
KR100855845B1 (ko) 2006-09-12 2008-09-01 주식회사 하이닉스반도체 반도체 소자의 미세패턴 형성방법
US7959818B2 (en) 2006-09-12 2011-06-14 Hynix Semiconductor Inc. Method for forming a fine pattern of a semiconductor device
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
US8129289B2 (en) 2006-10-05 2012-03-06 Micron Technology, Inc. Method to deposit conformal low temperature SiO2
US7902081B2 (en) 2006-10-11 2011-03-08 Micron Technology, Inc. Methods of etching polysilicon and methods of forming pluralities of capacitors
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
KR20080038963A (ko) 2006-10-31 2008-05-07 주식회사 하이닉스반도체 콘택을 갖는 반도체소자의 제조방법
KR100913005B1 (ko) 2006-10-31 2009-08-20 주식회사 하이닉스반도체 마스크 패턴 형성 방법
KR100771891B1 (ko) 2006-11-10 2007-11-01 삼성전자주식회사 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
WO2008059440A2 (en) 2006-11-14 2008-05-22 Nxp B.V. Double patterning for lithography to increase feature spatial density
US20080113483A1 (en) 2006-11-15 2008-05-15 Micron Technology, Inc. Methods of etching a pattern layer to form staggered heights therein and intermediate semiconductor device structures
US7807575B2 (en) 2006-11-29 2010-10-05 Micron Technology, Inc. Methods to reduce the critical dimension of semiconductor devices
KR20090095604A (ko) 2006-12-06 2009-09-09 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 이중 패터닝 공정을 이용한 장치 제조 방법
US7786016B2 (en) 2007-01-11 2010-08-31 Micron Technology, Inc. Methods of uniformly removing silicon oxide and a method of removing a sacrificial oxide
US8236592B2 (en) 2007-01-12 2012-08-07 Globalfoundries Inc. Method of forming semiconductor device
US7842616B2 (en) 2007-01-22 2010-11-30 Advanced Technology Development Facility, Inc. Methods for fabricating semiconductor structures
US7964107B2 (en) 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US7741015B2 (en) 2007-02-16 2010-06-22 Shin-Etsu Chemical Co., Ltd. Patterning process and resist composition
US7785962B2 (en) 2007-02-26 2010-08-31 Micron Technology, Inc. Methods of forming a plurality of capacitors
US7790360B2 (en) 2007-03-05 2010-09-07 Micron Technology, Inc. Methods of forming multiple lines
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
KR100880323B1 (ko) 2007-05-11 2009-01-28 주식회사 하이닉스반도체 플래시 메모리 소자의 제조 방법
US20080292991A1 (en) 2007-05-24 2008-11-27 Advanced Micro Devices, Inc. High fidelity multiple resist patterning
US7709390B2 (en) 2007-05-31 2010-05-04 Micron Technology, Inc. Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
KR100886219B1 (ko) * 2007-06-07 2009-02-27 삼성전자주식회사 자기정렬된 이중 패터닝을 채택하는 미세 패턴 형성 방법
KR101217778B1 (ko) 2007-06-08 2013-01-02 도쿄엘렉트론가부시키가이샤 패터닝 방법
US7682924B2 (en) 2007-08-13 2010-03-23 Micron Technology, Inc. Methods of forming a plurality of capacitors
JP2009049338A (ja) 2007-08-23 2009-03-05 Toshiba Corp 半導体装置及びその製造方法
US20090074958A1 (en) 2007-09-13 2009-03-19 Dequan Xiao Polymeric nanocompositions comprising self-assembled organic quantum dots
DE102007052050B4 (de) 2007-10-31 2010-04-08 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement und Verfahren zum Erhöhen der Ätzselektivität während der Strukturierung einer Kontaktstruktur des Halbleiterbauelements
KR100874433B1 (ko) 2007-11-02 2008-12-17 주식회사 하이닉스반도체 반도체 소자의 패턴 형성 방법
KR20090050699A (ko) 2007-11-16 2009-05-20 주식회사 동부하이텍 미세 패턴 제조 방법 및 반도체 소자의 제조 방법
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US7851135B2 (en) 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
US8083958B2 (en) 2007-12-05 2011-12-27 International Business Machines Corporation Patterning method using a combination of photolithography and copolymer self-assemblying lithography techniques
JP2009194196A (ja) 2008-02-15 2009-08-27 Nec Electronics Corp 半導体装置の製造方法および半導体装置
US7906031B2 (en) 2008-02-22 2011-03-15 International Business Machines Corporation Aligning polymer films
JP2009252830A (ja) 2008-04-02 2009-10-29 Toshiba Corp 半導体装置の製造方法
US7713818B2 (en) 2008-04-11 2010-05-11 Sandisk 3D, Llc Double patterning method
US8440576B2 (en) 2008-04-25 2013-05-14 Macronix International Co., Ltd. Method for pitch reduction in integrated circuit fabrication
US7989307B2 (en) 2008-05-05 2011-08-02 Micron Technology, Inc. Methods of forming isolated active areas, trenches, and conductive lines in semiconductor structures and semiconductor structures including the same
US10151981B2 (en) 2008-05-22 2018-12-11 Micron Technology, Inc. Methods of forming structures supported by semiconductor substrates
JP2009289974A (ja) * 2008-05-29 2009-12-10 Toshiba Corp 半導体装置の製造方法
US7759193B2 (en) 2008-07-09 2010-07-20 Micron Technology, Inc. Methods of forming a plurality of capacitors
US20100021573A1 (en) 2008-07-22 2010-01-28 Michael J Gonzalez Compositions and methods for the prevention of cardiovascular disease
US8158335B2 (en) 2008-09-15 2012-04-17 Taiwan Semiconductor Manufacturing Company, Ltd. High etch resistant material for double patterning
US8012675B2 (en) * 2008-09-18 2011-09-06 Macronix International Co., Ltd. Method of patterning target layer on substrate
JP2010087301A (ja) 2008-09-30 2010-04-15 Toshiba Corp 半導体装置の製造方法
US8039399B2 (en) 2008-10-09 2011-10-18 Micron Technology, Inc. Methods of forming patterns utilizing lithography and spacers
US8173034B2 (en) 2008-11-17 2012-05-08 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US8492282B2 (en) 2008-11-24 2013-07-23 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8080460B2 (en) 2008-11-26 2011-12-20 Micron Technology, Inc. Methods of forming diodes
US8796155B2 (en) * 2008-12-04 2014-08-05 Micron Technology, Inc. Methods of fabricating substrates
US8273634B2 (en) * 2008-12-04 2012-09-25 Micron Technology, Inc. Methods of fabricating substrates
US8247302B2 (en) 2008-12-04 2012-08-21 Micron Technology, Inc. Methods of fabricating substrates
JP5606019B2 (ja) 2009-07-21 2014-10-15 株式会社東芝 電力用半導体素子およびその製造方法
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
CN102933628A (zh) 2010-06-04 2013-02-13 Asml荷兰有限公司 可自组装的聚合物和用于平版印刷术的方法
US8629048B1 (en) 2012-07-06 2014-01-14 Micron Technology, Inc. Methods of forming a pattern on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080176152A1 (en) * 2004-09-23 2008-07-24 Samsung Electronics Co., Ltd. Mask Patterns for Semiconductor Device Fabrication and Related Methods and Structures
US20060240361A1 (en) * 2005-04-21 2006-10-26 Ji-Young Lee Method of forming small pitch pattern using double spacers
US7265059B2 (en) * 2005-09-30 2007-09-04 Freescale Semiconductor, Inc. Multiple fin formation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11251189B2 (en) 2009-02-09 2022-02-15 Longitude Flash Memory Solutions Ltd. Gate fringing effect based channel formation for semiconductor device
US11950412B2 (en) 2009-02-09 2024-04-02 Longitude Flash Memory Solutions Ltd. Gate fringing effect based channel formation for semiconductor device

Also Published As

Publication number Publication date
KR101304684B1 (ko) 2013-09-06
US9653315B2 (en) 2017-05-16
US8796155B2 (en) 2014-08-05
EP2353175A2 (en) 2011-08-10
TW201030895A (en) 2010-08-16
EP2353175A4 (en) 2013-09-11
WO2010065252A3 (en) 2010-08-12
US20100144151A1 (en) 2010-06-10
WO2010065252A2 (en) 2010-06-10
CN102239541B (zh) 2014-04-09
CN102239541A (zh) 2011-11-09
JP5418924B2 (ja) 2014-02-19
US20140335694A1 (en) 2014-11-13
KR20110099281A (ko) 2011-09-07
JP2012511255A (ja) 2012-05-17

Similar Documents

Publication Publication Date Title
TWI406360B (zh) 製造基板之方法
TWI441279B (zh) 製造基板之方法
TWI405244B (zh) 製造基板之方法
US8889558B2 (en) Methods of forming a pattern on a substrate
US9741580B2 (en) Substrate mask patterns, methods of forming a structure on a substrate, methods of forming a square lattice pattern from an oblique lattice pattern, and methods of forming a pattern on a substrate
TW201025418A (en) Methods of forming a photoresist-comprising pattern on a substrate