KR101162775B1 - Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스 - Google Patents

Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스 Download PDF

Info

Publication number
KR101162775B1
KR101162775B1 KR1020050036970A KR20050036970A KR101162775B1 KR 101162775 B1 KR101162775 B1 KR 101162775B1 KR 1020050036970 A KR1020050036970 A KR 1020050036970A KR 20050036970 A KR20050036970 A KR 20050036970A KR 101162775 B1 KR101162775 B1 KR 101162775B1
Authority
KR
South Korea
Prior art keywords
plasma
wafer
reactor
coating
chamber
Prior art date
Application number
KR1020050036970A
Other languages
English (en)
Other versions
KR20060047699A (ko
Inventor
하나와 히로지
라마스와미 카르티크
에스. 콜린스 케네스
알-바야티 아미르
갈로 비아지오
엔구옌 앤드류
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20060047699A publication Critical patent/KR20060047699A/ko
Application granted granted Critical
Publication of KR101162775B1 publication Critical patent/KR101162775B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/53Means to assemble or disassemble
    • Y10T29/5313Means to assemble electrical device

Abstract

제품상에 임의의 실리콘, 질소, 수소 또는 산소를 함유한 코팅을 증착하는 저온 프로세스는 챔버의 처리 영역을 면하는 반응기 챔버에 제품을 위치시키는 단계; 반응기 챔버에 임의의 실리콘, 질소, 수소 또는 산소를 함유하는 처리 가스를 주입하는 단계; 챔버 외부의 재진입형 도관의 일부에 약 10MHz 정도의 HF 주파수에서 RF 플라즈마 소스 전력을 인가하고 재진입형 경로의 일부를 형성함으로써 처리 영역을 통해 재진입형 경로에 토로이달 RF 플라즈마 전류를 발생시키는 단계; 제품에 1 내지 수 MHz 정도의 LF 주파수에서 RF 플라즈마 바이어스 전력을 인가하는 단계; 및 약 100도 이하로 제품의 온도를 유지하는 단계를 포함한다.

Description

CVD층의 등각성, 응력 및 조성을 개별적으로 변화시키는 저온 CVD 프로세스{VERY LOW TEMPERATURE CVD PROCESS WITH INDEPENDENTLY VARIABLE CONFORMALITY, STRESS AND COMPOSITION OF THE CVD LAYER}
도 1은 오버헤드 토로이달 플라즈마 전류 경로를 유지하는 제 1 경우를 나타내는 도면,
도 2는 도 1의 경우에 해당하는 경우의 측면도,
도 3은 웨이퍼-대-천정 갭 간격의 변형에 따른 플라즈마내 유리 불소(free fluorine) 농도의 반응특성(behaivor)을 나타내는 그래프,
도 4는 제품에 인가된 RF 바이어스 전력의 변형에 따른 플라즈마내 유리 불소 농도의 반응특성을 나타내는 그래프,
도 5는 코일 안테나에 인가된 RF 소스 전력의 변형에 따른 플라즈마내 유리 불소 농도의 반응특성을 나타내는 그래프,
도 6은 반응기 챔버 압력의 변형에 따른 플라즈마내 유리 불소 농도의 반응특성을 나타내는 그래프,
도 7은 아르곤과 같은 불활성 희석 가스의 부분 압력의 변형에 따른 플라즈마내 유리 불소 농도의 반응특성을 나타내는 그래프,
도 8은 유도 결합된 반응기와 본 발명의 실시예에 따른 반응기에 대한 소스 전력의 함수로서 처리 가스의 해리도를 나타내는 그래프,
도 9는 도 1의 경우에 대한 변형을 나타내는 도면,
도 10 및 도 11은 폐쇄형 자기 코어가 사용되는 도 1의 경우에 대한 변형을 나타내는 도면,
도 12는 토로이달 플라즈마 전류 경로가 반응기 챔버 아래를 통과하는 본 발명의 또다른 경우를 나타내는 도면,
도 13은 폐쇄형 자기 코어의 말단 부분 부근의 감긴 코일에 플라즈마 소스 전력이 인가되는 도 10의 경우에 대한 변형을 나타내는 도면,
도 14는 2개의 평행한 토로이달 플라즈마 전류를 설정하는 경우를 나타내는 도면,
도 15는 개별적으로 제어되는 다수의 평행한 토로이달 플라즈마 전류를 설정하는 경우를 나타내는 도면,
도 16은 평행한 토로이달 플라즈마 전류가 천정 보다는 수직 측벽을 통해 플라즈마 챔버로 진입 및 배출되는 도 15의 경우의 변형을 나타내는 도면,
도 17a는 평행한 토로이달 플라즈마 전류가 제품의 표면에 대해 상호 직교하는 토로이달 플라즈마 전류 쌍을 유지하는 경우를 나타내는 도면,
도 17b는 도 17a의 경우에 다수의 방사상 밴 사용을 나타내는 도면,
도 18 및 도 19는 토로이달 플라즈마 전류가 대형 웨이퍼를 처리하는데 적합한 넓은 경로에 대해 연장되는 브로드 벨트인 본 발명의 경우를 나타내는 도면,
도 20은 토로이달 플라즈마 전류 경로의 외부 섹션이 압축되는 도 18의 경우 의 변형을 나타내는 도면,
도 21은 축방향 위치가 웨이퍼 표면에 대한 이온 밀도 분포를 조절할 수 있는 실린더형 자기 코어를 사용하는 도 18의 경우에 따른 변형을 나타내는 도면,
도 22는 한쌍의 권선이 실린더형 자기 코어 그룹의 쌍 부근을 둘러싸는 도 21의 변형을 나타내는 도면,
도 23은 단일 공통 권선이 코어 그룹 모두 부근을 둘러싸는 도 22의 변형을 나타내는 도면,
도 24 및 도 25는 대형 웨이퍼를 처리하는데 적합한 와이드 벨트인 상호 직교하는 토로이달 플라즈마 전류 쌍을 유지하는 경우를 나타내는 도면,
도 26은 유도 결합을 강화시키기 위해 자기 코어가 사용되는 도 235의 경우의 변형을 나타내는 도면,
도 27은 직교하는 플라즈마 벨트가 수평 천정 보다는 수직 측벽을 통해 반응기 챔버로 진입 및 배출되는 도 24의 경우의 변조를 나타내는 도면,
도 28a는 회전 토로이달 플라즈마 전류를 형성하는 도 24의 경우의 실시를 나타내는 도면,
도 28b는 자기 코어를 포함하는 도 28a의 경우의 버젼을 나타내는 도면,
도 29는 토로이달 플라즈마 전류를 둘러싸도록 연속적인 순환 플레넘이 제공되는 본 발명의 바람직한 경우를 나타내는 도면,
도 30은 도 29에 대응하는 상부 단면도,
도 31a 및 도 31b는 도 30에 대응하는 정면도 및 측단면도,
도 32는 120도 간격에서 면하는 연속적인 플레넘 아래에서 개별적으로 구동되는 3개의 RF 코일을 사용하는 도 29에 대한 변형을 나타내는 도면,
도 33은 120도 위상에서 3개의 RF 코일이 방위적으로 회전하는 플라즈마로서 제공되도록 구동되는 도 32의 경우에 대한 변형을 나타내는 도면,
도 34는 대칭적으로 분포된 각도에서 플래넘 아래로 수평으로 그의 마주하는 단부가 연장되는 각각의 자기 코어의 수직 외부 단부 부근에서 RF 구동 코일이 감기는 도 33의 경우에 대한 변형을 나타내는 도면,
도 35는 도 20의 경우로서 상호 횡단하는 중공 도관이 좁은 도 17의 경우에 대한 변형을 나타내는 도면,
도 36은 각각의 RF 전력 소스에 접속을 위해 그 부근에서 각각의 권선(3630, 3640)을 갖는 한쌍의 자기 코어(3610, 3620)를 사용하지만 도 24의 경우에 대한 변형인 도면,
도 37은 챔버로의 전체 6개의 재진입형 포트를 갖는 두개의 재진입형 도관 대신 3개의 재진입형 도관을 갖는 도 35에 대응하는 경우를 나타내는 도면,
도 38은 챔버로의 전체 6개의 재진입형 포트를 갖는 두개의 재진입형 도관 대신 3개의 재진입형 도관을 갖는 도 35에 대응하는 경우를 나타내는 도면,
도 39는 공통 플레넘(3910)에서 외부 도관과 서로 결합되는 도 35와 대응하는 경우를 나타내는 도면,
도 40은 공통 플레넘(4010)에서 외부 도관과 서로 결합되는 도 36과 대응하는 경우를 나타내는 도면,
도 41은 공통 플레넘(4110)에서 외부 도관과 서로 결합되는 도 37과 대응하는 경우를 나타내는 도면,
도 42는 공통 플래넘(4210)에서 외부 도관과 서로 결합되는 도 38과 대응하는 경우를 나타내는 도면,
도 43은 공통 플레넘(4310)에서 외부 도관과 서로 결합되는 도 17과 대응하는 경우를 나타내는 도면,
도 44는 플라즈마 이온 밀도 균일성 제어를 위해 자기극 부품(magnetic pole piece)을 가지며 도 1과 유사한 반응기를 나타내는 도면,
도 45는 자기극 부품이 천정 표면 부근에서 감소된 직경을 가지며, 천정은 이중 영역 가스 분배판인 도 44와 유사한 반응기를 나타내는 도면,
도 46, 47, 48은 극 부품에 대한 상이한 형상을 나타내는 도면,
도 49는 가스 분배판의 일 실시를 나타내는 도면,
도 50은 도 49의 가스 주입 오리피스의 상세도,
도 51은 자기극 부품이 발생할 수 있는 자기장을 나타내는 그래프,
도 52는 반경의 함수로서 자기장 크기를 나타내는 그래프,
도 53 및 도 54는 처리 가스 흐름을 제어하는 상이한 방법을 나타내는 도면,
도 55a 및 도 55b는 토로이달 플라즈마 경로에서 스플리터의 사용을 나타내는 도면,
도 56a, 56b 및 56c는 토로이달 플라즈마 전류가 수직으로 챔버에 진입하는 스플리터의 사용을 나타내는 도면,
도 57 및 도 58은 스플리터에 대한 상이한 형상을 나타내는 도면,
도 59a 및 도 59b는 토로이달 플라즈마 전류가 방사상 챔버로 진입하는 스플리터의 사용을 나타내는 도면,
도 60, 61, 62 및 63은 챔버의 코너에서 토로이달 플라즈마 전류가 수직으로 진입하는 스플리터의 사용을 나타내는 도면,
도 64는 스플리터가 처리 영역 높이의 부분으로만 연장될 수 있는지를 나타내는 도면,
도 65a, 65b 및 66은 주어진 챔버 직경에 대해 챔버 안쪽으로 토로이달 플라즈마 전류의 유효 방사상 경로 길이를 증가시키도록 조절된 스플리터 설계를 나타내는 도면,
도 67은 도 1의 토로이달 플라즈마 전류 소스를 갖는 MERIE 자석의 사용을 나타내는 도면,
도 68 및 도 69는 처리 영역에 토로이달 플라즈마 전류를 보다 한정하기 위한 핀(fin) 사용을 나타내는 도면,
도 70, 71a 및 71b는 분배된 인덕턴스를 갖는 RF 전력 애플리케이터를 나타내는 도면,
도 72는 도 70, 71a 및 71b에 상응하는 분배된 인덕턴스를 나타내는 도면,
도 73은 도 72의 분배된 인덕턴스의 순환 배열을 나타내는 도면,
도 74는 도 71a 및 71b와 상응하는 배열로 분배된 인덕턴스 및 캐패시턴스를 나타내는 도면,
도 75 및 도 76은 도 71a 및 71b의 자기 코어를 사용하는 유도 결합된 RF 전력의 상이한 방법을 나타내는 개략도,
도 77은 도 44의 단자 섹션과 토로이달 튜브를 전기적으로 절연시키기 위한 절연층 사용을 나타내는 도면,
도 78은 웨이퍼 지지 페데스탈 아래에 위치될 수 있는 자석 또는 자기극 균일성을 어떻게 제어하는지를 나타내는 도면,
도 79는 RF 바이어스 전력 애플리케이터를 갖는 유도 결합된 플라즈마 이머젼(immersion) 이온 주입 반응기를 나타내는 도면,
도 80a, 80b 및 80c는 각각 인가된 펄스형 DC 바이어스 전압, 해당 시스 전압 반응특성을 나타내는 도면,
도 81a, 81b, 81c 및 81d는 각각 이온 플럭스의 에너지 분포, 인가된 RF 바이어스 전압 사이클, DC 바이어스 전압의 함수로서 이온 포화 전류, 및 RF 바이어스 전압의 상이한 주파수에 대한 이온 플럭스의 에너지 분포를 나타내는 도면,
도 82a 및 도 82b는 푸시-풀 모드의 소스 전력 발생기 및 바이어스 력 발생기의 전력 출력 파형들 간의 시간에 따른 관계를 나타내는 도면,
도 82c 및 도 82d는 동기식 모드(in-synchronism mode)의 소스 전력 발생기와 바이어스 전력 발생기의 전력 출력 파형들 간의 시간에 따른 관계를 나타내는 도면,
도 82e 및 도 82f는 대칭 모드의 소스 전력 발생기와 바이어스 전력 발생기의 전력 출력 파형들 간의 시간에 따른 관계를 나타내는 도면,
도 82g 및 82h는 비대칭 모드의 소스 전력 발생기와 바이어스 전력 발생기의 전력 출력 파형들 간의 시간에 따른 관계를 나타내는 도면,
도 83a 및 도 83b는 RF 바이어스 전력 애플리케이터를 가지는 용량성 결합된 플라즈마 이머젼 이온 주입 반응기의 상이한 버젼을 나타내는 도면,
도 84는 재진입형 토로이달 경로 플라즈마 소스를 갖는 플라즈마 이머젼 이온 주입 반응기를 나타내는 도면,
도 85는 2개의 교차하는 재진입형 플라즈마 경로를 갖춘 토로이달 플라즈마 소스를 갖는 플라즈마 이머젼 이온 주입 반응기를 나타내는 도면,
도 86은 도 85의 반응기의 천정 내부 표면을 나타내는 도면,
도 87은 도 85의 반응기의 가스 분배 패널을 나타내는 도면,
도 88은 플라즈마 제어 중심 전자기를 포함하도록 변조된 도 85의 반응기의 부분도,
도 89a 및 도 89b는 각각 부가적으로 플라즈마 제어 외부 전자석을 갖는 도 88의 반응기의 측면 및 상부도,
도 90a, 90b 및 90c는 자속(magnetic flux)을 조절하기 위해 상이한 갭 간격의 하부판을 갖춘 도 89a의 외부 전자석의 측단면도,
도 91은 도 85의 반응기내의 RF 바이어스 전력 결합 회로를 나타내는 도면,
도 92는 바이어스 전압 제어 피쳐에 따른 RF 바이어스 전압 파형을 나타내는 도면,
도 93은 도 92에 도시된 피쳐에 따라 바이어스 전압을 제어하는 제어 시스템 을 나타내는 블록도,
도 94는 도 85의 반응기에 사용되는 진공 제어 밸브의 상부도,
도 95는 폐쇄 위치에서 도 94의 밸브의 측단면도,
도 96은 도 95에 대해 직각의 배향을 갖는 도 95 밸브의 하우징 내부 표면의 측단면도,
도 97은 도 85의 반응기에서 유용한 고전압 웨이퍼 지지 페데스탈의 측단면도,
도 98은 내부의 파스너를 도시하는 도 97의 웨이퍼 지지 페데스탈의 확대 측단면도,
도 99는 플라즈마 이머젼 이온 주입 반응기를 포함하는 이온 주입 처리 시스템을 나타내는 흐름도,
도 100은 도 79의 유도 결합된 플라즈마 이머젼 이온 주입 반응기 및 도 85의 토로이달 소스 플라즈마 이머젼 이온 주입 반응기에 대해 인가된 플라즈마 소스 전력의 함수로서 전자 밀도를 나타내는 그래프,
도 101은 도 79의 유도 결합된 플라즈마 이머젼 이온 주입 반응기와 도 85의 토로이달 소스 플라즈마 이머젼 이온 주입 반응기에 대해 인가된 프라즈마 소스 전력의 함수로서 유리 불소 밀도를 나타내는 그래프,
도 102는 도 83a의 용량성 결합된 플라즈마 이머젼 이온 주입 반응기와 도 85의 토로이달 소스 플라즈마 이머젼 이온 주입 반응기에 대해 인가된 플라즈마 소스 전력의 함수로서 전자 밀돌르 나타내는 그래프,
도 103은 도 85의 반응기 및 종래의 이온 빔 주입 장치에서 상이한 이온 에너지에 대한 접합 깊이의 함수로서 도펀트 농도를 나타내는 그래프,
도 104는 포스트-주입 급속 열 어닐링 전후에 도펀트 농도를 나타내는 그래프,
도 105는 도 85의 토로이달 소스 플라즈마 이머젼 이온 주입 반응기와 종래의 이온 빔 주입 장치에서 다이나믹 표면 어닐링 전후에 도펀트 농도를 나타내는 그래프,
도 106은 다이나믹 표면 어닐링을 사용하는 도 85의 반응기 및 급속 열 어닐링을 사용하는 종래의 이온 빔 주입 장치를 이용하여 얻은 접합 깊이의 함수로서 이온 주입 및 어닐링 이후 웨이퍼 저항률(resistivity)을 나타내는 그래프,
도 107은 다이나믹 표면 어닐링 전후에 도 85의 반응기를 이용하여 얻어진 주입된 도펀트 농도를 나타내는 그래프,
도 108은 도 85의 반응기의 RF 바이어스 전압(좌측 세로좌표)과 접합 깊이의 함수로서 빔라인 주입 장치의 빔라인 전압(우측 세로좌표)의 그래프,
도 109는 트랜지스터의 소스 및 드레인 콘택 및 폴리실리콘 게이트의 이온 주입동안 웨이퍼 표면의 단면도,
도 110은 트랜지스터의 소스 및 드레인 연장부의 이온 주입 동안 웨이퍼 표면의 단면도,
도 111은 도 85의 반응기를 사용하여 수행되는 이온 주입 프로세스를 나타내는 흐름도,
도 112는 도 99의 시스템에서 도 85의 반응기를 사용하여 수행되는 예비-주입, 이온 주입 및 포스트 주입 프로세스의 시퀀스를 나타내는 흐름도,
도 113은 도 1의 토로이달 소스 반응기를 사용할 수 있는 저온 CVD 프로세스를 나타내는 블록도,
도 114a는 도 113의 프로세스에서 인가된 RF 소스 전력(수평 축)의 함수로서 증착된 층(수직 축)의 등각비 그래프,
도 114b는 "등각성(conformality)"이란 용어의 의미를 나타내는 반도체 구조의 블록도,
도 115는 인가된 소스 전력(수평축)의 함수로서 CVD 증착 속도(수직축)를 나타내는 그래프,
도 116은 바이어스 전력의 함수로서 도 113의 프로세스에 의해 증착되는 층의 응력을 나타낸느 그래프,
도 117은 도 113의 단계에 따르는 일련의 웨이퍼의 포스트-CVD 이온 주입 처리 단계를 나타내는 블록도,
도 118a는 도 113의 CVD 증착 프로세스 이전에 결정성 실리콘 웨이퍼의 단면도,
도 118b는 CVD 증착된 층이 베이스층 위에 놓이는 도 113의 프로세스의 수행 이후를 나타내는 도 118a의 단면도,
도 118c는 도 113 프로세스를 다르는 이온 주입 단계를 나타내는 도 118a에 대응하는 단면도,
도 119a, 119b 및 도 119c는 각각 도 118a, 118b 및 도 118c에 대응하는 박막 결정 구조를 간략화된 방식으로 도시하는 도면,
도 120a는 도 118a의 이온 주입 단계 전후에, 질소와 같은 CVD-증착 종의 깊이 프로파일을 나타내는 도면,
도 120b는 CVD-증착 층의 접착력을 강화시키기 위해 도 118c의 단계에 대해 원하는 이온 주입 깊이 프로파일을 나타내는 도면,
도 121은 예를 들어 질소와 같이 선택된 종의 CVD-증착 층내의 함량을 강화시키기 위한 원하는 이온 주입 깊이 프로파일을 나타내는 도면,
도 122a는 도 121에 상응하는 주입 단계 이전에 베이스층과 CVD-증착 층의 구조를 나타내는 도면,
도 122b는 주입 단계 이후 증착층 및 베이스층의 구조를 나타내는 도면,
도 123a 내지 도 123h는 p-채널 및 n-채널 전계 효과 트랜지스터(FET)로 이루어진 상보형 금속 산화물 반도체(CMOS) 위에 캐리어 이동도-강화 패시베이션층을 형성하기 위한 저온 플라즈마 CVD 프로세스에서의 시퀀스 단계 결과를 나타내는 반도체 구조의 순차적 단면도,
도 124는 도 123a 내지 도 123h에 도시된 결과에 상응하는 프로세스 단계의 블록도,
도 125는 도 1의 토로이달 소스 반응기를 사용할 수 있는 높은 종횡비의 개구부를 충전시키는 저온 CVD 프로세스를 나타내는 블록도,
도 126은 도 125의 프로세스에서 개구부를 충전시키기 위해 요구되는 시간 주기에 따른 시간의 함수로서 산소(실선) 및 질소(점선)의 가스 흐름 속도를 나타내는 그래프,
도 127은 도 125 프로세스의 깊이 함수로서 증착층의 산소 함량 프로파일을 나타내는 그래프.
* 도면의 주요 부분에 대한 간단한 설명 *
100 : 반응기 챔버 110: 천정
115 : 페데스탈 120 : 제품
125 : 처리 가스 공급부 135 : 진공 펌프
150, 160 : 포트
본 출원은 Kenneth Collns 등에 의해 "EXTERNALLY EXCITED TORROIDAL PLASMA SOURCE WITH MAGNETIC CONTROL OF ION DISTRIBUTION"이란 명칭으로 2002년 6월 5일 출원된 공동계류중인 US 특허 출원 번호 10/164,327호의 CIP인, Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION PROCESS USING A PLASMA SOURCE HAVING LOW DISSOCAITION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 공동계류중인 US 특허 출원 번호 10/646,533호의 CIP인, Dan Maydan 등에 의해 "FABRICATION OF SILICON-ON-INSULATOR STRUCTURE USING PLASMA IMMERSION ION IMPLANTATION"이란 명칭으로 2004년 2월 24일자로 출원된 공동계류 중인 US 특허 출원 번호 10/786,410호의 CIP이다.
하기의 출원은 본 발명에 관련된 것들을 포함하고 있다;
Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,458호; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,460; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,467호 ; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,526호 ; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,527호 ; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,528호; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,532호; Kenneth Collins 등에 의해 "PLASMA IMMERSION ION IMPLANTATION APPARATUS INCLUDING A PLASMA SOURCE HAVING LOW DISSOCIATION AND LOW MINIMUM PLASMA VOLTAGE"란 명칭으로 2003년 8월 22일 출원된 US 특허 출원번호 10/646,612호.
화학적 기상 증착 프로세스는 반도체 기판상에 또는 중간층 상에 고품질의 층들 또는 막들을 형성할 수 있다. 이러한 막은 산화물막 또는 산화물 박막, 실리콘질화물 패시베이션층 또는 에칭 정지층, 또는 절연 트렌치 충진층일 수 있다. 이러한 막은 상대적으로 낮은 속도로 형성되는 경향이 있다. 그러나, 플라즈마 강화 화학적 기상 증착(PECVD) 및 고밀도 플라즈마 화학적 기상 증착(HDPCVD)와 같이 상대적으로 높은 속도로 막을 증착할 수 있는 화학적 기상 증착 프로세스가 있다. PECVD 프로세스는 반도체 웨이퍼 위에 조밀한 처리 가스를 제공하기 위해 약 1.5Torr 정도의 상대적으로 높은 챔버 압력에서 동작함으로써, 높은 증착 속도를 달성한다. HDPCVD 프로세스는 고밀도 플라즈마를 발생시킴으로써, 높은 증착 속도를 달성한다. 이들 두가지 프로세스 최근 집적회로 기술, 특히 피쳐 크기가 나노미터 차수인 65 나노미터 기술에 대한 CVD 프로세스에서는 부적합할 수 있다.
PECVD 프로세스는 65 나노미터 장치에 대해 부적합한데, 이는 CVD 증착 속도를 상승시키기 위해 상기 프로세스에서 사용되는 높은 챔버 압력이 높은 이온 재결 합률을 산출하여, 상대적으로 플라즈마 이온 밀도가 작아지기 때문이다(약 10-5 ions/cc). 이러한 작은 플라즈마 이온 밀도는 웨이퍼 표면에서 플라즈마 이온 충돌의 CVD 화학반응 에너지에 대한 기여도를 감소시킨다. 따라서, 요구되는 CVD 반응 에너지는 열역학적으로 요구되는 화학적 에너지를 공급하기 위해 비교적 높은 온도로 웨이퍼를 가열함으로써만 얻어질 수 있다. 또한, 높은 챔버 압력은 이러한 높은 CVD 증착 속도를 야기시켜 증착되는 막은 웨이퍼가 약 400℃로 가열되지 않는다면, 박편형(flake-like)이 된다. 이는 증착 동안 결정 성장에 박편형 결함으로 인한 어닐링 효과를 갖는다. 따라서, PECVD 프로세스에서는 고품질 막을 성장 또는 증착하기 위해 비교적 높은 웨이퍼 온도가 요구된다. 65nm 웨이퍼를 제조하는데 상기 PECVD 프로세스를 이용하는 경우 문제가 발생된다. 400℃ 웨이퍼 온도는 열적 확산 또는 도펀트 이동에 의해 미세한 피쳐 또는 웨이퍼내의 다른 피쳐를 손상시켜, 65nm 피쳐는 손상되거나 파손된다. 예를 들어, 도핑된 P-전도성 또는 N-전도성 영역들 사이의 소스-드레인간 임계 채널 길이는 장치 파손이 발생하는 임계 쓰레숄드 이하로 감소된다. 열적 확산에 의한 상기 피쳐 손상의 정도(magnitude)는, D=k[t·T]1/2로 정의되는 확산 길이에 대응되며, 여기서 D는 주어진 기간 동안 주어진 온도에서 원자가 확산되는 평균 길이이며, t는 웨이퍼가 가열되는 기간 또는 웨이퍼가 가열되는 동안의 시간이며 T는 웨이퍼가 가열되는 온도이다. PECVD 프로세스에서 고품질층을 증착하는데 요구되는 온도에서, 도펀트 및 다른 피쳐는 프로세스를 수행하기 위해 요구되는 시간내에서 65nm 임계 거리 너머로 확산된다. 65nm 임계 거리 너머로의 확산을 방지하기 위한 웨이퍼 온도 감소는 허용불가능한 불량 품질의 막을 형성한다. 따라서, 높은 챔버 압력을 이용하는 PECVD 프로세스는 65nm 장치상에서 고품질 막을 증착하는데 적합하지 않다.
HDPCVD 프로세스는 매우 높은 플라즈마 이온 밀도(10-1 ion/cc)를 달성하기 위해 매우 낮은 챔버 압력(1.5Torr)을 사용함으로써 상반되는 방안을 사용한다. 높은 플라즈마 이온 밀도는 성장 반응을 위해 요구되는 화학적 에너지를 제공하는 높은 플라즈마 이온 플럭스를 웨이퍼 표면에서 야기시켜, 성장 프로세스가 높은 성장 속도로 구현되게 조장한다. HDPCVD 프로세스는 이온 발생 영역을 웨이퍼 표면에 매우 근접시켜 매우 높은 플라즈마 이온 밀도를 발생시키는 낮은 주파수의 유도 결합된 플라즈마 소스를 사용한다. 이러한 낮은 챔버 압력 및 낮은 주파수에서 동작하는 유도 결합된 반응기는 비교적 다량의 소스 전력, 즉, 약 2kW(최소)의 소스 전력의 인가없이는 플라즈마를 가격하거나 유지할 수 없다. 이러한 최소 전력 레벨에서, 최소 플라즈마 이온 밀도는 매우 높다. 이는 최소 플라즈마 이온 밀도가 웨이퍼를 과도하게 플라즈마 가열하게 하여, 웨이퍼 온도가 약 400℃가 되게 한다는 문제를 발생시킨다. 이는 플라즈마 점화 또는 플라즈마 유지를 위한 반응기의 최소 소스 전력에서 발생하기 때문에, HDPCVD 반응기내의 웨이퍼 온도는 65nm 장치에 대해 매우 높아지게 된다.
PECVD 및 HDPCVD 프로세스의 또다른 문제점은 장치 피쳐 크기와는 무관하며, HDPCVD 프로세스에서 피할수 없고 PECVD 프로세스에서는 요구되는 높은 웨이퍼 온 도로 인해 야기된다: 포토레지스트 마스킹은 이러한 프로세스에서 유지되지 못하며, 이는 웨이퍼 온도가 포토레지스트가 웨이퍼로부터 손상 또는 제거되는 온도 이상으로 높아지기 때문이다. 따라서, 이들 PECVD 및 HDPCVD 프로세스는 포토레지스트 마스킹을 요구하지 않는 프로세스 단계에서만 사용될 수 있어, 전반적으로 화학적 기상 증착(CVD)의 효용성을 크게 제한한다.
전형적으로 PECVD 프로세스는 높은 챔버 압력(1.5Torr)을 허용한다. PECVD 반응기는 비교적 낮은 플라즈마 이온-대-중성(neutral) 비(10-5)를 달성하기 위해 적절한 전력 레벨(예를 들어, 600W)의 HF 주파수(예를 들어, 13.56MHz)에서 RF 소스 전력과 용량성 결합되고 100V 이하의 바이어스 또는 웨이퍼 시쓰(sheath) 전압을 달성하기 위해 적절한 전력 레벨(예를 들어, 2kW)의 LF 주파수(예를 들어, 400kHz 이하)에서 RF 바이어스 전력을 인가한다. 히터는 요구되는 높은 온도로 웨이퍼를 가열한다. 결과적으로 CVD 증착 속도는 약 5000Å/min이 된다.
전형적으로 HDPCVD 프로세스는 낮은 챔버 압력(1.5Torr)이 적합한 유도 결합된 반응기를 사용한다. HDPCVD 반응기는 매우 높은 플라즈마 이온-대-중성 비(10-1)를 달성하기 위해 매우 높은 전력 레벨(예를 들어, 10kW)의 LF 주파수(예를 들어, 2MHz)에서 RF 소스 전력을 인가하고 0 내지 300V에 해당하는 범위내의 바이어스 또는 시쓰 전압을 달성하기 위해 0 내지 2kW 전력 범위의 HF 주파수(예를 들어, 13.56MHz)에서 RF 바이어스 전력을 인가한다. 플라즈마 가열은 높은 플라즈마 이온 밀도로 인해 높은 온도로 웨이퍼를 가열한다. 결과적으로 CVD 증착 속도는 약 5000Å/min이 된다.
PECVD 프로세스에서의 작은 소스 전력 레벨 및 HDPCVD 프로세스에서의 큰 소스 전력 레벨에 대한 요구조건은 이들 각각의 소스 전력 레벨의 큰 변형에 대해 영향을 미친다. 이는 이들 프로세스 각각에 대한 CVD층 등각성(conformality)을 결정한다. 이는 증착된 CVD층의 등각성이 소스 전력 레벨에 의해 결정되기 때문이다. (CVD 프로세스의 등각성은 측벽 증착 속도 대 수평 표면 증착 속도의 비이다) 따라서, PECVD 및 HDPCVD 프로세스는 각각 프로세스를 손상시키지 않고는 크게 변할 수 없는 고정된 등각 특성을 갖는다. 특히, HDPCVD 프로세스는 매우 높은(10kW) 소스 전력 레벨을 요구하여 고도의 등각적인(conformal) CVD 층을 형성한다. 이들 범주의 임의적 이탈은 소스 전력의 감소를 요구하며, 이는 결국 플라즈마 이온 밀도를 감소시킨다. 이는 바람직하지 못하며, HDPCVD 프로세스가 CVD 성장 또는 증착 반응을 수행하기 위해 요구되는 에너지를 공급하기 위한 매우 높은 플라즈마 이온 밀도에 따라 좌우되기 때문이다. 이는 HDPCVD 증착된층은 고도의 등각성을 갖게되며 이를 피할수는 없다. 따라서, HDPCVD 프로세스로 증착된 층의 등각성은 부득이하게 높다. 마찬가지로, PECVD 프로세스는 낮은 등각성을 갖는 층을 형성한다. 그러나, 이러한 프로세스는 높은 등각성 및 비등각성 간의 등각성을 조절하기 위한 매체로 보여지지 않기 때문에 문제점으로 간주되지 않았다.
하기에 개시되는 본 발명에서는 본 발명자는 CVD 프로세스에서 등각성이 약 0.1(비-균일) 내지 약 0.5 이상(균일)으로 가변할 수 있고, 상이한 층이 각각 균일하게 그리고 비균일하게 형성될 수 있고, 웨이퍼가 층 품질을 손상시키지 않고 과 도한 생산성 손실 없이 저온에서( 프로세스가 65nm 장치 제조에 유용하도록) 유지될 것을 요구한다.
종래의 CVD 프로세스가 갖는 또다른 문제점은 깊은 또는 얕은 절연 트렌치와 같이 높은 종횡비의 개구부 충전시 발생한다. 상기 문제점은 상기 트렌치를 충전하기 위한 CVD 프로세스 동안 측벽 증착물이 트렌치 충전 이전에 트렌치 하부로부터 핀치(pinch)되어, 완성된 구조물에 허용불가능한 보이드를 남긴다는 것이다. 이러한 문제점을 방지하기 위해, 비등각성 정도가 높은 프로세스(측벽 증착물을 감소시키기 위해)를 사용하기 위한 종래의 방법이 요구된다. 그러나 이러한 방법은 트렌치 충전에 사용될 수 있는 프로세스를 제한한다. 또한, 트렌치-충전 프로세스(CVD)의 고도의 비등각성을 유지하기 위해서 프로세스 엔지니어의 뛰어난 능력이 요구된다. 따라서, CVD 프로세스는 트렌치와 같은 높은 종횡비 개구부의 충전이 비등각적인 CVD 층의 증착을 반드시 요구하지 않을 것을 요구한다.
소정의 실리콘, 질소, 수소 또는 산소를 함유하는 코팅을 제품상에 증착하는 저온 프로세스는, 챔버의 프로세싱 영역을 면하는 반응기 챔버에 제품을 위치시키는 단계; 반응기 챔버에 소정의 실리콘, 질소, 수소 또는 산소를 함유하는 처리 가스를 주입하는 단계; 챔버 외부의 재진입형 도관의 일부에 약 10MHz 정도의 HF 주파수에서 RF 플라즈마 소스 전력을 인가하고 재진입형 경로의 일부를 형성함으로써 프로세싱 영역을 통해 재진입형 경로에 토로이달 RF 플라즈마 전류를 발생시키는 단계; 제품에 1 또는 수 MHz 정도의 LF 주파수에서 RF 플라즈마 바이어스 전력 을 인가하는 단계; 및 약 100도 이하로 제품의 온도를 유지하는 단계를 포함한다.
챔버내 압력은 약 100도를 초과하지 않는 비교적 제품 온도에서 결함이 없는 코팅이 증착되는 쓰레숄드 압력 이하로 제한된다.
선택적으로, 코팅의 등각성은 등각성과 비등각성 사이의 범위내로 설정되며 코팅의 응력은 압축 응력과 인장 응력 사이의 범위내로 설정된다. 등각성 설정은 등각적인 코팅이 증착되는 최대 소스 전력과 비등각적인 코팅이 증착되는 최소 소스 전력 사이의 범위내에서 임의의 레벨로 RF 플라즈마 소스 전력을 설정함으로써 행해진다. 코팅의 응력 설정은 압축 응력으로 코팅이 증착되는 최대 바이어스 전력과 인장 응력으로 코팅이 증착되는 최소 바이어스 전력 사이의 범위내에서 임의의 레벨로 RF 바이어스 전력을 설정함으로써 행해진다.
토로이달 소스 반응기에 대한 설명
도 1을 참조로, 실린더형 측벽(105) 및 천정(110)으로 둘러싸인 플라즈마 반응기 챔버(100)는 반도체 웨이퍼 또는 제품(120)을 지지하는 웨이퍼 페데스탈(115)을 구비한다. 처리 가스 공급부(125)는 측벽(105)을 통해 연장되는 가스 주입 노즐(130a-130d)을 통해 챔버(100) 속으로 처리 가스를 공급한다. 진공 펌프(135)는 챔버(100)내 압력이 전형적으로 0.5mT 이하로 유지되도록 조절한다. 할프-토로이달(half-torroidal) 중공 튜브 엔클로져 또는 도관(150)은 반원으로 천정(110) 위로 연장된다. 천정(110)으로부터 바깥방향으로 외부로 연장되지만, 도관(150)은 반응기의 일부이며 챔버 벽을 형성한다. 내부적으로, 반응기 어디로든지 배출되기 때문에 동일한 배기 분위기가 공유된다. 사실상, 도 1에 도시된 것처럼 챔버의 주요 부분의 하부에 결합되는 대신 진공 펌프(135)는 도관(150)에 결합될 수 있다. 도관(150)은 반응기 천정(110)에 제 1 개구부(155) 부근에 밀봉된 하나의 개방 단부(150a) 및 반응기 천정(110)내의 제 2 개구부(160) 부근에 밀봉된 또다른 단부(150b)를 갖는다. 일반적으로 두개의 개구부 또는 포트(150, 160)는 웨이퍼 지지 페테스탈(115)의 맞은편 측면상에 위치된다. 중공 도관(150)은 하나의 개구부에서 챔버의 주요 부분으로 배기되고 다른 개구부에서 재진입되는 흐름 경로를 제공하는 재진입형이다. 본 명세서에서, 도관(150)은 할프-토로이달로서 개시되며, 상기 도관은 중공형이며 플라즈마가 흐를수 있는 폐쇄 경로의 일부를 제공하며, 전체 경로는 웨이퍼 지지 페데스탈(115) 위에 놓인 전체 프로세스 영역에 대해 플라즈마를 흘려보냄으로써 완성된다. 토로이달이란 용어의 사용에도 불구하고, 경로 또는 도관(150)의 단면 형상 뿐만 아니라 경로 궤적(trajectory)은 원형 또는 비원형일 수 있으며, 사각형, 직사각형 또는 규칙적인 형상 또는 불규칙적인 형상을 갖는 다른 임의의 형상일 수 있다.
외부 도관(150)은 시트 금속과 같이 비교적 얇은 도체로 형성될 수 있으나, 챔버내에서 진공을 견딜 수 있도록 충분히 강해야 한다. 중공 도관(150)의 시트 금속의 와전류(eddy current)를 억제시키기 위해(그리고 도관(150)의 내부에 RF 유도장(inductive field)의 결합을 용이하게 하기 위해), 중공 도관(150)에 대해 절연 갭(152)이 연장되어 두개의 튜브형 섹션으로 분리시킨다. 갭(152)은 시트 금속 스킨 대신에 세라믹과 같은 절연 물질의 링(154)으로 채워져, 갭은 기밀한 진공상 태가 된다. 제 2 절연 갭(153)이 제공되어, 도관(150)의 하나의 섹션이 전기적으로 플로팅상태가 된다. 바이어스 RF 발생기(162)는 임피던스 매칭 부재(164)를 통해 웨이퍼 페데스탈(115)과 웨이퍼(120)에 RF 바이어스 전력을 인가한다.
중공 도관(150)은 알루미늄 또는 알루미늄 합금과 같이 가공된 금속으로 형성될 수 있다. 액체 냉각 또는 가열을 위한 통로가 중공 도관의 벽에 통합될 수 있다.
선택적으로, 중공 도관(150)은 전도성 시트 금속 대신 비전도성 물질로 형성될 수 있다. 비전도성 물질로는 예를 들어 세라믹이 있다. 이러한 선택적인 경우에서, 갭(152 또는 153)은 요구되지 않는다.
중공 도관(150)의 한쪽 측면상에 배치되며 할프-토로이달 튜브의 대칭 축에 평행한 축 부근에 감겨있는 권선 또는 코일(165)과 같은 안테나(170)는 임피던스 매칭 부재(175)를 통해 RF 전력 소스(180)에 접속된다. 또한 안테나(170)는 중공 도관(150)의 맞은편 측면상에 배치되고 제 1 권선(165)과 동일한 방향으로 감긴 제 2 권선(185)을 포함하여 이들 권선으로부터 자기장이 구조적으로 부가된다.
챔버(100)로부터의 처리 가스가 중공 도관(150)을 채운다. 또한, 개별 처리 가스 공급부(190)가 가스 입구(195)를 통해 중공 도관(150)에 직접 처리 가스를 공급한다. 외부 중공 도관(150)의 RF 필드는 튜브의 가스를 이온화시켜 플라즈마를 형성한다. 원형 코일 안테나(170)에 의해 유도된 RF 필드는 튜브(150)에 형성된 플라즈마가 웨이퍼(120)와 천정(110) 사이의 영역을 지나 할프-토로이달 중공 도관(150)을 포함하는 토로이달 경로를 완성하게 한다. 본 명세서에서 사용되는 것처 럼, "토로이달"이란 용어는 폐쇄 경로 및 경로의 솔리드 네이쳐(solid nature)로 간주되나, 원형 또는 비원형 또는 사각형 등일 수 있는 그의 단면 형상 또는 궤적으로 제한되는 것을 의미하는 것은 아니다. 플라즈마는 폐쇄된 플라즈마 회로로서 간주될 수 있는 완벽한 토로이달 경로 또는 영역을 통해 순환한다(진동한다). 토로이달 영역은 웨이퍼(120)의 직경에 대해 연장되며, 소정 경우에서는 전체 웨이퍼 표면 위체 위치되도록 웨이퍼의 평면내에 충분한 폭을 갖는다.
코일 안테나(170)로부터 RF 유도장은 자체 폐쇄되는(모든 자기장 처럼) 자기장을 포함하며 본 명세서에 개시되는 폐쇄된 토로이달 경로를 따라 플라즈마 전류를 유도한다. 일반적으로 폐쇄된 경로를 따른 모든 위치에서 RF 유도장으로부터의 전력이 흡수되어, 상기 모든 경로를 따라 플라즈마 이온이 발생될 것으로 여겨진다. 플라즈마 이온 발생 속도 및 RF 전력 흡수는 다수의 요인에 따라 폐쇄 경로를 따르는 상이한 위치들에서 변할 수 있다. 그러나, 일반적으로 폐쇄 경로 길이를 따라 전류는 균일하지만, 전류 밀도는 변할 수 있다. 이러한 전류는 안테나(170)에 인가된 RF 신호의 주파수에서 교류된다(alternate). 그러나, RF 자기장에 의해 유도된 전류는 폐쇄되기 때문에, 전류는 폐쇄 경로의 회로 부근에서 보존되어, 폐쇄 경로의 임의의 부분을 흐르는 전류량은 전형적으로 경로의 임의의 다른 부분에서 동일하다. 하기에 설명되는 것처럼, 이러한 사실은 발명에 있어 상당한 장점을 제공한다.
플라즈마 전류가 흐르는 폐쇄된 토로이달 경로는 상기 경로의 경계를 정하는 다양한 전도성 표면에 형성된 플라즈마 시쓰에 의해 정해진다. 이들 전도성 표면 은 중공 도관(150)의 시트 금속, 웨이퍼(및/또는 웨이퍼 지지 페데스탈) 및 웨이퍼 위에 놓이는 천정을 포함한다. 이들 전도성 표면에 형성된 플라즈마 시쓰는 경량의(low-mass) 네거티브 전자의 큰 이동도와 중량의(havey-mass) 포지티브 이온의 나즌이동도로 인한 전하 밸런스의 결과로서 발생되는 전하가 공핍된(charge-depleted) 영역이다. 이러한 플라즈마 시쓰는 시쓰 아래에 놓인 국부적 표면에 수직인 전기장을 포함한다. 따라서, 웨이퍼 상부에 놓인 프로세스 영역을 통과하는 RF 플라즈마 전류는 웨이퍼를 면하는 천장 표면 및 가스 분배판을 면하는 웨이퍼의 표면에 수직인 두개의 전기장에 의해 구성되며 상기 두개의 전기장 사이를 통과한다. 시쓰의 두께(제품 또는 다른 전극에 인가되는 RF 바이어스 이용)는 전기장이 구성되는 웨이퍼와 같은 작은 영역에서는 크고, 천정을 커버하는 시쓰 및 챔버 벽에 인접한 큰 표면과 같은 다른 위치에서는 작다. 따라서, 웨이퍼 위에 놓이는 프라즈마 시쓰는 상당히 두껍다. 웨이퍼의 전기장 및 천정/가스 분배판 시쓰는 일반적으로 서로 평행하며 프로세스 영역의 RF 플라즈마 전류 흐름 방향에 수직이다.
RF 전력이 코일 안테나(170)에 먼저 인가되는 경우, 중공 도관(150)내의 가스로부터 용량성 결합된 플라즈마가 점화되도록 갭(152)에 대한 방전이 이루어진다. 쓰레숄드 전력 레벨 이상에서, 방전 및 플라즈마 전류는 중공 도관(150)의 길이를 통해 전체 토로이달 경로를 따라 공간적으로 연속적이게 된다. 이후, 중공 도관(150)을 지나는 플라즈마 전류가 증가함에 따라, RF 필드의 유도적 결합은 보다 우세해져 플라즈마는 유도적으로 결합된 플라즈마가 된다. 선택적으로, 플라즈마는 제품 지지체 또는 다른 전극에 인가되는 RF 바이어스 또는 스파크 또는 자외 선광원과 같은 다른 수단에 의해 점화될 수 있다.
웨이퍼 주변부에서의 에지 효과를 방지하기 위해, 포트(150, 160)가 웨이퍼의 직경을 초과하는 간격에 의해 분리된다. 예를 들어, 12 인치 직경의 웨이퍼에 대해, 포트(150, 160)는 약 14 내지 22인치 떨어져 있다. 8인치 직경의 웨이퍼에 대해, 포트(150, 160)는 약 9 내지 16인치 떨어져 있다.
"웨이퍼"란 용어의 사용에도 불구하고, 제품은 직사각형과 같은 임의의 형상일 수 있다. 제품 물질은 반도체, 절연체, 또는 도체, 또는 다양한 물질들의 조합일 수 있다. 제품은 또한 2차원 또는 3차원 구조를 가질 수 있다.
장점 :
RF 유도장으로부터의 전력이 상대적으로 긴(즉, 웨이퍼와 반응기 천정 사이의 갭 길이에 비해 긴) 폐쇄 토로이달 경로를 거쳐 흡수된다는 것이 큰 장점이며, RF 전력 흡수는 넓은 면적에 걸쳐 분포된다. 결과적으로, 웨이퍼-대-천정 갭 부근의(즉, 도 2에 도시된 프로세스 영역(121)으로, 절연 갭(152)과 혼동하지 말아야 한다) RF 전력 밀도는 상대적으로 낮아, RF 필드로부터의 장치 손상 가능성이 감소된다. 반대로, 유도 결합된 반응기에 앞서, 모든 RF 전력은 폭이 좁은 웨이퍼-대-천정 갭내에서 흡수되어, 상기 영역에서 상당히 집중된다. 또한, 이러한 사실은 웨이퍼-대-천정 갭을 좁히는 능력을 제한하거나(다른 장점에 따라), 또는 선택적으로 웨이퍼의 영역에 RF 전력의 보다 큰 농도를 요구한다. 따라서, 본 발명은 지금까지의 기술적 제한을 극복한다. 이러한 면은 프로세스 영역의 체적(volume) 또는 본 명세서에서 앞서 개시된 것처럼 웨이퍼 위에 놓인 프로세스 영역에서 극적인 감소를 통해 반응 가스의 잔류 시간을 감소시킴으로써 소정 분야에 대한 프로세스 성능을 강화시킨다.
관련된 심지어 보다 중요한 장점은 코일 안테나(170)(큰 효율성을 유도하는)에 인가되는 RF 전력을 증가시키지 않고 웨이퍼 표면에서 플라즈마 밀도를 극적으로 증가시킬 수 있다는 것이다. 이는 페데스탈 표면 및 웨이퍼(120) 부근에서 나머지 토로이달 경로를 기준으로 토로이달 경로의 단면적을 감소시킴으로써 달성된다. 단지 웨이퍼 부근에서만 플라즈마 전류의 토로이달 경로를 이렇게 압축시킴으로써, 웨이퍼 표면 부근의 플라즈마 밀도는 비례적으로 증가된다. 이는 중공 도관(150)을 지나는 토로이달 경로 플라즈마 전류가 페데스탈-대-천정(웨이퍼-대-천정) 갭을 지나는 플라즈마 전류와 적어도 선형적으로 동일해야 하기 때문이다.
종래 기술과의 큰 차이점은 RF 필드가 제품으로부터 멀리있을 뿐만 아니라, 인가되는 RF 필드를 증가시키지 않고 웨이퍼 표면에서 이온 밀도를 증가시킬 수 있다는 것이며, 플라즈마 이온 밀도 및/또는 인가된 RF 필드는 최소의 웨이퍼-대-천정 갭 길이를 증가시키지 않고 증가될 수 있다는 것이다. 앞서 말한 바와 같이, 플라즈마 밀도에서의 이러한 증가는 웨이퍼 표면에서 강한 필드를 방지하기 위해 웨이퍼-대-천정 갭에서의 증가를 필요로한다. 반대로, 본 발명에서 강화된 플라즈마 밀도는 웨이퍼 표면에서 RF 자기장의 부수적인 증가를 방지하기 위해 웨이퍼-대-천정 갭의 임의적인 증가를 요구하지 않는다. 이는 RF 필드가 웨이퍼로부터 원격적으로 인가되고 웨이퍼 표면에서 플라즈마 밀도 증가를 구현하기 위해 증가되지 않을 것을 요구하기 때문이다. 결과적으로, 웨이퍼-대-천정 갭은 다수의 장점을 달성하기 위해 기본적인 제한으로 하강 감소될 수 있다. 예를 들어, 웨이퍼 위의 천정 표면이 전도성이면, 웨이퍼-대-천정 갭 감소는 전도성 천정 표면에 의해 제공되는 전기 또는 접지 레퍼런스(reference)를 개선시킨다. 최소 웨이퍼-대-천정 갭 길이에 따른 기본적인 제한은 웨이퍼 표면 및 천정 표면 상의 플라즈마 시쓰의 두께 합이다.
본 발명의 또다른 장점은 RF 플라즈마 전류의 전체 토로이달 경로를 따라 RF 유도장이 인가되기 때문에(흡수가 상기 설명된 것처럼 분포되도록), 다른 대부분의 유도적으로 전력이 가해지는 반응기와는 달리, 상기 챔버 천정(110)은 유도장에 대한 윈도우로서 기능하지 않으며 따라서 높은 전도성 및 두꺼운 금속과 같이 임의적으로 원하는 물질로 형성될 수 있고, 예를 들어 하기에 설명되는 것처럼 전도성 가스 분배판을 포함할 수도 있다는 것이다. 결과적으로, 천정(110)은 페데스탈 또는 웨이퍼(120)의 전체 평면에 대해 신뢰성있는 전기적 전위 또는 접지 레퍼런스를 제공한다.
플라즈마 이온 밀도 증가
웨이퍼 상의 플라즈마 경로 단면적을 감소시킴으로써 웨이퍼 표면 부근의 고밀도 플라즈마를 구현하는 방법중 하나는 웨이퍼-대-천정 갭 길이를 감소시키는 것이다. 이는 도 2에 도시된 것처럼 간단하게 천정 높이를 감소시키거나 또는 전도성 가스 분배판 또는 웨이퍼 위에 샤워헤드를 도입함으로써, 달성될 수 있다. 도 2의 가스 분배 샤워헤드(210)는 가스 공급부(125)와 접속되고 다수의 가스 노즐 개구부(230)를 통해 웨이퍼(120) 위의 프로세스 영역과 통신하는 가스 분배 플레넘(220)으로 구성된다. 전도성 샤워헤드(210)의 장점은 두가지가 있다 : 첫째, 웨이퍼에 인접한 위치로 인해, 웨이퍼 표면 위의 플라즈마 경로를 압축시켜 그 부근에 플라즈마 전류 밀도는 증가시킨다. 둘째, 전체 웨이퍼 표면에 대해 근접하게 균일한 전기적 전위 레퍼런스 또는 접지 평면을 제공한다.
개구부(230)에 대한 아킹을 방지하기 위해, 각각의 개구부(230)는 밀리미터 정도로(예를 들어, 홀 직경은 약 0.5mm이다) 상대적으로 작을 수 있다. 인접한 개구부들 사이의 간격은 수 밀리미터 정도이다.
전도성 샤워헤드(210)는 그 자체를 통해 단란 회로를 제공하기 보다는 플라즈마 전류 경로를 압축시키며 이는 플라즈마 시쓰가 플라즈마에 묻힌 샤워헤드 표면 부분 부근에 형성되기 때문이다. 시쓰는 웨이퍼(120)와 샤워헤드(210)사이의 공간 보다 플라즈마 전류에서 큰 임피던스를 가져, 실제 모든 플라즈마 전류는 전도성 샤워헤드(210)를 부근을 지난다.
웨이퍼 위에 놓인 프로세스 영역의 부근에서 토로이달 플라즈마 전류 또는 경로를 압축시키기 위해 샤워헤드(예를 들어, 샤워헤드(210))를 사용하는 것이 필수적인 것은 아니다. 경로 압축 및 그로 인한 프로세스 영역내 플라즈마 이온 밀도 증가는 웨이퍼-대-천정 높이를 유사하게 감소시킴으로써 샤워헤드(210) 없이 달성될 수 있다. 샤워헤드(210)가 이런 방식으로 소거되는 경우, 처리 가스는 종래의 가스 입력 노즐, 가스 확산기, 또는 가스 슬롯(미도시)에 의해 챔버내에 공급될 수 있다.
샤워헤드(210)의 장점중 하나는 상이한 비의 반응성 및 불화성 처리 가스 혼합물이 상이한 반경들에서 상이한 오리피스(230)를 통해 주입될 수 있어, 예를 들어, 포토레지스트 상의 플라즈마 작용의 균일성을 미세하게 조절할 수 있다는 것이다. 따라서, 예를 들어, 큰 비율의 불활성 가스 대 반응성 가스가 중간 반경의 외측에 놓인 오리피스(230)에 공급될 수 있는 반면 큰 비율의 반응성 가스 대 불활성 가스가 중간 반경내에 있는 오리피스(230)에 공급될 수 있다.
하기에 설명되는 것처럼, (웨이퍼 상의 플라즈마 이온 밀도를 증가시키기 위해) 웨이퍼 위에 놓인 프로세스 영역에서 토로이달 플라즈마 전류 경로를 압축하는 또다른 방법은 웨이퍼 지지 페데스탈에 인가되는 RF 바이어스 전력을 증가시킴으로써 웨이퍼 상의 플라즈마 시쓰 두께를 증가시키는 것이다. 앞서 설명된 것처럼 프로세스 영역에 대한 플라즈마 전류는 웨이퍼 표면에서의 플라즈마 시쓰와 천정(또느 샤워헤드) 표면에서의 플라즈마 시쓰 사이에서 한정되기 때문에, 웨이퍼 표면에서 플라즈마 시쓰 두께 증가는 프로세스 영역내의 토로이달 플라즈마 전류의 부분의 단면은 필수적으로 감소되어, 프로세스 영역의 플라즈마 이온 밀도를 증가시킨다. 따라서, 본 명세서에서 이후 보다 상세하게 설명되겠지만, 웨이퍼 지지 페데스탈상의 RF 바이어스 전력이 증가함에 따라, 웨이퍼 표면 부근의 플라즈마 이온 밀도가 증가한다.
높은 에칭 속도에서 높은 에칭 선택성
본 발명은 고밀도 플라즈마를 이용하는 경우 종종 발생하는 열악한 에칭 선택성 문제를 해결한다. 도 1 및 도 2의 반응기는 용량성 결합된 플라즈마 반응기 보다 높은(약 7:1) 실리콘 이산화물-대-포토레지스트 에칭 선택성을 갖지만 고밀도 유도 결합된 플라즈마 반응기에 근사하는 높은 에칭 속도를 제공한다. 이러한 결과의 원인은 도 1 및 도 2의 반응기 구조가 반응성 처리 가스, 전형적으로 탄화불소 가스의 해리도를 감소시켜, 웨이퍼(120) 상의 플라즈마 영역에 자유 불소의 입사를 감소시키기 때문인 것으로 여겨진다. 따라서, 탄화불소 가스로부터 해리되는 다른 종을 기준으로 플라즈마내의 유리 불소의 비율이 바람직하게 감소된다. 이러한 다른 종으로는 탄화불소 처리 가스로부터 플라즈마에 형성되고 보호성 폴리머 코팅으로서 포토레지스트상에 증착되는 보호성 탄소-풍부 폴리머 전구체 종을 포함한다. 이들은 또한 탄화불소 처리 가스로부터 플라즈마에 형성된 CF 및 CF2와 같은 반응성이 덜한 에천트 종을 포함한다. 자유 불소는 포토레지스트 및 그위에 형성된 보호성 폴리머 코팅을 실리콘 이산화물을 공격하듯 강하게 공격하는 성향이 있어, 산화물-대-포토레지스트 에칭 선택성을 감소시킨다. 한편, 반응성이 덜한 CF2 또는 CF와 같은 에칭 종은 그위에 형성된 포토레지스트 및 보호성 폴리머 코팅을 보다 서서히 공격하는 성향이 있어 우수한 에칭 선택성을 제공한다.
자유 불소에 대한 플라즈마종의 해리 감소는 플라즈마에서 반응성 가스의 잔류 시간을 감소시킴으로써 본 발명에서 달성되는 것으로 여겨진다. 이는 초기에 CF2 및 CF와 같은 탄화불소 처리 가스로부터의 플라즈마에서 해리된 보다 복잡한 종 이 최종적으로 자유 불소를 포함하는 간단한 종으로 해리되기 때문에, 이들 해리의 최종 단계 범위는 플라즈마내의 가스의 잔류 시간에 따라 좌우되는 것으로 여겨진다. 본 명세서에서 사용되는 "잔류 시간(residency time)" 또는 "거주 시간(residence time)"은 처리 가스 분자 및 상기 분자로부터 해리된 종이 제품 또는 웨이퍼 위에 놓인 프로세스 영역에 존재하는 평균 시간에 해당한다. 상기 시간 또는 기간은 분자 및/또는 그의 해리된 파생종(progeny)이 프로세싱 영역을 거쳐 연장되는 상기 설명된 폐쇄된 토로이달 경로를 따라 프로세스 영역을 통과할 때까지, 프로세스 영역 속으로 분자의 초기 주입으로부터 연장된다.
또한, 자유 불소에 대한 플라즈마 종의 해리도 감소는 종래의 유도 결합된 플라즈마 소스와 비교할 때 인가되는 플라즈마 소스의 전력 밀도를 감소시킴으로써 달성되는 것으로 여겨진다. 상기 설명된 것처럼, RF 유도장으로부터의 전력은 상대적으로 긴 폐쇄된 토로이달 경로(즉, 웨이퍼와 반응기 천정 사이의 갭 길이에 비해 긴)를 거쳐 흡수되어, RF 전력 흡수는 넓은 영역에 걸쳐 분포된다. 결과적으로, 웨이퍼-대-천정 갭 부근에서의(즉, 도 2에 가장 잘 도시된 프로세스 영역으로, 절연 갭(152)과는 혼동되지 않는다) RF 전력 밀도는 상대적으로 낮아, 분자형 가스의 해리도는 감소된다.
상기 설명된 바와 같이, 본 발명은 탄화불소 처리 가스의 프로세스 영역에서 잔류 시간을 감소시킴으로서 에칭 선택성을 강화시킨다. 잔류 시간의 감소는 웨이퍼(120)와 천정(110) 사이의 플라즈마 체적을 압축시킴으로써 달성된다.
웨이퍼-대-천정 갭 또는 체적의 감소는 유용한 결과를 산출한다. 첫째, 우 에이퍼 상의 플라즈마 밀도를 증가시켜 에칭 속도를 강화시킨다. 둘째, 체적이 감소함에 따라 잔류 시간은 떨어진다. 상기 언급된 것처럼, 작은 체적은 본 발명에서 가능하며 이는 종래의 유도 결합된 반응기와는 달리, RF 소스 전력 웨이퍼 위에 놓인 프로세스 영역의 범위내에서 증착된다기 보다 전력 증착은 플라즈마 전류의 전체 폐쇄된 토로이달 경로를 따라 분포되기 때문이다. 따라서, 웨이퍼-대-천정 갭은 RF 유도장의 스킨 깊이보다 작을 수 있고, 사실상 프로세스 영역으로 주입되는 반응성 가스의 잔류 시간을 충분히 감소시킬 수 있도록 작을 수 있다는 것이 큰 장점이다.
웨이퍼(120) 위의 플라즈마 경로 단면적 및 체적을 감소시키는 두가지 방법이 있다. 하나는 웨이퍼-대-샤워헤드 갭 간격을 감소시키는 것이다. 다른 하나는 앞서 설명된 것처럼, RF 바이어스 전력 발생기(162)에 의해 웨이퍼 페데스탈(115)에 인가된 바이어스 RF 전력을 증가시킴으로써 웨이퍼 위의 플라즈마 시쓰 두께를 증가시키는 것이다. 이들 방법중 하나는 OES(optical emission spectroscopy) 기술을 이용하여 관찰되는 것처럼 웨이퍼(120) 부근의 플라즈마의 자유 불소 함량을 감소시키는(결과적으로 유전체-대-포토레지스트 에칭 선택성을 증가시키는) 결과를 산출한다.
에칭 선택성을 강화시키기 위해 자유 불소 함량을 감소시키기 위한 본 발명의 3가지 추가적인 방법이 있다. 하나의 방법은 플라즈마 속에 아르곤과 같은 비-화학적 반응성 희석 가스를 주입하는 것이다, 아르곤은 제 2 처리 가스 공급부(190)로부터 중공 도관(150)으로 프로세스 영역 외측 및 위로 직접 주입되는 반면, 화학적으로 반응성인 처리 가스(탄화불소 가스)는 샤웨헤드(210)를 통해서만 챔버에 주입된다. 이러한 바람직한 구성으로, 아르곤 이온, 중성, 및 여기된 중성들은 토로이달 경로 플라즈마 전류내에서 새롭게 주입되는 반응성 가스(예를 들어 탄화불소)를 희석시키기 위해 웨이퍼 표면에 대한 프로세스 영역을 거쳐 전파되어 웨이퍼 상에서 이들의 잔류 시간을 효율적으로 감소시킨다. 플라즈마 자유 불소 함량을 감소시키는 또다른 방법은 챔버 압력을 감소시키는 것이다. 또다른 방법은 코일 안테나(170)에 인가된 RF 소스 전력을 감소시키는 것이다.
도 3은 웨이퍼-대-샤웨헤드 갭 간격이 감소됨에 따라 플라즈마의 유리 불소 함량이 감소하는 본 발명에서 관찰되는 성향을 나타내는 그래프이다. 도 4는 플라즈마의 자유 불소 함량이 웨이퍼 페데스탈(115)에 인가되는 플라즈마 바이어스 전력을 감소시킴으로써 감소되는 것을 나타내는 그래프이다. 도 5는 플라즈마 자유 불소 함량이 코일 안테나(170)에 인가된 RF 소스 전력을 감소시킴으로써 감소되는 것을 나타내는 그래프이다. 도 6은 챔버 압력을 감소시킴으로써 자유 불소 함량이 감소되는 것을 나타내는 그래프이다. 도 7은 플라즈마 자유 불소 함량이 튜브형 엔클로져(150)속에서 희석제(아르곤 가스) 흐름 속도를 증가시킴으로써 감소되는 것을 나타내는 그래프이다. 도 3-7의 그래프는 단지 다수의 OES 관찰로부터 추론되는 플라즈마 반응특성을 나타내는 것으로 실제 데이터를 나타내는 것은 아니다.
와이드 프로세스 윈도우 :
일반적으로 챔버 압력은 0.5T 미만이며 1mT 만큼 낮을 수도 있다. 처리 가스는 150cc/m의 아르곤을 이용하여 약 15cc/m의 흐름 속도로 가스 분배 샤워헤드를 지나 챔버(100) 속으로 주입되는 C4F8이며, 챔버 압력은 약 20mT로 유지된다. 선택적으로, 아르곤 가스 흐름 속도는 650cc/m로 그리고 챔버 압력은 60mT로 증가할 수 있다. 안테나(170)는 13MHz에서 약 500 Watt의 RF 전력으로 여기될 수 있다. 안테나-대-샤워헤드 갭은 약 0.3 인치 내지 2 인치로 증가할 수 있다. 웨이퍼 페데스탈에 인가되는 바이어스 RF 전력은 2000Watt에서 13MHz이다. 다른 주파수를 선택할 수 있다. 코일 안테나(170)에 인가된 소스 전력은 50kHz로 낮거나 13MHz 이상으로 몇배 높을수도 있다. 마찬가지로 웨이퍼 페데스탈에 바이어스 전력이 인가된다.
도 1 및 도 2의 반응기에 대한 프로세스 윈도우는 종래의 유도 결합된 반응기에 대한 프로세스 윈도우보다 상당히 넓다. 이는 도 1 및 도 2의 반응기 및 종래의 유도성 반응기에 대한 RF 소스 전력의 함수로서 자유 불소의 특정 중성 플럭스를 나타내는 도 8의 그래프에 도시된다. 종래의 유도 결합된 반응기에 대해, 도 8은 소스 전력이 50 내지 100Watt 사이를 초과함에 따라 자유 불소 특정 플럭스가 급격히 증가하기 시작한다는 것을 나타낸다. 반대로, 도 1 및 도 2의 반응기는 자유 불소 특정 플럭스가 급격히 증가하기 시작하기 전에 1000Watt에 이르는 소스 전력 레벨을 허용할 수 있다. 따라서, 본 발명의 소스 전력 프로세스 윈도우는 종래의 유도 결합된 반응기 보다 거의 넓은 크기라는 것이 상당한 장점이다.
이중의 장점 :
웨이퍼 또는 제품 부근에서 토로이달 플라즈마 전류 경로의 구성은 다른 성능 기준(criteria)의 임의의 큰 트레이드오프 없이 두가지 독립적인 장점을 산출한다 : (1) 웨이퍼 상의 플라즈마 밀도는 플라즈마 소스 전력에서의 임의의 증가없이 증가할 수 있고, (2) 포토레지스트 또는 다른 물질에 대한 에칭 선택성은 상기 설명된 것처럼 증가된다. 에칭 선택성을 증가시키는 동일한 단계에 의해 플라즈마 이온 밀도를 증가시키는 것이 불가능한 경우 종래의 플라즈마 반응기는 비실용적인 것으로 간주된다. 따라서, 본 발명의 토로이달 플라즈마 소스로 구현되는 이중의 장점은 종래 기술로부터 혁신적인 방안이 될 것이다.
또다른 실시예 :
도 9는 천정(110)과 중공 도관(150) 사이의 빈 공간 안쪽에 장착되는 작은 안테나(910)로 측면 안테나(170)가 교체되는, 도 1의 경우의 변형을 나타낸다. 안테나(910)는 중공 도관(150)을 기준으로 중심설정된 단일 코일 권선이다.
도 10 및 도 11은 도 1 이 천정(110)과 중공 도관(150) 사이의 공간을 통해 연장되는 폐쇄된 자기적으로 투과적인 코어의 부가에 의해 어떻게 강화되는지를 나타낸다. 코어(1015)는 안테나(170)로부터 중공 도관(150) 안쪽의 플라즈마로의 유도 결합을 강화시킨다.
튜닝 캐패시터(1130)에 대해 접속되는 코어(1015) 부근의 제 2 권선(1120)을 사용함으로써 임피던스 매칭 회로(175)없이 임피던스 매칭이 달성될 수 있다. 튜 닝 커패시터(1130)의 커패시턴스는 RF 전력 소스(180)의 주파수에서 제 2 권선(1120)을 공명시키기 위해 선택된다. 고정된 튜닝 캐패시터(1130)에 대해, 다이나믹 임피던스 매칭은 주파수 튜닝 및/또는 포워드 전력 서보잉에 의해 제공된다.
도 12는 챔버의 하부 플로워에서 한쌍의 개구부(1260, 1265)를 통해 챔버 내부와 통신하고 반응기 하부 부근으로 연장되는 중공 튜브 엔크로져(1250)의 예를 도시한다. 코일 안테나(1270)는 도 1의 방법으로 중공 튜브 엔클로져(1250)에 의해 제공되는 토로이달 경로의 측면을 따른다. 도 12는 메인 챔버의 하부에 결합된 진공 펌프(135)를 나타내지만, 하부에 놓인 도관(1250)에 대신 결합될 수 있다.
도 13은 안테나(170)가 코어(1015)의 상부 섹션을 둘러싸는 유도 권선(1320)에 의해 교체되는 도 10 및 도 11의 예의 변형을 나타낸다. 편의상, 권선(1320)은 도관(150) 위에 있는 코어(1015)의 섹션을 둘러싼다. 그러나 권선(1320)은 코어(1015)의 임의의 섹션을 둘러쌀 수도 있다.
도 14는 제 2 토로이달 플라즈마 전류에 대한 평행한 토로이달 경로를 제공하고 제 1 중공 도관(150)에 평행하게 연장되는 제 2 중공 튜브 엔클로져(1450)가 있는 도 13의 개념에 대한 변형을 나타낸다. 튜브 엔클로져(1450)는 천정(110)내의 각각의 개구부를 통해 그의 단부 각각에서 챔버 내부와 연통한다. 자기 코어(1470)는 튜브 엔클로져(150, 1450) 아래로 그리고 코일 안테나(170)를 통해 연장된다.
도 15는 평행한 중공 튜브 엔클로져(1250a, 1250b, 1250c, 1250d) 어레이가 반응기 챔버를 지나 다수의 토로이달 플라즈마 전류 경로를 제공하는 도 14의 개념 의 변형을 나타낸 것이다. 도 15의 경우에, 플라즈마 이온 밀도는 각각 개별 코일 안테나(170a-d)에 의해 각각의 개별 중공 도관(1250a-d)에서 독립적으로 제어되며, 각각 독립적인 RF 전력 소스(180a-d)에 의해 구동된다. 개별적인 실린더형 개방 코어(1520a-1520d)는 각각의 코일 안테나(170a-d) 내에 각각 삽입된다. 이러한 경우, 관련 중심부-대-에지 이온 밀도 분포는 개별 RF 전력 소스(180a-d)의 전력 레벨을 개별적으로 조절될 수 있다.
도 16은 튜브 엔클로져(1250a-d) 어레이가 천정(110)을 통하기 보다는 반응기의 측벽을 통해 연장되는 도 15의 변형을 나타낸다. 도 16에 도시된 또다른 변형은 모든 튜브 엔클로저(1250a-d)에 인접한 단일 공통 자기 코어(1470)를 사용하는 것으로 단일 RF 소스가 모든 튜브 엔클로져(1250a-d)내의 플라즈마가 여기되도록 그를 그 부근에 감긴 안테나(170)를 포함한다.
도 17a는 천정(110)의 각각의 포트를 통해 연장되는 한쌍의 직교하는 엔클로져(150-1, 150-2)를 나타내며 각각의 코일 안테나(170-1, 170-2)에 의해 여기된다. 각각의 코어(1015-1, 1015-2)는 각각의 코일 안테나(170-1, 170-2) 내에 있다. 이 경우 강화된 균일성을 위해 웨이퍼(120) 상의 두개의 상호 직교하는 토로이달 플라즈마 전류 경로가 형성된다. 두개의 직교하는 토로이달 또는 폐쇄된 경로는 도시된 것처럼 개별적이며 독립적으로 전력이 공급되나, 웨이퍼 위에 놓인 프로세스 영역에 삽입되며 그렇지 않다면 상호작용하지 않는다. 직교하는 경로중 각각의 하나에 인가된 플라즈마 소스 전력의 개별 제어를 위해, 도 17의 각각의 RF 발생기(180a, 180b)의 주파수는 상이하여, 임피던스 매칭 회로(175a, 175b)의 작동이 해 체된다. 예를 들어, RF 발생기(180a)는 11MHz에서의 RF 신호를 발생시킬 수 있는 반면 RF 발생기(180b)는 12MHz에서 RF 신호를 발생시킬 수 있다. 선택적으로, 두개의 RF 발생기(180a, 180b)의 위상을 상쇄(offsetting)시킴으로써 독립적인 동작이 달성될 수 있다.
도 17b는 웨이퍼 지지체 위에 놓인 프로세스 영역을 통해 두개의 도관(150-1, 150-2) 각각의 토로이달 플라즈마 경로를 유도하기 위해 방사상 밴(181)이 어떻게 사용되는지를 나타낸다. 방사상 밴(181)은 웨이퍼 지지체의 에지에 이르는 챔버 측면 부근의 각각의 개구부들 사이로 연장된다. 방사상 밴(181)은 하나의 토로이달 경로로부터 다른 토로이달 경로로 플라즈마의 변환을 방지하여, 두개의 플라즈마 전류만이 웨이퍼 지지체 위에 놓인 프로세스 영역내에서 교차된다.
대형 직경 웨이퍼에 적합한 경우
최근 소자 크기가 작아지고 소자 밀도가 높아지는 산업 경향에 덧붙여, 또 다른 경향은 웨이퍼 직경이 커진다는 것이다. 예컨대, 12-인치 직경의 웨이퍼는 현재 생산단계에 진입해 있고, 아마도 미래에는 더 큰 직경의 웨이퍼가 출현할 것이다. 이는 웨이퍼 당 집적회로 다이의 수가 커지기 때문에 수율이 높아지는 장점을 갖는다. 그러나, 이는 플라즈마 처리시 큰 직경의 웨이퍼 전체에 균일한 플라즈마를 유지시키는 것이 매우 어렵다는 단점을 갖는다. 하기 본 발명의 경우는 12-인치 직경의 웨이퍼와 같이 큰 직경의 웨이퍼 전체 표면에 걸쳐 균일한 플라즈마 이온 밀도 분산를 제공하기에 매우 적합하다.
도 18과 19는 절연 갭(1852)을 포함하는 도 1의 중공 도관(150)의 와이드 플랫 장방형 버젼(1850)인 중공 튜브 엔클로져(1810)을 도시한다. 이러한 버젼은 12-인치 직경의 웨이퍼 또는 제품과 같이 큰 직경의 웨이퍼를 균일하게 덮기에 매우 적합한 플라즈마의 와이드 "벨트"를 형성한다. 실링(110) 내에서 튜브 엔클로져와 개구부 쌍(1860,1862)의 폭(W)은 약 5% 이상 만큼 웨이퍼를 초과할 수 있다. 예컨대, 만약 웨이퍼 직경이 10 인치라면, 장방형 튜브 엔클로져(1850) 및 개구부(1860,1862)의 폭(W)은 약 11 인치이다. 도 20은 외부 튜브 엔클로져(1850)의 부분(1864)이 수축된 도 18과 19의 장방형 튜브 엔클로져(1850)의 변형된 버젼(1850')이다.
도 20은 엔클로져(1850)의 수축 및 비수축 부분들 간의 전이부에서 포커싱 자석(1870)의 선택적인 사용을 도시한다. 포커싱 자석(1870)은 엔클로져(1850)의 수축 및 비수축 부분들 간의 양호한 플라즈마 이동을 촉진하며, 튜브 엔클로져(1850)의 수축부(1860)와 비수축부 간의 전이에 걸쳐 플라즈마가 이동함에 따라 특히 플라즈마의 보다 균일한 확산을 촉진한다.
도 21은 어떻게 복수의 실린더형 자기 코어(2110)가 튜브 엔클로져(1850)에 의해 둘러쌓여 외부 영역(2120)을 통해 삽입될 수 있는지를 도시한다. 일반적으로 실리더형 코어(2110)는 튜브 엔클로져(1850)의 대칭 축에 평행하다. 도 22는 튜브 엔클로져(1850)에 의해 둘러쌓인 외부 영역(2120)을 완전히 관통하여 연장하는 코어(2110)가 외부 영역(2120)의 각각의 절반으로 짧아진 코어 쌍(2210,2220)들에 의해 대체된 도 21의 경우의 변형을 예시한다. 측벽 코일(165,185)은 각각의 코일 쌍(2210,2220)을 둘러싸는 한 쌍의 코일 권선(2230,2240)으로 대체된다. 이 경우, 코어 쌍(2210,2220) 간의 변위(D)는 웨이퍼 주변에서의 이온 밀도와 관련하여 웨이퍼 중심 부근에서의 이온 밀도를 조절하도록 바뀔 수 있다. 더 넓은 변위(D)는 웨이퍼 중앙에서의 유도성 결합을 감소시키고, 이로써 웨이퍼 중앙에서의 플라즈마 이온 밀도를 감소시킨다. 따라서, 추가의 제어 부재가 웨이퍼 표면에 걸친 이온 밀도 공간 분산를 정밀하게 조절하기 위해 제공된다. 도 23은 분리 권선(2230,2240)이 코어 쌍(2210,2220)과 관련하여 중앙에 위치한 단일 중심 권선(2310)에 의해 대체된 도 22의 경우의 변형을 예시한다.
도 24와 도 25는 웨이퍼 표면에 걸친 훨씬 균일한 플라즈마 이온 밀도 분산를 제공하는 경우를 예시한다. 도 24와 25의 경우에, 두 개의 토로이달 플라즈마 흐름 경로가 서로 횡단하고 상호 직교하도록 설정된다. 이는 제 1 튜브 엔클로져(1850)과 관련하여 횡단하고 직교하게 연장하는 제 2 와이드 장방형 중공 엔클로져(2420)를 제공함으로써 달성된다. 제 2 튜브 엔클로져(2420)는 천정(110)을 관통하고 절연 갭(2452)을 포함하는 한 쌍의 개구부(2430)를 통해 챔버 내부와 소통한다. 제 2 튜브 엔클로져(2420)의 측부들을 따라 한 쌍의 측부 코일 권선(2450,2460)은 내부에 플라즈마를 유지시키고 임피던스 매칭 회로(2480)을 통해 제 2 RF 전력 공급기(2470)에 의해 구동된다. 도 24에 지시된 바와 같이, 두 개의 직교하는 플라즈마 흐름은 웨이퍼 표면 상에서 동시에 존재하고 웨이퍼 표면 상에서 보다 균일한 플라즈마 커버리지를 제공한다. 이 경우 10 인치 이상의 직경을 갖는 큰 웨이퍼를 처리하기에 매우 유리할 것으로 기대된다.
도 17의 경우와 같이, 도 24의 경우는 강화된 균일성을 위해 웨이퍼(120) 상에서 두 개의 상호 직교하는 토로이달 플라즈마 흐름 경로를 생성한다. 두 개의 직교하는 토로이달 또는 폐쇄된 경로는 분리되고 도시된 바와 같이 독립적으로 전력이 공급되지만, 웨이퍼 위에 놓인 처리 영역과 교차하며, 그렇지 않다면 상호작용하지 않거나 서로 전환 또는 확산된다. 직교하는 경로의 각각에 인가된 플라즈마 소스 전력의 개별적인 제어를 보장하기 위하여, 도 24의 각각의 RF 발생기(180,2470)의 주파수는 서로 달라, 임피던스 매칭 회로(175,2480)의 동작은 분리된다. 예컨대, RF 발생기(180)는 11 MHz에서 RF 신호를 발생시킬 수 있지만, RF 발생기(2470)는 12 MHz에서 RF 신호를 발생시킬 수 있다. 대안적으로, 독립적인 동작은 두 개의 RF 발생기(180,2470)의 위상을 오프세팅시킴으로써 달성될 수 있다.
도 26은 절연 갭(2658)을 포함하는 변형된 장바아형 엔클로져(2650)이 천정(110)을 통하기 보다는 챔버 측벽(105)을 통해 챔버 내부와 소통하는 도 18의 경우의 변형을 예시한다. 이를 위해, 장방형 엔클로져(2650)는 수평 상부(2652), 상기 상부(2652)의 각각의 단부들에서 한 쌍의 하향 연장 레그(2654)와 상기 하향 연장 레그(2654)들 중 각각의 레그의 하단부로부터 측벽(105)의 각각의 개구부(2670,2680)로 각각 연장하는 한 쌍의 수평 내부 연장 레그(2656)를 갖는다.
도 27은 절연 갭(2752)을 포함하는 제 2 장방형 튜브 엔클로져(2710)가 어떻게 도 26의 경우에 추가될 수 있는지를 도시하며, 상기 제 2 튜브 엔클로져(2710)는 장방형 튜브 엔클로져(2650,2710)가 서로 직교하는(적어도 서로 횡단하는) 것을 제외하면 도 26의 장방형 튜브 엔클로져(2650)와 동일하다. 제 2 장방형 튜브 엔 클로져는 개구부(2720)를 포함하는 측벽(105)을 통해 각각의 개구부를 관통하여 챔버 내부와 소통한다. 도 25의 경우와 마찬가지로, 튜브 엔클로져(2650 및 2710)는 넓은 웨이퍼 직경에 대해 우세한 균일성을 제공하기 위해 웨이퍼 표면 상에서 동시에 존재하는 서로 직교하는 토로이달 플라즈마 흐름을 생성한다. 플라즈마 소스 전력은 각각의 쌍의 측부 코일 권선(165,185 및 2450,2460)을 통해 튜브 엔클로져의 내부에 제공된다.
도 28A는 어떻게 측부 코일(165,185,2450,2460)이 두 개의 장방형 튜브 엔클로져(2650,2710)에 의해 둘러싸인 외부 영역(2860) 내에 놓이는 한 쌍의 상호 직교하는 내부 코일(2820,2840)으로 대체(또는 보조)될 수 있는지를 도시한다. 각각의 코일(2820,2840)은 장방형 튜브 엔클로져(2650,2710) 중 대응하는 엔클로져에서 토로이달 플라즈마 흐름을 생성한다. 코일(2820,2840)은 동일한 또는 상이한 위상의 상이한 주파수 또는 동일한 주파수에서 완전히 독립적으로 구동될 수 있다. 또한, 이들은 동일한 주파수이지만 결합된 토로이달 플라즈마 흐름이 소스 전력 주파수에서 회전하도록 위상 차이(즉, 90도)로 구동될 수 있다. 이 경우 코일(2820,2840)은 도 28A에서 지시된 바와 같이, 공통 신호 발생기(2880)의 각각의 사인 및 코사인 성분에 의해 구동된다. 이는 플라즈마 흐름 경로가 플라즈마 이온 주파수를 초과하는 회전 주파수에서 웨이퍼 표면에 걸쳐 방위각으로 회전하여 회전이 훨씬 낮은 주파수에서 이루어지는 MERIE 반응기와 같은 종래 방법보다 불균일함이 우수하게 억제된다는 장점을 갖는다.
도 28B를 참조하면, 플라즈마 이온 밀도의 방사형 조절은 일반적으로 코일 (2820) 내에서 축방향으로 서로를 향해 이동하거나 서로로부터 멀어질 수 있는 한 쌍의 자기 실린더형 코어(2892,2894) 및 코일(2840) 내에서 축방향으로 서로를 향해 이동하거나 서로로부터 멀어질 수 있는 한 쌍의 자기 실린더형 코어(2896,2898)를 제공함으로써 제공될 수 있다. 코어의 각각의 쌍이 서로를 향해 이동할 때, 각각의 직교하는 플라즈마 흐름의 중심 부근의 유도성 결합은 흐름의 에지와 관련하여 강화되어, 웨이퍼 중심에서의 플라즈마 밀도는 일반적으로 강화된다. 따라서, 중심-대-에지 플라즈마 이온 밀도는 코어(2892,2894,2896,2898)를 이동시킴으로써 제어될 수 있다.
도 29는 두 개의 튜브 엔클로져(2650,2710)가 하나의 엔클로져(2910)로 서로 통합되어 단일 플레넘을 구성하는 반응기의 중심 축 둘레에서 360도 연장하는 본 발명의 대안적인 경우를 도시한다. 도 29의 경우에, 플레넘(2910)은 할프-돔 하부벽(2920) 및 일반적으로 상기 하부벽(2920)과 조화하는 할프-돔 상부벽(2930)을 갖는다. 따라서, 플레넘(2910)은 상부 및 하부 할프-돔 벽(2920,2930) 간의 간격이다. 절연 갭(2910)은 상부 돔 벽(2920) 둘레에서 연장할 수 있고 및/또는 절연 갭(2931)은 하부 돔 벽(2930) 주위에서 연장할 수 있다. 플레넘(2910)은 챔버의 대칭 축 둘레에서 360 도 연장하는 천정(110) 내의 환형 개구부(2925)를 통해 챔버 내부와 소통한다.
플레넘(2910)은 천정(110) 위의 영역(2950)을 완전히 둘러싼다. 도 29의 경우에, 플라즈마 소스 전력은 한 쌍의 상호 직교하는 코일(2960,2965)에 의해 플레넘(2910)의 내부에 결합된다. 코일(2960,2965)로의 액세스는 플레넘(2910)의 중심 을 통과하는 수직 콘딧(2980)을 통해 제공된다. 바람직하게, 코일(2960,2965)은 방위각으로 순환하는 토로이달 플라즈마 흐름(즉, 웨이퍼 평면 내에서 순환하는 플라즈마 흐름)을 얻기 위해 도 28의 경우와 같이 네모꼴로 구동된다. 회전 주파수는 인가된 RF 전력의 주파수이다. 대안적으로, 코일(2960,2965)이 상이한 주파수에서 개별적으로 구동될 수 있다. 도 30은 도 29의 경우의 상부 단면도이다. 도 31A와 31B는 도 30에 대응하는 각각의 전면도와 측면도이다.
서로 직교하는 코일(2960,2965) 쌍은 자신의 권선 축이 360/n 각도로 떨어져 배치되어 개별적으로 구동되는 n 개의 코일로 대체될 수 있다. 예컨대, 도 32는 두 개의 코일(2960,2965)이 120도 간격으로 배치된 권선 축을 가지며 각각의 임피던스 매칭 회로(3241,3251,3261)를 통해 세 개의 각각의 RF 공급기(3240,3250,3260)에 의해 구동되는 세 개의 코일(3210,3220,3230)으로 대체된 경우를 도시한다. 회전하는 토로이달 플라즈마 흐름을 발생시키기 위하여, 세 개의 권선(3210,3220,3230)은 도 33에 도시된 것처럼 공통 전력 소스(3310)으로부터 위상이 120 도로 구동된다. 도 32와 33의 경우는 두 개의 코일만을 갖는 도 29의 경우보다 바람직한데, 그 이유는 수직 도관(2980)을 통한 것보다는 둘레에 있는 코일들 간의 상호 결합이 훨씬 많기 때문이다.
도 34는 세 개의 코일이 밀봉된 영역(2950)의 외부에 있으며, 이들의 인덕턴스가 도관(2980)을 통해 연장하는 각각의 수직 자기 코어(3410)에 의해 밀봉된 영역(2950) 안으로 결합되는 경우를 도시한다. 각각의 코어(3410)는 코일(3210,3220,3230) 중 각각의 코일이 감긴 도관(2980) 위레서 연장하는 단부를 갖는 다. 각각의 코어(3410)의 하부는 밀봉된 영역(2950) 내부에 있고 수평 레그를 갖는다. 세 개의 코어(3410)의 수평 레그는 도 32의 밀봉된 영역 내부의 세 개의 코일에 의해 제공된 것과 유사한 플레넘(2910)의 내부에 유도성 결합을 제공하기 위해 120도 간격으로 방향지어져 있다.
도 18-28의 경우의 평탄한 장방형 튜브 엔클로져의 장점은 튜브 엔클로져의 넓은 폭과 상대적으로 낮은 높이가 토로이달 플라즈마 흐름을 큰 직경의 웨이퍼의 전체 표면을 용이하게 덮는 넓고 얇은 벨트의 플라즈마가 되게 한다는 것이다. 전체 튜브 엔클로져는 폭이 최대가 될 필요는 없다. 대신에 챔버 내부로부터 가장 먼 튜브 엔클로져의 외부 섹션이 넥킹 다운(necked down)될 수 있으며, 이는 도 20의 경우와 관련하여 이미 설명하였다. 이 경우, 넓은 부분(1851)과 좁은 섹션(1852) 사이의 전이 코너에서의 포커싱 자석(1870)은 좁은 부분(1852)에 존재하는 플라즈마 흐름이 넓은 섹션(1851)의 전체 폭 전체에 걸쳐 퍼지게 하는 것이 바람직하다. 만약 웨이퍼 표면에서의 플라즈마 이온 밀도를 최대화시키는 것이 바람직하다면, 좁은 부분(1852)의 단면적이 넓은 부분(1851)의 단면적만큼 적어도 근사하게 크게 하는 것이 바람직하다. 예컨대, 좁은 부분(1852)은 높이와 폭이 거의 동일한 통로일 수 있지만 넓은 부분(1851)이 폭보다 작은 높이를 가질 수 있다.
여기서 개시된 공기-코어 코일(즉, 자기 코어 없는 코일)을 갖는 다양한 경우는 첨부된 도면에 도시된 개방-자기-경로 타입 또는 폐쇄-자기-코어 타입일 수 있는 자기-코어를 대신 사용할 수 있다. 또한, 여기서 개시된 상이한 RF 주파수에 의해 구동되는 두 개 이상의 토로이달 경로를 갖는 다양한 경우는 동일한 주파수에 의해 동일하거나 상이한 위상으로 구동되는 것으로 대체될 수 있다.
도 35는 상호 횡단 중공 도관이 도 20의 경우와 같이 좁은 도 17 경우의 버젼이다.
도 36은 도 24 경우의 버젼이지만 둘레의 각각의 RF 전력 소스에 연결된 각각의 권선(3630,3640)을 가는 한 쌍의 자기 코어(3610,3620)를 사용한다.
도 37은 도 35에 해당하는 경우이지만 챔버에 대해 전체 여섯 개의 재진입 포트를 갖는 두 개의 재진입 도관 대신에 세 개의 재진입 도관을 갖는다. (도 37의 경우에서 처럼) 다수의 대칭적으로 배치된 도관과 두 개 이상의 재진입 포트를 갖는 것은 300mm 이상의 직경을 갖는 웨이퍼를 처리하는데 매우 유리한 것으로 보인다.
도 38은 도 38에 해당하는 경우이지만 챔버에 대하여 전체 여섯 개의 재진입 포트를 갖는 두 개의 재진입 도관 대신에 세 개의 도관을 갖는다.
도 39는 외부 도관이 공통 플레넘(3910)에 함께 결합하는 도 35에 해당하는 경우이다.
도 40은 외부 도관이 공통 플레넘(4010)에 함께 결합하는 도 36에 해당하는 경우이다.
도 41은 외부 도관이 공통 플레넘(4110)에 함께 결합하는 도 37에 해당하는 경우이다.
도 42는 외부 도관이 공통 플레넘(4210)에 함께 결합하는 도 38에 해당하는 경우이다.
도 43은 외부 도관이 공통 플레넘(4310)에 함께 결합하는 도 17에 해당하는 경우이다.
유리한 특징들:
웨이퍼에 인접하게 토로이달 플라즈마 흐름을 압축하는 것은 에칭 선택도를 높일 뿐만 아니라 동시에 플라즈마 이온 밀도 증가에 의한 에칭율을 증가시킨다. 이전의 반응기는 제품에 대해 에칭율 또는 플라즈마 이온 밀도를 증가시키는 동일한 메커니즘에 의해 에칭 선택도를 높이지 않았다.
웨이퍼 또는 제품에 인접하게 토로이달 플라즈마 흐름을 압축함으로써 에칭 선택도를 향상시키는 것은 본 발명에서 여러 방식으로 달성될 수 있다. 한가지 방식은 페데스탈-대-천정 또는 웨이퍼-대-천정 높이를 감소시키는 것이다. 또 다른 방식은 토로이달 플라즈마 이온 흐름의 경로를 압축시키는 웨이퍼 위의 가스 분배판 또는 샤워헤드를 도입하는 것이다. 또 다른 방식은 웨이퍼 또는 제품에 인가되는 RF 바이어스 전력을 증가시키는 것이다. 또 다른 방식 또는 에칭 선택도를 향상시키는 이전의 방식들의 조합이 본 발명을 실시하는 당업자에 의해서 선택될 수 있다.
에칭 선택도는 국부적으로 (즉, 웨이퍼 또는 제품에 인접하여) 반응성 처리 가스를 주입하면서 불활성 희석 가스(여컨대, 아르곤)를 멀리서(즉, 도관 또는 플레넘 안으로) 주입함으로써 본 발명에서 더욱 향상될 수 있다. 이는 가스 분배판 또는 샤워헤드를 제품 지지대 바로 위에 면하게 제공하고 샤워헤드를 통해 반응성 처리 가스만을 (또는 적어도 우세하게) 유입시킴으로써 달성될 수 있다. 동시에, 희석 가스는 웨이퍼 또는 제품 위에 있는 처리 영역으로부터 떨어진 도관으로 주입된다. 따라서, 토로이달 플라즈마 흐름은 웨이퍼 상의 재료의 반응성 이온 에칭을 위한 플라즈마 이온 소스가 될 뿐만 아니라 반응성 처리 가스 종을 스위핑하기 위한 에이전트와 플라즈마-유도 해리 처리가 불소가 없는 바람직하지 않은 양을 생성하는 포인트까지 수행되기 전의 플라즈마-해리 파생종(progeny)이다. 반응성 처리 가스의 거주 시간 감소는 포토레지스트와 기타 재료와 관련한 에칭 선택도를 향상시킨다는 큰 장점을 갖는다.
RF 플라즈마 소스 전력을 토로이달 플라즈마 흐름에 제공하는 것에는 많은 융통성이 제공된다. 상기 설명한 바와 같이, 전력은 일반적으로 안테나에 의해 토로이달 플라즈마 흐름에 유도적으로 결합된다. 대부분의 경우에, 안테나는 주로 안테나에 인접하게 또는 이웃하게 배치시킴으로써 외부 도관 또는 플레넘에 결합된다. 예컨대, 코일 안테나는 도관 또는 플레넘의 측부를 따라 연장할 수 있다. 그러나, 다른 경우에 안테나는 도관 또는 플레넘과 주반응 엔클로져(예컨대, 천정) 사이에서 밀봉된 영역으로 한정된다. 후자의 경우에, 안테나는 도관의 측부를 따라 배치하기 보다는 도관의 "아래에" 배치하는 것으로 고려될 수 있다. (도관과 주챔버 엔클로져 사이의) 밀봉된 영역을 통과하여 연장하고 밀봉된 영역을 넘어가서 안테나가 둘러싸 감는 연장부를 갖는 자기 코어 (또는 코어)의 경우라도 큰 융통성이 제공된다. 이 경우 안테나는 자기 코어를 경유하여 유도적으로 결합하고 따라서 도관 내 토로이달 플라즈마 흐름에 인접할 필요는 없다. 이런 경우에, 밀폐된 자기 코어가 사용되고 안테나는 토로이달 플라즈마 흐름 또는 도관으로부터 가장 멀리 떨어진 코어 섹션을 둘러싸 감는다. 따라서, 안테나는 자기 코어를 통해 토로이달 플라즈마 흐름에 멀리서 결합시킴으로써 플라즈마 챔버로부터 완전히 멀어진 위치와 같이 어떤 곳이라도 위치할 수 있다.
결국, 매우 큰 직경을 갖는 웨이퍼 또는 제품의 표면에 대한 플라즈마 분산는 균일하다. 이는 어떤 경우에는 웨이포의 포을 초과하는 폭을 갖는 넓은 플라즈마 벨트로서 토로이달 플라즈마 흐름을 형성함으로써 달성된다. 또 다른 경우로서, 웨이퍼 표면에 대한 플라즈마 이온 밀도의 균일성은 웨이퍼 위의 퍼리 영역에서 교차하는 두 개 이상의 상호 횡단 또는 직교하는 토로이달 플라즈마 흐름을 제공함으로써 달성된다. 토로이달 플라즈마 흐름은 360/n 만큼 서로 이격된 방향으로 흐른다. 각각의 토로이달 플라즈마 흐름은 매우 큰 직경의 웨이퍼를 덮는 넓은 벨트의 플라즈마로서 형성될 수 있다. 각각의 플라즈마 흐름은 하나의 토로이달 플라즈마 흐름의 방향을 따라 정렬된 개별 코일 안테나에 의해 전력이 공급될 수 있다. 바람직한 경우에, 균일성은 웨이퍼 위의 처리 영역에 회전하는 토로이달 플라즈마 흐름을 형성하기 위하여 각각의 코일 안테나에 대해 상이한 위상의 RF 신호를 제공함으로써 향상될 수 있다. 바람직한 경우에, 최적의 구조는 토로이달 플라즈마 흐름이 천정 또는 측벽 내의 순환적으로 연속하는 환형 개구부를 통해 주 챔버 부분과 소통하는 순환적으로 연속하는 플레넘 내에서 흐르는 것이다. 후자의 구조는 전체 토로이달 플라즈마 흐름이 연속하는 방식으로 방위각으로 회전하게 한다.
플라즈마 이온 밀도의 방사상 분산 제어
도 44는 한 쌍의 직교 외부 재진입 튜브(150-1,150B2)를 갖는 도 17A에 도시된 것과 유사한 플라즈마 반응기를 도시한다. RF 전력은 각각의 RF-구동 코일(170-1,170-2)에 의해 여기된 각각의 환형 자기 코어(1015-1,1015-2)에 의해 튜브에 결합된다. 그러나, 도 44에서 외부 튜브(150-1,150-2)는 원형 단면이라기 보다는 도 24에 도시된 것처럼 자방형이다. 또한, 하부 튜브(150-1)의 수평 단면은 편평하지 않고 오히려 그 중앙에 딥(4410)을 갖는다. 딥(4410)은 상부 외부 튜브(150-2)가 반응기 천정(110)에 인접하게 끼워지게 한다. 이러한 구조는 상부 튜브(150-2)의 경로 길이를 짧게하고, 이로써 상부 튜브(150-2)의 플라즈마 손실을 감소시킨다. 실제로, 딥(4410)의 형상은 상부 및 하부 외부 튜브(150-1,150-2)를 통한 경로 길이가 적어도 거의 같아지도록 선택될 수 있다. 도 2와 26의 반응기와 마찬가지로, 도 44의 반응기는 천정(110) 위 (또는 천정(110)을 형성하는) 그리고 웨이퍼(120) 위의 가스 분배판(210)을 갖는다.
딥(4410)은 수직 공간이 천정(110)의 상부면과 딥(4410)의 꼭지점의 하부 튜브(150-1) 상에 형성된 하부 코너(4422) 사이에 유지되도록 제한한다. 수직 공간은 웨이퍼(120) 중심 위의 플라즈마 이온 밀도를 강화시키는 전자석 어셈블리(4430)를 수용한다. 전자석 어셈블리(4430)는 철 또는 (예컨대) 강철과 같은 자화가능한 금속으로 형성된 좁고 기다란 실린더형 폴 피스(4440) 및 폴 피스(4440)를 감아 둘러싸는 절연된 도전성 와이어(예컨대, 구리 와이어)의 코일(4450)을 포함한다. 폴 피스(4440)의 실린더형 축은 실린더형 챔버(100)의 대칭 축화 일치하여, 폴 피스(4440)의 축이 웨이퍼(120)의 중심과 교차한다. 코일(4450)은 폴 피스 (4440) 위에 직접 둘러 쌓이거나 도 45에 도시된 것처럼 폴 피스(4440)를 에워싸는 맨드릴(4460)을 둘러쌀 수 있다. 도 45는 코일(4450)이 천정(110) 위에서 연장하는 폴 피스(4440)의 섹션(4440-1)을 둘러쌀 수 있다는 것을 보여준다. 천정(110) 내부의 폴 피스(4440)의 하부 섹션(4440-2)은 가스 분배판(210)의 가스 매니폴드(220) 내에서 종결된다.
효율성을 위해, 가스 분배판(210) 내에 가스 유동을 방해하지 않으면서 실시하듯이 플라즈마에 인접하게 플라즈마-한정 자기장의 소스를 위치시키는 것이 바람직하다. 이를 위해, 가스 매니폴드(220) 내부의 하부 폴 피스 섹션(4440-2)의 일부분은 폴 피스(4440)를 종결시키는 매우 좁은 실린더형 단부 피스(4470)이다. 단부 피스(4470)는 플라즈마의 자기장 효과를 강화시키기 위해 가스 분배판의 하부 부근의 폴 피스(4440)의 자기장 라인을 연장시킨다. 단부 피스(4470)의 직경은 가스 매니폴드(210) 내의 가스 유동이 방해되지 않도록 충분히 감소된다. 더욱이, 이러한 감소된 직경은 자기장의 방사 성분의 피크가 중심 축에 더 근접하게 한다.
도 46은 니플(4477)에서 종결된 테이퍼링된 하부(4475)를 갖는 단부 피스(4470)를 도시한다. 도 47은 하부(4476)가 평탄한 단부 피스(4470)를 도시한다. 도 48은 하부(4478)가 둥근 단부 피스(4470)를 도시한다.
일 실시예에서, 폴 피스(4440)는 직경이 약 3.5cm이고 (대략 60 턴 코일(4450)의 직경이 약 6cm임)길이가 약 12cm이다. 폴 피스(4440)는 직경이 약 1cm인 작은 직경 연장부에 의해약 2cm (전체가 약 14cm)로 연장된다. 폴 피스(4440)의 연장 영역의 하부는 상부로부터 약 1.5cm이다. 폴 피스(4440)의 재료 구성은 최소 자화력과 흐름을 갖는 폴 피스(4440) 아래의 영역에서 자속 밀도를 최대화시키기 위해 충분히 높은 투과성(예컨대, μr > 또는 = 100)과 높은 포화 자속 밀도(예컨대, Bsat > 1000 가우스)를 갖도록 선택된다. 자기 경로는 폴 피스(4440)에 의해 "개방"되기 때문에 유효 투과성은 재료 투과성에 비례하여 감소한다. 폴 피스(4440)의 길이/직경 비율에 따라서, "유효한" μr는 통상적으로 10의 차수까지 감소된다.
철과 같은 자기 재료의 선택적 실드(4479)는 전자석 어셈블리(4430)의 D.C. 자기장으로부터 튜브 쌍(150-1,150-2)의 플라즈마를 차폐한다. 실드(4479)는 오버헤드 플레이트(4479a)와 실린더형 스커트(4479b)를 포함한다.
도 45에 도시된 가스 분배판(210)의 경우에서, 상부 플레이트(4480)는 방사상의 내부 및 외부 섹션(4480a,4480b)으로 분할되며, 각각의 섹션이 플레이트를 통과하여 연장하는 다수의 작은 가스 유동 홀(4481)을 가지며, 내부 및 외부 섹션은 천정(210)의 하부면을 지지하는 수직 벽을 형성하고 환형 플랜지(4482-2,4482-3)에 의해 형성된 벽에 의해 분리된 내부 및 외부 가스 매니폴드(4483a,4483b)를 형성하는 환형 플랜지(4482-1,4482-2,4482-3,4482-4)를 갖는다. 어떤 경우에는, 내부 및 외부 가스 매니폴드 사이에 벽이 없어서, 이러한 벽이 유발하는 챔버 내 가스 분배의 불연속을 방지한다. 상부 플레이트(4480) 아래의 가스 혼합층(4484)은 가스 유동을 순수 수직 유동 방향으로부터 전환시키고 이로써 다중-방향(또는 난류) 가스 유동을 유발시켜 상이한 분자 중량의 가스의 균일한 혼합을 개선시킨다. 순수한 하향 유동 방향으로부터의 가스 유동 전환은 높은 속도의 가스 유동 효과라는 또 다른 장점을 가지며, 웨이퍼 바로 위의 가스 분배판 오리피스를 통과하는 고속 가스 유동은 웨이퍼 표면 상에 처리 가스의 국부적인 농도를 형성하여 처리 균일성을 방해한다. 고속 가스 유동 효과의 억제는 균일성을 향상시킨다.
가스 혼합층(4484)은 공지된 타입의 금속 또는 세라믹 거품으로 구성될 수 있다. 그렇지 않으면, 도 49에 도시된 것처럼, 가스 혼합층(4484)은 다수의 관통구 플레이트(4484-1,4484-2)로 이루어질 수 있으며, 각각은 플레이트를 관통하여 드릴링된 다수의 작은 가스 오리피스를 가지며, 하나의 관통구 플레이트의 홀은 다른 관통구 플레이트의 홀과 오프셋되어 있다. 가스 분배판(210)의 하부 플레이트(4485)는 플레이트를 관통하여 드릴링된 서브-밀리미터 가스 주입 홀(4486)(도 50)을 가지며 홀은 하부 플레이트(4485)의 상부에서 큰 카운터보어링된 홀(4487)을 갖는다. 일 예에서, 서브-밀리미터 홀은 직경이 10 내지 30 밀리미터 사이이고 카운터보어링된 홀은 직경이 약 0.06인치이고 하부 플레이트(4485)는 두께가 약 0.4인치이다. 천정(110)을 관통하는 내부 및 외부 가스 공급 라인(4490,4492)은 가스를 내부 및 외부 상부 플레이트(4480a,4480b)에 공급하여, 챔버의 방사상 내부 및 외부 영역의 가스 유동이 처리 균일성을 조절하는 방법에 따라서 독립적으로 제어될 수 있다.
전자석 어셈블리(4430)에 의해 형성된 D.C. 자기장의 방사 성분은 플라즈마 이온 밀도의 방사 분산에 영향을 주고, 챔버 중심 부근의 플라즈마 이온 밀도를 강화시키도록 사용될 수 있는 자기장의 방사 성분이다. 이러한 웨이퍼 중심 위에서의 플라즈마 이온 밀도의 강화는 D.C. 자기장 방사 성분과 웨이퍼 중심 부근의 플 라즈마를 제한하는 경향이 있는 방위적인 플라즈마 흐름을 생성하는 웨이퍼 표면의 플라즈마 시쓰와의 상호작용에 의해 발생한다. D.C. 자기장의 부재시에는, 챔버 중심에서의 감소된 플라즈마 이온 밀도 현상은 웨이퍼(120)의 중심에 인접하게 한정된 매우 작은 순환 영역 위로 연장하며, 이는 일반적으로 도 44의 반응기가 교정된 자기장의 부재시에도 매우 균일한 플라즈마 이온 밀도를 갖는 경향이 있기 때문이다. 따라서, 중심부의 낮은 플라즈마 이온 밀도 분산의 교정은 챔버 또는 웨이퍼(120)의 중심에 바로 인접한 상대적으로 큰 방사 성분을 갖는 D.C. 자기장을 필요로 한다. 작은 직경의 자기 폴 피스(4440)는 웨이퍼(120)의 중심(또는 챔버의 중심)에 바로 인접한 큰 방사 성분을 갖는 자기장을 형성한다. 상업적인 실시에 따라서, 중심은 반경이 0인 실린더형 챔버의 대칭축이다. 도 51은 웨이퍼(120)와 가스 분배판(210) 사이 위에서 처리 영역을 위에서 바라본 자기장의 분산를 도시한다. 도 51의 벡터는 다양한 위치에서 자기장의 방향을 나타내는 일반적인 벡터이다. 도 52는 방사 위치의 함수로서 자기장의 방사 성분의 자속 밀도를 도시하며, 하나의 곡선은 가스 분배판(210)의 하부면 부근의 방사상 자속 밀도를 나타내고 다른 곡선은 웨이퍼(120) 표면 부근의 방사상 자속 밀도를 나타낸다. 방사상 자기장 성분의 자속 밀도 피크는 중심에 바로 인접한다, 즉 천정 및 웨이퍼에서 1 인치의 반경 둘레에 위치한다. 따라서, 자기장의 방사 성분은 플라즈마 이온 밀도가 가장 낮아지는 경향이 있는 매우 작은 직경의 영역 부근에서 조밀하게 밀집된다. 따라서, 일반적으로 전자석 어셈블리(4430)에 의해 형성된 D.C. 자기장의 방사 성분 분산는 챔버 중심 부근의 낮은 플라즈마 이온 밀도의 영역과 일치한다.
상기 언급한 바와 같이, D.C. 자기장의 방사 성분은 일반적으로 플라즈마의 방사 이동과 반대되는 방위 방향의 힘을 발생시키도록 웨이퍼 중심 부근의 플라즈마 시쓰의 수직 방향의 전기장과 상호작용한다. 그 결과, 웨이퍼 중심 부근의 플라즈마는 영역 내의 처리를 강화하도록 한정된다.
에칭 반응기 내의 전자석 어셈블리(4430)을 사용하는 기본적인 어프로치는 통상적으로 중심에서의 플라즈마 이온 밀도를 강화시킴으로써 웨이퍼 표면에 걸친 가장 균일한 에칭율의 방사 분산를 생성하는 코일의 D.C. 전류 유동을 찾는 것이다. 이는 웨이퍼-대-천정 갭이 상대적으로 작은(예컨대, 1인치) 경우에 가장 쉬운 어프로치인데, 그 이유는 통상적으로 이러한 작은 갭이 웨이퍼 상의 중심에서 낮은 에칭율 분산를 만들기 때문이다. 큰 갭(예컨대 2인치 이상)을 갖는 반응기에서, 에칭율 분산는 중심이 낮지 않아서, 상이한 D.C. 전류가 필요할 수 있다. 물론, 전자석 어셈블리(4430)는 웨이퍼 표면에 대한 플라즈마 이온 밀도의 개선된 균일성을 필요로하는 어플리케이션으로 한정되지 않는다. 전자석 어셈블리의 일부 어플리케이션은 플라즈마 이온 밀도가 거의 균일하게 되지 않게 하는 전자기 코일 전류를 필요로 할 수 있다. 예컨대, 이러한 어플리케이션은 에칭될 필드 옥사이드 박막층이 불균일한 두께 분산를 가져, 균일한 결과가 불균일 필드 옥사이드 두께 분산를 보상하는 불균인 플라즈마 이온 밀도 분산를 제공해야만 얻어질 수 있는 경우를 포함할 수 있다. 이 경우, 전자석 어셈블리의 D.C. 전류는 필수 불균일 플라즈마 이온 분산를 제공하도록 선택될 수 있다.
도 45에 도시된 것처럼, 플라즈마 반응기는 에칭 처리 동안 웨이퍼(120)에 대한 에칭율 분산를 관찰할 수 있는 집적율 모니터 세트(4111)를 포함할 수 있다. 각각의 모니터(4111)는 홀이 에칭되는 동안 콘택 홀의 하부로부터 반사된 광의 방해 간섭을 관찰한다. 광은 레이저로부터 발생하거나 플라즈망의 조명일 수 있다. 이러한 실시간 관찰은 전자석 어셈블리(4430)에 인가된 D.C. 전류를 바꿈으로써 즉시 보상될 수 있는 웨이퍼에 대한 에칭율 분산의 변화를 결정할 수 있다.
도 53은 내부 및 외부 가스 공급 라인(4490,4492)으로의 처리 가스 유동을 독립적으로 제어하는 방법을 보여준다. 도 53에서, 내부 가스 공급 라인(4490)으로 연결된 가스 유동 제어기 세트(5310,5320,5330)는 아르곤, 산소, 그리고 C4F6와 같은 불화-탄소 가스를 각각 내부 가스 공급 라인(4490)으로 공급한다. 또 다른 세트의 가스 유동 제어기(5340,5350,5360)는 아르곤, 산소, 및 C4F6와 같은 불화-탄소 가스를 각각 외부 가스 공급 라인(4492)으로 공급한다. 도 54는 내부 및 외부 가스 공급 라인(4490,4492)으로의 처리 가스 유동을 독립적으로 제어하는 또 다른 방법을 보여준다. 도 54에서, 단일 세트의 가스 유동 제어기(5410,5420,5430)는 처리 가스(예컨대, 아르곤, 산소, 및 불화-탄소 가스)를 가스 스플리터(5440)로 공급한다. 가스 스플리터(5440)는 내부 및 외부 가스 공급 라인(4490,4492)에 각각 연결된 한 쌍의 가스 또는 질량 유동 제어기(MFC)(5442,5444)를 갖는다. 게다가, 선택적으로 또 다른 가스 유동 제어기(5446)는 아르곤 또는 네온과 같은 소제 가스를 외부 가스 공급 라인(4492)으로 공급한다.
큰 직경의 웨이퍼를 처리하는데 있어서의 한가지 문제점은 토로이달 또는 재진입 플라즈마 흐름이 웨이퍼의 넓은 표면 위에서 균일하게 퍼져야 한다는 것이다. 통상적으로 튜브(150)는 처리 영역보단 넓지 않다. 그래서, 플라즈마 흐름은 포트(155 또는 160)에 존재하는 것처럼 넓은 처리 영역을 덮을 수 있게 넓어지는 것이 필요하다. 이와 관련된 문제는 도 44의 반응기(또는 도 1-43의 반응기)가 불균일 플라즈마 이온 밀도를 경험하고 이로써 재진입 튜브(150)의 포트(155 또는 160) 부근에서 매우 높은 플라즈마 이온 밀도의 "핫 스폿" 또는 작은 영역(5505)을 겪을 수 있다는 것이며, 이는 도 55A에 도시되어 있다. 도 55A-56B를 참조하면, 이들 문제는 각각의 포트(예컨대, 도 55A에 도시된 것과 같은 포트(155)의 마우스(mouth)에서 플라즈마 흐름 유동 스플리터(5510)의 도입으로 다루어진다. 스플리터(5510)는 플라즈마 흐름을 넓게 하는 경향이 있지만 동시에 핫 스폿이 형성될 수 있는 영역(5505)에 인접한 곳에서 플라즈마 이온 밀도를 감소시킨다. 튜브(150)는 포트(155)에서 넓어진 종결 섹션(5520)을 가질 수 있으며, 이러한 종결 섹션(5520)은 직경이 튜브(150)의 잔류 부분의 거의 두 배이다. 도 55A의 플라즈마 흐름 유도 스플리터(5510)는 삼각형 모양이며, 그 꼭지점이 종결 섹션(5520)의 큰 직경을 잘 채울 수 있게 플라즈마 흐믈 유동이 튜브(150)로부터 챔버(100) 안으로 퍼지도록 튜브(150)의 내부에 면한다. 삼각형 스플리터(5510)에 의해 형성된 이러한 흐름-퍼짐(current-spreading) 결과는 플라즈마 흐름을 넓게 하고 영역(5505)의 "핫 스폿"을 감소시키거나 제거하는 경향이 있다.
스플리터(5510)의 최적의 모양은 적어도 부분적으로 마주하는 포트(155,160)의 중심들 사이에서 분리 거리(S)에 의존한다. 만약 스플리터가 플라즈마 유동 방향(즉, 도 55A의 수직 방향)으로 너무 길다면, 분할된 경로를 따른 흐름 유동은 스 플리터(5510)의 일측부를 따라 모든 흐름 유동이 존재하게 되어 불균일해지는 경향이 있게 된다. 한편, 만약 스플리터(5510)가 너무 짧다면, 두 개의 경로는 플라즈마 흐름이 상당히 넓어지기 전에 다시 결합한다.
예컨대, 12-인치 직경의 웨이퍼를 처리하는 챔버에서, 분리 거리(S)는 약 20.5인치이고 튜브 폭(w)은 5인치이며, 튜브 드래프트(d)는 1.75인치이고, 팽창된 종결 섹션 폭(W)은 8인치이다. 이 경우, 12인치 웨이퍼와 관련한 포트(155)의 인접위치는 도 56C의 평면도에 도시된 것처럼 될 수 있다. 이러한 특수한 예에서, 스플리터(5510)의 높이(h)는 약 2.5인치이고 스플리터 꼭지점(5510a)의 각도는 대략 75도이며, 이는 도 57에 도시되어 있다. 게다가, 종결 섹션(5520)의 길이(L)는 스플리터(5510)의 높이(h)와 같다.
한편, 분리 거리(S)가 16.5인치인 경우에, 최적의 스플리터(5510')가 도 58에 도시되어 있다. 이 경우 스플리터 꼭지점의 각도는 약 45도이고, 삼각형 부분은 스플리터(5510')의 높이(h)가 약 2.5인치가 되게 하는 길이와 폭이 1.2인치인 장방형 부분에서 종결된다. 스플리터(5510 또는 5510')의 높이와 꼭지점 각도는 핫 스폿의 형성을 방지하도록 영역(5505)에서 플라즈마 밀도를 감소시키기에 충분해야 한다. 그러나, 높이(h)는 웨이퍼 중심에서 플라즈마 이온 밀도가 고갈되는 것을 방지하기 위해 제한되어야 한다.
도 59A와 59B는 각각의 포트를 통과하는 플라즈마 흐름 유동이 도 26의 반응기에서와 같이 챔버 측벽(105)을 통과하는 수평 방향으로 존재하는 재진입 튜브(2654)의 진입 포트 부근에서 플라즈마 이온 밀도 불균일 문제를 해결하기 위한 스 플리터를 도시한다. 각각의 스플리터(5910)는 포트(2680)에 면하는 꼭지점(5910a)을 갖는다.
도 60,61,62는 도 17A와 유사한 실시를 도시하는데, 챔버 측벽(105)이 장방형 또는 정사각형이고 천정(110)을 통과하여 수직으로 면하는 포트(140-1,140-2,140-3,140-4)가 장방형 또는 정사각형 측벽(105)의 각각의 코너(105a,105b 등) 위에 위치한다는 것이 다르다. 웨이퍼(120) 평면의 플로어(floor)(6020)는 각각의 포트에 면하고, 장방형 측벽(105)의 코너-형성 섹션과 함께, 들어오는(incoming) 플라즈마 흐름을 웨이퍼(120) 위의 처리 영역으로 향하게 전환시킨다. 영역(6030)에서 플라즈마 이온 밀도의 핫 스폿을 감소시키거나 제거하기 위하여, 삼각형 플라즈마 흐름 유동 스플리터(6010)는 각각의 꼭지점(6010a)이 해당 코너와 면하는 각각의 코너(105a, 105b, 등) 부근에 위치한다. 도 61의 실시예에서, 스플리터 꼭지점(6010a)은 둥글지만, 다른 실시예에서, 둥글지 않거나 실제로 예리한 에지일 수 있다. 도 63은 동일한 배치의 일 부분을 도시하지만, 웨이퍼(120)에 면하는 스플리터(6010)의 에지(6010b)가 웨이퍼(120)에 매우 인접하게 위치하고 웨이퍼(120)의 원형 에지와 일치되는 호 모양을 갖는다. 도 60의 스플리터(6010)는 플로어(6020)로부터 천정(110)으로 연장하지만, 도 64는 스플리터(6010)의 높이가 작아져 일부 플라즈마 흐름이 스플리터(6010) 위를 통과할 수 있게 한다.
임의의 작업 예와 관련하여 하기에서 상세히 설명되는 바와 같이, 재진임 플라즈마 흐름이 이동하는 전체 경로 길이는 웨이퍼 표면에서 플라즈마 이온 밀도에 영향을 미친다. 이는 짧은 경로 길이가 웨이퍼 위의 처리 영역 내에서 플라즈마를 높은 비율로 있게 하고, 플라즈마 이온의 경로 길이-의존 손실을 감소시키며 재진입 튜브 표면과의 플라즈마 상호작용으로 인해 표면적 손실을 감소시킨다. 따라서, (짧은 포트 분리 거리(S)에 대응하는) 짧은 길이 튜브는 더 유효하다. 한편, 짧은 분리 거리(S)는 스플리터(5510)를 통과한 후에 중심 영역을 재진입하고 웨이퍼 중심에서 낮은 플라즈마 이온 밀도를 방지하기 위해 삼각형 스플리터(5510)에 의한 그 중심에서 분리된 플라즈마 흐름 유동에 대한 적은 기회를 제공한다. 따라서, 각각의 재진입 튜브 포트 부근에서의 플라즈마 핫 스폿을 방지하기 위한 노력으로 작은 포트 분리 거리(S)의 높은 효율성과 웨이퍼 중심에서 플라즈마 이온 밀도 저하의 위험 간에 균형이 이뤄진다.
이러한 균형은 도 65A, 65B 및 66의 경우에서 포트의 종결 섹션(5520)의 전체 폭(W)에 적어도 대부분에 걸쳐 연장하고 포트의 내부 에지(6610)로부터 멀어져 포트의 외부 에지(6620) 방향으로 플라즈마 흐름 유동을 향하게하는 모양을 갖는 삼각형 스플리터(6510)를 사용함으로써 개선되거나 제거된다. 이러한 특징은 포트 분리 거리(S)가 (원하는 만큼 짧아지도록) 바뀌지 않지만, 스플리터의 꼭지점(6510a)으로부터 웨이퍼(120)의 중심까지 플라즈마 흐름 경로를 유효하게 길게 한다. 이는 웨이퍼의 중심 또는 웨이퍼에 도달하기 전에 스플리터의 중심에서 재결합하도록 스플리터(6510)에 의해 분할된 플라즈마 흐름 유동에 대해 더 큰 기회를 제공한다. 이러한 특징은 웨이퍼 중심에서 플라즈마 이온 밀도의 저하를 방지하고 재진입 튜브 포트에서 플라즈마 핫 스폿의 형성을 억제한다.
도 65A,65B 및 66에서 도시된 바와 같이, 각각의 스플리터(6510)는 상부 (65B)가 이등변 삼각형 모양이고 상부(65A)로부터는 장방형 모양이다. 도 66의 측면도는 포트의 외부 에지(6620)로 아래로 향해 연장하는 경사진 후방면(6610c)인 것을 보여준다. 경사진 후방면(6610c)은 플라즈마 흐름을 우방 에지(6620)로 향하게 하고 이로써 꼭지점(6510c)의 상부로부터 웨이퍼 중심까지의 경로를 유효하게 길게 하며, 이는 상기 설명한 것과 같은 바람직한 특징이다. 포트(150)의 장방형 개구부는 상부에서 약 2"로부터 하부에서 약 3/4"까지 경사진 벽 또는 경사진 후방면(6510b)에 의해 방사 방향(짧은 치수)으로 좁아진다. 이는 내부 포트 에지가 웨이퍼로부터 방사상으로 약 1-1/4" 멀어지게 한다(따라서 유효 포트 분리 거리의 바람직한 증가를 달성한다). 게다가, 포트(150)는 방위 방향(개구부(150)의 길거나 8" 넓은 치수)으로 완전한 삼각형 스플리터(6510)를 갖는다.
플라즈마 흐름 스플리터(5510 또는 6510)는 스플리터의 온도를 조절하는 반응기 몸체의 유사한 포트에 결합된 냉각 포트가 구비된 스플리터 내부에서 연장하는 냉각 통로를 가질 수 있다. 이를 위해, 플라즈마 흐름 스플리터(5510 또는 6510)는 금속으로 형성되는데, 이는 용이하게 냉각되고 용이하게 머시닝되어 내부 냉각 통로를 형성하기 때문이다. 그러나, 스플리터(5510 또는 6510)는 예컨대 석영과 같은 다른 재료로 만들어질 수도 있다.
도 67은 반응기 주변부를 따라 4 개의 환형 전자석(6710,6720,6730,6740) 세트를 도입함으로써 도 24의 토로이달 소스 반응기에 플라즈마 균일성을 개선시킨 또 다른 방식을 도시하며, 각각의 전자석 권선은 자석 전류 제어기(6750)에 의해 제어된다. 4 개의 전자석의 전류는 세 개의 모드 중 하나의 모드에서 구동될 수 있다:
사인형 모드인 제 1 모드에서, 코일은 소스의 저주파수에서 반응기의 대칭축 둘레로 회전하는 자기장을 생성하도록 1/4 위상에서 동일한 저주파 전류에서 구동된다;
구성가능한 자기장 모드인 제 2 모드에서, 4 개의 전자석(6710,6720,6730,6740)은 인접한 전자석들의 마주하는 쌍으로 그룹화되고, 각각의 쌍은 인접한 전자석들의 마주하는 쌍들 간에서 비스듬하게 연장하는 자기장 기울기를 형성하도록 상이한 D.C. 전류로 구동되며, 이러한 그룹화는 자기장 기울기가 회전하여 웨이퍼에 대해 그 효과를 균일하게 되도록 회전한다;
제 3 모드에서, 4 개의 전자석은 모두 동일한 D.C. 전류로 구동되어 반응기 챔버의 대칭축과 대체로 일치하는 대칭축을 갖는 뾰족한 모양의 자기장을 형성한다.
도 1에 도시된 것처럼, 펌핑 환형체는 실린더형 웨이퍼 지지 페데스탈(115)과 실린더형 측벽(105) 사이에서 형성되며, 가스는 진공 펌프(135)에 의해 펌핑 환형체를 통해 진공화된다. 각각의 재진입 튜브(150)의 마주하는 포트들 간의 플라즈마 흐름 유동은 이러한 펌핑 환형체를 통해 흐르고 이로써 웨이퍼(120)와 가스 분배판(210) 사이의 처리 영역을 통해 흐르는 것을 방지한다. 처리 영역 주위의 플라즈마 흐믈 유동의 분기는 만약 챔버 압력이 상대적으로 높고 웨이퍼-대-천정 갭이 상대적으로 작고 및/또는 플라즈마의 도전성이 상대적으로 낮은 경우에 발생할 수 있다. 이것이 발생하는 범위에서, 처리 영역 내의 플라즈마 이온 밀도는 감 소된다. 이러한 문제는 펌핑 환형체를 통한 방위방향의 플라즈마 흐름 유동을 차단하는 방사상 밴(6910,6920,6930,6940)의 도입에 의해 도 68과 69에서 도시된 것처럼 해결된다. 일 실시예에서, 밴(6910,6920,6930,6940)은 웨이퍼(120)의 평면 위가 아닌 평면까지 연장하여 웨이퍼의 설치 제거를 가능하게 한다. 그러나, 또 다른 실시예에서, 밴은 웨이퍼(120) 위의 처리 영역 내에서의 플라즈마 흐름 유동을 한정하도록 웨이퍼 평면 위로 수축가능하게 연장할 수 있다. 이는 예컨대, 밴과 관련하여 웨이퍼 지지 페데스탈(115)을 위 아래로 움직이게 함으로써 달성될 수 있다. 또 다른 경우에, 밴(6910,6920,6930,6940)은 펌핑 환형체를 통한 플라즈마 흐름 유동을 방지하며, 만약 밴이 웨이퍼(120)의 평면 위에서 이동할 수 있다면, 펌핑 환형체 위의 상부 영역을 통해 플라즈마 흐름 유동을 감소시킨다. 이로써 웨이퍼 위의 처리 영역으로부터 멀어진 플라즈마 흐름 유동의 분기를 방지함으로써, 처리 안정성이 개선될 뿐만 아니라 처리 영역의 플라즈마 이온 밀도가 개선된다.
이미 언급한 바와 같이, RF 전력을 각각의 재진입 튜브(150)에 결합시키는데 사용된 자기 코어는 높은 RF 전력 레벨에서 크랙 또는 파편이 형성되는 경향이 있다. 이러한 문제는 자속이 코어 주위에서 균일하게 분산되지 않기 때문에 발생하는 것으로 보인다. 일반적으로, 코어 주위의 하나의 권선은 높은 RF 전력 레벨에서 높은 전류를 갖는다. 예컨대, 이러한 권선은 RF 발생기에 연결된 1차 권선을 공진시키는 2차 권선일 수 있다. 2차 권선은 일반적으로 코어 주위에서 좁은 밴드로 한정되고, 자속과 열은 이러한 밴드 내에서 매우 높으며 코어 내의 도처레어 매우 낮아진다. 자기 코어는 높은 주파수에서 자체-공진을 방지하도록 적절한 투과 성(예컨대, 약 10 내지 200 사이의 투과성)을 가져야 한다. 우수한 자기 코어는 양호하지 않은 열 전도체(낮은 열 전도성)인 경향이 있으며 용이하게 가열되며(높은 특정 가열), 이로써 국부적인 가열에 영향을 받기 쉽다. 가열은 높은 전류의 2차 권선 부근에서 국부적으로 발생하고 코어가 파손되기 쉽기 때문에, 높은 RF 전력 레벨(예컨대, 5킬로와트의 연속적인 전력)에서 크랙 또는 파편을 형성한다.
이러한 문제는 환형 코어 둘레에서 RF 자속 밀돌르 더욱 균일하게 분산시킴으로써 도 70-74에 도시된 방식으로 해결된다. 도 70은 도 17A의 통상적인 자기 코어(1015)를 도시한다. 코어(1015)는 페라이트와 같은 높은 자기 투과성 재료로 만들어진다. 1차 권선(170)은 임피던스 매칭 디바이스(175)를 통해 RF 발생기(180)에 선택적으로 연결된 얇은 구리 밴드의 약 2 개의 턴으로 구성된다. 코어(1015) 내의 높은 자속에 필요한 높은 전류는 코어(1015) 주위의 공진 2차 권선(7010)에서 발생한다. 2차 권선(7010)의 전류는 1차 권선의 전류보다 약 1차 차수의 큰 크기를 갖는다. 코어(1015) 주위의 자속을 균일하게 분산시키기 위하여, 2차 권선(7010)은 환형 코어(1015) 주위에 균일하게 분산된 다수의 섹션(7010a,7010b,7010c, 등)으로 분할된다. 2차 권선 섹션(7010a, 등)은 병렬로 접속된다. 이러한 병렬 접속은 자기 코어(1015)의 마주하는 측부 둘레에서 연장하는 한 쌍의 원형 구리 버스(7110,7120)에 의해 도 71A,71B에 도시된 것처럼 용이하게 될 수 있다. 각각의 2차 권선(7010a,7010b, 등)의 마주하는 단부는 두 개의 구리 버스(7110,7120)의 하나와 마주하도록 접속된다. 구리 버스(7110,7120)는 매우 높은 전도성과 낮은 유도성을 제공하도록 충분히 두껍고, 이로써 2차 권선 섹션 (7010a, 7010b, 등) 중 특정 섹션의 방위 위치가 거의 차이가 없어, 모든 2차 권선 섹션들이 마치 1차 권선으로부터 동일 거리에 있는 것처럼 작동한다. 이러한 방식으로, 자기 결합은 전체 코어(1015) 둘레에서 균일하게 분산한다.
상기 설명한 특징에 의해 달성된 자속의 균일한 분산로 인해, 1차 권선은 통상적으로 다수의 분산된 2차 권선 섹션(7110a,7110b,7110c, 등)중 선택된 섹션 부근에서 임의의 적절한 위치에 위치할 수 있다. 그러나, 일 실시예에서, 1차 권선은 다수의 분산된 2차 권선 섹션(7110a,7110b,7110c, 등) 중 선택된 섹션 둘레에 또는 그 위에 감긴다.
도 72는 병렬 2차 권선 섹션(7010a,7010b, 등)에 의해 형성된 분산된 병렬 인덕턴스를 나타내고 도 73은 이들의 분산된 인덕턴스의 원형 형상을 도시한다. RF 발생기(180)의 주파수에서 공진을 제공하기 위하여, 다수의 분산된 캐패시터(7130)는 두 개의 구리 버스(7110,7120)을 가로질러 병렬로 접속된다. 다수의 캐패시터(7030)는 자기 코어(1015)의 주위에서 방위적으로 분산된다. 일 실시예에서 각각의 캐패시터(7030)는 약 100 피코패러드이다. 2차 권선(7010)과 관련한 분산된 인덕턴스와 캐패시턴스의 등가 회로는 도 24에 도시되어 있다.
도 71B를 참조하면, 2차 권선 섹션(7010a,7010b, 등)은 동일한 수의 턴을 가질 수 있다. 도 71b의 경우에, 6 개의 2차 권선 섹션(7010a-7010f)이 존재하며, 각각의 섹션의 3 개의 권선을 갖는다. 당업자는 RF 발생기(180)의 주파수에서 공진을 달성하기 위해 2차 권선 섹션의 수, 각각의 섹션의 권선 수 및 분산된 캐패시터(7030)의 캐패시턴스를 용이하게 선택할 수 있다. 코어(1015) 주위에서 1차 및 2차 권선을 형성하는데 사용된 구리 밴드 스톡은 예컨대 폭이 0.5인치이고 두께가 0.020인치인 구리 스트리핑이다. 두 개의 구리 버스(7110,7120)는 매우 두껍고(예컨대 두께가 0.125인치 내지 0.25인치임) 넓어서(예컨대, 폭이 0.5인치임) 버스들은 매우 낮은 저항, 낮은 인덕턴스 전류 경로를 형성한다. 코어(1015)는 외부 직경이 10인치이고 내부 직경이 8인치인 한 쌍의 적층된 1인치 두께의 페라이트 코어로 구성될 수 있다. 바람직하게, 페라이트 코어(1015)는 μ=40 인 자기 투과성을 갖는다. 전술한 사항들은 예로서만 제공된 것이며, 상기 값 모두 또는 일부는 다른 어플리케이션(예컨데, RF 발생기의 주파수가 수정되는 경우)에서 수정될 필요가 있다.
도 71A와 71B에 도시된 분산된 인덕턴스의 특징은 지원된 높은 RF 전력 레벨(예컨대, 5킬로와트)에서 실험된 자기코어의 파손 문제를 해결한다.
도 75는 도 71A와 71B의 코어 및 권선으로 형성된 등가 회로를 도시한다. 코어(1015) 주위의 1차 및 2차 권선에 추가하여, 도 75는 코어(1015)에 유도적으로 결합된 플라즈마에 의해 제공된 등가의 유도성 및 용량성 부하를 도시한다. 도 70-75의 경우는 트랜스포머 결합 회로이다. 2차 권선(7010)의 목적은 코어를 통한 전력 결합을 강화하기 위해 자기 코어(1015) 둘레에 고전류를 제공하는 것이다. 2차 권선(7010)은 RF 발생기의 주파수에서 공진함으로써 이러한 목적을 달성한다. 따라서, 자기 코어(1015)를 경유하는 고전류 및 전력 결합은 2차 권선(7010)에서 발생하여, 실제로 코어(1015)의 모든 가열이 2차 권선(7010)에서 발생한다. 따라서 코어(1015)의 전체 원주 둘레에 2차 권선(7010)을 분산시킴으로써, 이러한 가열 은 국부적인 가열을 방지하고 이로써 높은 RF 전력 레벨에서의 코어 파손을 방지하도록 코어 둘레에서 유사하게 분산한다.
도 71A와 71B의 분산된 권선 특징은 도 76의 자동 트랜스포머 회로와 같이 기타 회로 구성을 구현하는데 사용될 수 있다. 도 76의 자동 트랜스포머 회로에서, 코어(1015) 둘레의 권선(7010)은 (도 70-74를 참조로 상기 설명한 방식으로) 분산되며 임피던스 매칭 회로(175)를 통해 RF 발생기(180)에 접속된 탭(7610)을 갖는다. 분산된 캐패시터(7030)는 (상기 설명한 방식으로) 공진을 제공한다. 도 70에서와 같이, 코어(7010)는 전력이 튜브(150) 내부 안으로 유도적으로 결합되도록 재진입 튜브(150) 둘레에 감긴다. 도 75 및 76의 회로 구성은 자기 코어(1015) 둘레에 분산된 권선들을 사용할 수 있는 다양한 구성 중 단지 2 개의 예일뿐이다.
일 실시예에서, 임피던스 매칭 회로(175a,175b)는 반사된 전력을 최소화하고 순방향 전력 또는 전달된 전력을 최대화시키는 방식으로 각각의 RF 발생기(180a,180b)가 피드백 회로에서 제어되는 주파수 조정에 사용된다. 이러한 실시예에서, 각각의 발생기(180a,180b)의 주파수 조정 범위는 배타적이며, 이로써 각각의 주파수는 0.2 내지 2MHz 차이의 정도로 항상 다르다. 더욱이, 이들의 위상은 관계는 임의적이다. 이러한 주파수 차이는 안정성을 향상시킨다. 예컨대, 불안정성은 만약 동일한 주파수가 직교 튜브(150-1,150-2)의 모두에서 플라즈마를 여기시키는데 사용되는 경우에 발생할 수 있다. 이러한 불안정성은 플라즈마 전류가 예컨대 4 개의 포트(155,160) 중 3 개 포트만을 통과하여 흐르게 할 수 있다. 이러한 불안정성은 튜브의 토로이달 플라즈마 흐름 사이에서의 위상 차이와 관련될 수 있다. 플라즈마 안정성을 촉진시키는 한가지 요소는 한 쌍의 직교 튜브(150-1,150-2) 쌍의 두 개의 플라즈마 흐름 간의 분리이다. 이러한 분리는 주로 두 개의 플라즈마 흐름의 플라즈마 시쓰에 의해 제공된다. 또한, 각각의 재진입 튜브(150-1,150-2)의 D.C. 브레이크 또는 갭은 플라즈마 안정성을 향상시킨다.
각각의 직교 튜브의 D.C. 브레이크 또는 갭(152)은 잘 형성된 챔버 천정(110)으로서 도 44에 도시되어 있지만, 실제로 천정에 밀착되거나 인접할 수 있다. 이러한 배치는 도 77의 실시예에서 사용되며, 도 55A의 경우는 종결 섹션(5520)이 전기적으로 플로팅되어 전위가 플라즈마 전위의 진동을 추종하도록 수정된다. 이는 불균일한 플라즈마 분포를 형성하는 각각의 포트(155,160) 부근에서 "중공 캐소드" 효과로 불릴 수 있는 문제를 해결한다. 이러한 효과는 전자 증식 캐버티 효과로 불릴 수 있다. 포트 부근의 모든 전도성 재료가 플라즈마 전위 진동을 추종하게 함으로써, 중공 캐소드 효과는 감소되거나 실질적으로 제거된다. 이는 재진입 튜브 종결 섹션(5520) 및 천정(110)의 상부 또는 외부면 사이의 접합부에서 E.C. 브레이크 또는 갭(152')을 위치시킴으로써 접지된 챔버 몸체로부터 종결 섹션(5520)을 전기적으로 절연시켜 달성된다. (갭(152')은 도 44의 갭(152) 대신에 또는 추가하여 사용될 수 있다.) 갭(152')은 절연성 환형 링(7710)으로 채워지고 도 77은 종결 섹션(5520)은 절연 링(7710)의 상부에 놓이는 숄더(7720)를 갖는다. 더욱이, 천정(110)과 종결 섹션(5520) 사이에는 폭이 약 0.3 내지 3mm인 환형 진공 갭(7730)이 존재한다. 일 실시예에서, 튜브(150)와 종결 섹션(5520)은 단일체로서 함께 통합적으로 형성된다. 종결 섹션(5520)은 내부 냉각 통로가 내부에 형성될 수 있도록 금속으로 형성된다.
도 44-77은 균일성 제어 자석이 처리 영역 위에 있는 경우를 도시한다. 도 78은 자석 폴(4440)이 처리 영역 아래에 위치하거나 웨이퍼 지지 페데스탈(115) 아래에 위치할 수 있다는 것을 보여준다.
작동 예
에칭 처리는 40 mT의 챔버 압력, 웨이퍼 페데스탈에 대한 4800와트의 13.56 MHz RF 바이어스 전력, 11.5 MHz와 12.5 MHz로 각각의 재진입 튜브(150)에 인가된 1800 와트의 RF 소스 전력에서 블랭킷 옥사이드 웨이퍼에 대해 수행되었다. 전자석 어셈블리(4430)에 의해 형성된 자기장은 연속적인 단계의 하기 레벨에서 설정되었다: (a) 0, (b) 6 가우스 및 (c) 18 가우스 (웨이퍼 중심에서의 축상의 자기장 성분은 방사상 성분보다 더 용이하게 측정됨). 웨이퍼 표면에서 관찰된 에칭율 분포는 각각 (a) 0 가우스에서 표준 편차가 약 2% 로 중심이 낮고, (b) 6 가우스에서 표준 편차가 약 1.2% 로 중심이 다소 빠르고, (c) 표준 편차가 1.4%로 중심이 빨랐다. 이러한 예는 거의 이상적인 보상(단계 b)과 과도보상(단계 c)을 위한 전력을 제공할 수 있는 능력을 보여준다.
유효 압력 범위를 시험하기 위하여, 챔버 압력은 160mT까지 증가하였고 전자석 자기장은 (a) 0 가우스 에서 (b) 28 가우스, 그리고 (c) 35 가우스 (웨이퍼 중심에서 축상의 자기장 성분이 관련된 방사상 성분보다 더 용이하게 측정됨) 3단계로 증가하였다. 관찰된 에칭율은 각각 (a) 약 2.4%의 표준 편차로 중심에서 느리고, 약 2.9%의 표준편차로 중심에서 빠르고, 약 3.3%의 표준편차로 중심에서 빠르 다. 분명히, 0부터 28가우스까지의 단계는 과도보상을 유발하였으며, 이로써 다소 작은 자기장은 이상적이였지만, 전체적인 활동은 매우 높은 챔버 압력 범위를 용이하게 처리할 수 있는 전자석 어셈블리(4430)의 능력을 보여주었다. 이러한 시험은 매우 높은 챔버 압력에서 에칭율 분포가 중심에서 심각하게 낮아지는 경향이 있고, 동시에 높은 챔버 압력의 감소된 충돌 거리 또는 평균 자유 경로 길이가 주어진 자기장이 플라즈마 전자 또는 이온을 유효하게 하는 것을 어렵게 하기 때문에 심각하다. 이는 (자기장의 세기와 전자 또는 이온의 질량에 의해 결정된) 플라즈마 전자 또는 이온의 해당 라모르(Larmour) 반경이 플라즈마 충돌 거리를 초과하지 않을 때까지 자기장이 전혀 효과를 발휘할 수 없기 때문이다. 충돌 거리가 증가하는 압력에 의해 감소함에 따라, 자기장 세기는 비례하여 라모르 반경을 감소시키도록 증가해야 한다. 전술한 예는 작은 라모르 반경의 조건을 충족시키기에 충분히 강한 자기장을 생성하도록 전자석 어셈블리의 전력을 보여준다.
또 다른 에칭 처리 세트가 유사한 조건의 35 mT에서 포토레지스트에 의해 패터닝된 옥사이드 웨이퍼 상에서 수행되었고 전자석 어셈블리(4430)에 인가된 전류는 (a) 0 암페어, (b) 5 암페어, (c) 6 암페어, (d) 7 암페어 및 (e) 8 암페어의 5 단계로 증가되었다. (이 시험에서, 5 암페어의 전류는 웨이퍼 중심에서 약 6 가우스로 측정된 축 상의 자기장 성분을 생성한다.) 각각의 단계에서, 높은 종횡비의 콘택 개구부의 에칭 깊이는 중심-대-에지 에칭율의 균일한 제어를 시험하기 위해 웨이퍼 중심과 웨이퍼 주변에서 모두 측정되었다. 측정된 중심-대-에지 에칭율 차이는 각각 (a) 13.9%로 중심이 낮고, (b) 3.3%로 중심이 낮고, (c) 0.3%로 중심이 낮고, (d) 2.6%로 중심이 높고, (e) 16.3%로 중심이 높았다. 상기 설명에서, 최적의 중심-대-에지 균일성을 위한 이상적인 전자석 전류가 용이하게 확인되고 이 경우는 약 6 암페어로 보여진다.
에칭 처리 세트가 도 44의 이중 영역 가스 분배판(210)의 효율을 시험하기 위해 블랭킷 옥사이드 웨이퍼에서 수행되었다. 제 1 단계에서, 2 영역을 통과하는 가스 유동 속도는 동일하고, 제 2 단계에서 내부 영역은 외부 영역의 4 배에 이르는 가스 유동 속도를 가지며, 제 3 단계에서 외부 영역은 내부 영역의 4 배에 이르는 가스 유동 속도를 갖는다. 이들 각각의 단계에서, 전류는 얻어진 측정값이 이중 영역 가스 분배판(210)의 효과만을 반영하도록 전자석 어셈블리(4430)에 인가되지 않았다. 제 1 단계에서 2 영역의 가스 유동 속도가 동일하다면, 에칭 속도 분포는 약 2.3%의 표준 편차로 중심에서 다소 높다. 외 영역의 4 배에 이르는 내부 영역의 가스 유동 속도에서, 에칭율 분포는 약 4%의 표준 편자로 중심에서 빠르다. 내부 영역의 4 배에 이르는 외부 영역 가스 유동 속도에서, 에칭율 분포는 약 3.4%의 표준 편차로 중심에서 느리다. 이는 가스 분배판(210)의 이중 영역 차이의 가스 유동 속도 특징이 에칭율 분포를 다소 수정하는데 사용될 수 있다는 것을 보여주었다. 그러나, 가스 유동율 제어는 들어오는 가스가 이온화되지 않기 (또는 이온화되지 않아야 하기) 때문에 중성 종 분포에만 직접 영향을 준다. 한편, 에칭율은 플라즈마 이온 분포에 의해서만 직접 영향을 받고 적어도 직접적으로는 아니게 중성 분포에 의한 것처럼 강하게 영향받지는 않는다. 따라서, 이중 영역 가스 분배판에 의해 영향을 받지만 약간의 효과를 나타내는 에칭율 분포 제어는 플라즈마 전자와 이로써 이온들에 직접 영향을 주는 전자석 어셈블리(4430)의 자기 한정보다 반드시 덜 효과적이여야 한다.
재진입 토로이달 플라즈마 흐름에 따른 전자석 어셈블리(4430)의 의존성이 설명되었다. 먼저 일련의 에칭 처리는 토로이달 플라즈마 소스에 인가된 전력을 갖지 않는 블랭킷 옥사이드 웨이퍼 상에서 수행되었고, 전력은 오로지 3 킬로와트의 RF 바이어스 전력 만이 웨이퍼 페데스탈에 인가되었다. 전자석 코일 전류는 (a) 0 암페어, (b) 4 암페어, (c) 6 암페어, (d) 10 암페어의 4 단계로 증가되었다. 에칭율 분포는 상기 단계에서 (a) 2.87%의 표준편차로 중심이 높고, (b) 약 3.27%의 표준편차로 중심이 높고, (c) 약 2.93%의 표준 편차로 중심이 높고, (d) 약 4%의 표준 편차로 중심이 높게 관찰되었다. 따라서, 균일성의 작은 개선만이 전자석 어셈블리(4430)에 인가된 비교적 높은 D.C. 전류에 대해 실현되었다. 다음에, 일련의 에칭 처리가 유사한 조건에서 수행되었는데, 1800 와트가 각각의 직교 튜브(150-1, 150-2)에 인가된 것이 다르다. 전자석 코일 전류는 (a) 0 암페어, (b) 2 암페어, (c) 3 암페어, (d) 4 암페어, (e) 5 암페어 및 (f) 6 암페어의 6 단계로 증가되었다. 에칭율 분포는 각각 (a) 1.2%의 표준편차로 중심이 낮고, (b) 1.56%의 표준편차로 중심이 낮고, (c) 1.73%의 표준편차로 중심이 높고, (d) 2.2%의 표준편차로 중심이 높고, (e) 2.85%의 표준편차로 중심이 높고, (f) 4.25%의 표준편차로 중심이 높았다. 분명히, 가장 균일한 분포는 중심이 낮은 것에서부터 중심이높은 것으로 전이가 이루어지는 2 내지 3 암페어에 있다. 플라즈마 분포의 가장 큰 변화는 코일 전류의 매우 작은 변화에 의해 매우 작은 코일 전류를 사용하여 이루어졌다. 따라서, 재진입 토로이달 플라즈마 전류의 존재는 전자석 어셈블리(4430)의 자기장의 효과를 강화시킨다. 이러한 강화는 토로이달 플라즈마 소스가 활성화될 때 가능한 바이어스 전력의 증가로부터 연장할 수 있다. 바이어스 전력의 증가가 없는 경우에, 플라즈마는 거의 도전성이 아니며 플라즈마 시쓰는 매우 두꺼워, 웨이퍼 페데스탈에 인가된 바이어스 RF 전력은 반드시 제한되어야 한다. 토로이달 플라즈마 소스가 (예컨대, 2 개의 직교 튜브(150-1,150-2)의 각각에 대해 1800와트에서) 활성화될 때 플라즈마는 더욱 도전성이 되고, 플라즈마 시쓰는 얇아지고 바이어스 전력은 더 많이 인가될 수 있다. 이미 설명한 바와 같이, D.C. 자기장의 효과는 D.C. 자기장과 플라즈마 시쓰의 전기장 사이의 상호 작용에 의존할 수 있으며, 이는 페데스탈에 인가된 RF 바이어스 전력에 의존한다. 더욱이, 재진입 플라즈마 흐름은 상기 언급한 D.C. 자기장과 플라즈마 시쓰의 전기장 사이의 상호작용으로 인해 중심 플라즈마 영역에 이끌려질 수 있으며, 상기 영역의 플라즈마 이온 밀도를 더욱 강화시킨다.
도 55A의 포트-대-포트 분리 거리(S)의 효과는 블랭킷 옥사이드 웨이퍼에 대한 또 다른 일련의 에칭 처리에서 설명하였다. 동일한 에칭 처리가 각각 16.5인치와 20.5인치의 분리 거리(S)를 갖는 반응기에서 수행되었다. 1800와트가 직교 튜브(150-1,150-2)에 인가되고 0 전류가 전자석 어셈블리(4300)에 인가된 경우 작은 분리 거리를 갖는 반응기에서의 에칭율은 큰 분리 거리를 갖는 반응기에서 보다 31% 크다(즉, 6993 대 5332 옹스트롬/분).
도 55-56의 포트-대-포트 분리 거리(S)의 효과는 포토레지스트에 의해 패터 닝된 옥사이드 웨이퍼에 대한 또 다른 일련의 에칭 처리에서 역시 설명하였다. 3.7 암페어가 작은 소스(16.5인치) 분리 거리(S)를 갖는 전자석 어셈블리(4300)에 인가된 경우, 에칭울은 큰 소스(20.5인치) 분리 거리를 이용할 때 10450 옹스트롬/분 대 7858 옹스트롬/분 이였다. 큰(20.5인치) 분리 거리(S)를 갖는 반응기에서의 증가된 전력의 효과가 설명되었다. 특히, 동일한 에칭 처리에가 1800와트와 2700와트에서의 직교 튜브(150-1,150-2) 각각에 인가된 소스 전력을 갖는 반응기에서 수행되었다. 에칭율은 7858 옹스트롬/분 에서 8520 옹스트롬/분까지 비례하여 매우 작게 증가하였다. 따라서, 플라즈마 이온 밀도와 에칭율에 대한 포트-대-포트 분리 거리(S)의 효과는 플라즈마 소스 전력을 바꿈으로써 용이하게 보상될 수 없다. 이는 비교적 짧은 포트-대-포트 분리 거리(S)가 수용되지만 플라즈마가 삼각형 스플리터(5440)에 의해 분할된 후 동일해지는 거리를 길게하는 효과를 갖는 도 65A, 65B, 66의 경우에서와 같이 중요하다.
폴 피스(4440)는 영구 자석 또는 코일(4450)에 둘러싸인 전자석의 코어로 개시되었다. 그러나, 폴 피스(4440)는 제거될 수 있으며 폴 피스(4440)에 의해 형성된 것과 유사한 방향을 갖는 자기장을 생성하는 공기 코일 인덕터로서 코일(4450)만이 사용될 수 있다. 따라서, 공기 코일 인덕터(4450)는 폴 피스(4440)를 대체할 수 있다. 따라서, 더 일반적인 관점에서, 필수 방사 자기장을 생성하는데 필요한 것은 폴 피스(4440) 또는 폴 피스(4440)없는 공기 코일 인덕터(4450) 또는 두 개의 조합일 수 있는 기다란 폴-형성 부재이다. 폴-형성 부재의 직경은 방사 자기장의 피크를 적절히 형성하도록 비교적 좁다.
플라즈마 이머젼 이온 주입
도 79를 참조하면, 본 발명의 일 태양에 따라 플라즈마 이머젼 이온 주입 반응기는 환형 측벽(8020) 상에서 지지된 천정(8015)을 갖는 진공 챔버(8010)를 포함한다. 웨이퍼 지지 페데스탈(8025)은 반도체(예컨대, 실리콘) 웨이퍼 또는 제품(8030)을 지지한다. 진공 펌프(8035)는 페데스탈(8025)과 측벽(8020) 사이에서 형성된 펌핑 환형부(8040)에 결합된다. 버터플라이 밸브(8037)는 가스 유동을 펌프(8035)의 흡입구로 조절하고 챔버 압력을 제어한다. 가스 공급기(8045)는 도펀트 불순물을 함유한 처리 가스를 도면에 도시된 분사 포트(8048)를 포함하는 가스 주입 포트 시스템 v 경유하여 챔버(8010) 안으로 공급한다. 예컨대, 만약 웨이퍼(8030)가 결정질 실리콘 웨이퍼이고 그 일부에 p-타입 도전성 도펀트 불순물이 주입된다면, 가스 공급기(8045)는 보론이 도펀트 불순물 종인 BF3 및/또는 B2H6 가스를 챔버(8010) 안으로 공급할 수 있다. 일반적으로, 도펀트-함유 가스는 보론(실리콘 내의 p-타입 도전성 불순물) 또는 포스포러스(실리콘 내의 n-타입 도전성 불순물)과 같은 도펀트 불순물 및 플루오린 및/또는 하이드로겐과 같은 휘발성 종으로 이루어진 화학물이다. 따라서, 보론, 포스포러스 또는 아르세닉, 안티모니 등과 같은 기타 도펀트 종의 플루오라이드 및/또는 하이드라이드가 도펀트 가스일 수 있다. BF3와 같은 도펀트 가스의 플루오라이드 및/또는 하이드라이드를 함유한 플라즈마에서, (불활성 첨가제와 같은) BF2+, BF+, B+, F+, F- 등과 같은 다양한 이온 종들의 분포가 존재한다. 모든 타입의 종은 시쓰에 가속될 수 있고 웨이퍼 표 면으로 주입될 수 있다. 도펀트 원자(예컨대, 보론 또는 포스포러스 원자)는 통상적으로 충분히 높은 에너지로 웨이퍼에 충돌할 때 휘발성 종 원자(예컨대, 플루오린 또는 하이드로겐 원자)로부터 해리된다. 비록 도펀트 이온과 휘발성 종 이온이 웨이퍼 표면으로 가속되지만, 휘발성 종 원자들의 일부는 이온 주입 단계에 후속하는 어닐링 처리 동안 웨이퍼에 남겨지는 경향이 있고, 이는 웨이퍼에 주입된 도펀트 원자들을 남겨놓는다.
플라즈마는 임피던스 매칭 회로(8060)를 통해 RF 플라즈마 소스 전력 발생기(8055)에 결합된 오버헤드 코일 안테나(8050)를 포함하는 유도성 RF 전력 공급기에 의해 챔버(8010) 내의 도펀트-함유 가스로부터 생성된다. RF 바이어스 전압은 임피던스 매칭 회로(8070)를 통해 웨이퍼 지지 페데스탈(8025)에 결합된 RF 플라즈마 바이어스 전력 발생기(8065)에 의해 웨이퍼(8030)에 인가된다. 방사상의 외부 코일 안테나(8052)는 임피던스 매칭 회로(8062)를 통해 2차 RF 플라즈마 소스 전력 발생기(8057)에 의해 독립적으로 구동될 수 있다.
웨이퍼(8030) 상의 RF 바이어스 전압은 플라즈마 시쓰에 대한 플라즈마로부터의 이온이 웨이퍼 표면으로 가속시키며, 이온들은 웨이퍼 결정 구조에서 침입형 위치(interstitial site)에 부가된다. 이온 에너지, 이온 질량, 이온 플럭스 밀도 및 전체 도즈량은 웨이퍼 구조가 비정질이되게(손상되게) 할 수 있다. 웨이퍼 표면 및 표면 자체의 구조에서 도펀트(예를 들어 붕소) 이온의 질량 및 운동 에너지는 웨이퍼 표면 아래의 도펀트 이온의 깊이를 결정한다. 이는 웨이퍼 지지 페데스탈(8025)에 인가된 RF 바이어스 전압의 크기에 의해 제어된다. 이온 주입 프로세스가 수행된 후에, 웨이퍼는 어닐링 프로세스 처리되어 주입된 도펀트 원자가 웨이퍼 결정의 치환형 원자 위치로 이동되게 한다. 기판 표면이 플라즈마 이머젼 이온 주입 프로세스 이전에 미리-비정질화되는(pre-amorphized) 경우, 또는 이온 에너지, 이온 질량, 이온 플럭스 밀도 및 플라즈마 이머젼 이온 주입 프로세스 자체의 전체 도즈량이 웨이퍼 구조를 비정질화시키기에 충분한 경우, 기판 표면은 결정성이 아닐 수 있다. 이러한 경우, 어닐링 프로세스는 주입된 도펀트의 통합 및 활성화를 이용하여 비정질(손상된)층이 재결정화되게 한다. 반도체의 주입된 영역의 컨덕턴스는 순차적인 어닐링 프로세스 이후 활성화된 주입 도펀트 종의 체적 농도 및 접합부 깊이에 의해 결정된다. 예를 들어, 붕소와 같은 p-형 전도성 도펀트가 n-형 도펀트 불순물로 미리 증착되는 실리콘 결정 속에 주입되는 경우, p-n 접합부는 새롭게 주입된 p-형 전도성 영역의 경계를 따라 형성되며, p-n 접합부 깊이는 어닐링 이후 p-형 도펀트 불순물의 활성화된 주입 깊이이다. 접합 깊이는 RF 플라즈마 바이어스 전력 발생기(8065)의 전력 레벨에 의해 제어되는 웨이퍼 상의 바이어스 전압(및 어닐링 프로세스)에 의해 결정된다. 주입 영역의 도펀트 농도는 주입동안 웨이퍼 표면에서의 도펀트 이온 플럭스("도즈량") 및 이온 플럭스의 기간에 의해 결정된다. 도펀트 이온 플럭스는 RF 플라즈마 소스 전력 발생기(8055)에 의해 제어되는 유도성 RF 전력 애플리케이터(8050)에 의해 방사되는 RF 전력의 크기에 의해 결정된다. 이러한 구성은 주입 시간, 주입 영역의 전도성 및 접합 깊이의 독립적 제어를 가능케한다. 일반적으로, 바이어스 전력 RF 발생기(8065) 및 소스 전력 RF 발생기(8055)의 전력 출력 레벨과 같은 제어 파라미터는 전도성 및 접합 깊이에 대한 목표값을 부합시키면서 주입 시간을 최소화시키도록 선택된다. 이온 에너지의 보다 직접적인 제어를 위해, 바이어스 발생기는 그의 출력 제어 변수로서 "전력" 보다는 "전압"을 사용할 수 있다.
유도성 RF 플라즈마 소스 전력 애플리케이터(8050)의 장점은 이온 플럭스(도펀트 도즈량 비)가 플라즈마 전위의 부가적인 증가없이 RF 소스 전력 발생기(8055)의 전력 레벨을 증가시킴으로써 증가될 수 있다. 바이어스 전압 레벨은 미리설정된값(원하는 주입 깊이에 대해 선택)에서 RF 바이어스 전력 발생기에 의해 제어되는 반면 유도성 RF 소스 전력은 플라즈마 전위의 심각한 증가없이 이온 플럭스(도펀트 도즈량 비)를 증가시키도록 증가된다. 이러한 특징은 스퍼터링 또는 에칭으로 인한 챔버 표면의 오염을 최소화시킨다. 또한, 플라즈마 스퍼터링으로 인해 시간에 따라 마모된 챔버내 소모적인 부품의 소비를 감소시킬 수 있다. 플라즈마 전위가 이온 플럭스에 따라 증가될 필요가 없기 때문에, 최소 주입 에너지가 제한(증가)되지 않아, 사용자가 가능한 것보다 얕은 접합 깊이를 선택할 수 있게 한다. 반대로, 마이크로파 ECR 플라즈마 소스가 상대적으로 높은 최소 플라즈마 전위에 의해 특성화되어, 최소 주입 에너지를 제한하고 최소 접합 깊이를 제한한다는 것이 유추된다.
웨이퍼에 RF 바이어스 전압 인가( DC 바이어스 전압 대신)로 인한 장점은 이온 주입에 보다 효율적이라는(보다 생산적임) 것으로, RF 바이어스 주파수의 적절한 선택이 제공된다. 이는 도 80a, 80b 및 80c에 도시된다. 도 80a는 종래 방식으로 웨이퍼에 인가되는 1-밀리초 DC 펄스를 나타내는 반면, 도 80b는 웨이퍼 표면 에서의 최종 이온 에너지를 나타낸다. 도 80a의 DC 펄스 전압은 이온이 원하는 주입 접합 깊이에서의 어닐리에 따라 치환되는 대략 목표 바이어스 전압이다. 도 80b는 웨이퍼 표면에서 저항성-용량성 효과로 인해, 도 80a의 펄스 전압에 상응하는 초기 전압으로부터 이온 에너지가 어떻게 감소되는지를 나타낸다. 결과적으로, 도 80a의 1-밀리초 DC 펄스의 대략 제 1 마이크로-초(또는 그 이하)만이 실제적으로 유용하며, 이는 원하는 접합 깊이에서 치환되는(어닐링 동안) 이온을 주입할 수 있는 에너지를 산출하는 펄스의 상기 마이크로-초 부분만 있기 때문이다. DC 펄스의 초기(1 마이크로초) 주기는 RC 시간으로 간주될 수 있다. DC 펄스의 나머지 부분 동안, 이온은 원하는 깊이에 도달하도록 또는 어닐링에 따라 치환되도록 충분한 에너지를 얻기 위해 떨어지며, 이온이 또다른 주입을 제한하는 증착막에 축적되도록 웨이퍼 표면을 천공하도록 떨어질 수 있다. 이러한 문제점은 펄스 전압 증가에 의해서는 달성될 수 없으며, 이는 원하는 접합 깊이보다 더 깊게 주입되는 상당량의 이온이 산출되기 때문이다. 따라서, 시간의 약 10퍼센트 동안만 원하는 접합 깊이로 이온이 하향 주입된다. 이는 원하는 접합 깊이에서 목표 주입 밀도에 도달하기 위해 요구되는 시간을 증가시킨다. 또한 에너지에서 최종 스프레드는 접합의 급준성(abruptness)을 감소시킨다. 대조적으로, 도 80c에 도시된 1MHz 바이어스 전압의 1 밀리초 버스트(burst)에서 각각의 RF 사이클은 도 80b의 소위 RC 시간을 초과하지 않는 RF 사이클 시간을 갖는다. 결과적으로, 펄스 DC 바이어스 전압으로 인한 저항성-용량성 효과는 일반적으로 충분한 주파수의 RF 바이어스 전압으로 방지된다. 따라서, 이온은 도 80c의 1MHz 바이어스 전압의 상당히 큰 퍼센테이지 시 간 동안 원하는 접합 깊이로 낮제 주입된다. 이는 원하는 접합 깊이에서 목표 주입 밀도에 도달하기 위해 요구되는 시간량을 감소시킨다. 따라서, 웨이퍼 상의 RF 바이어스 전압의 사용은 RF 주파수의 선택에 따라, DC 펄스 전압보다 상당히 큰 효율성 및 생산성을 산출한다.
RF 바이어스 주파수는 하기의 기준을 만족시키도록 선택된다 : RF 바이어스 주파수는 페데스탈(캐소드,유전체층)에 대해 무시가능한 전압 강하를 갖고 웨이퍼의 후면 또는 정면상에서의 유전체막에 대한 감도를 최소화시키고 플라즈마 제품의 증착 또는 챔버벽 표면 조건에 대한 감도(sensitivity)를 최소화시킬 수 있도록 충분히 높아야 한다. 또한, 주파수는 바로 위에 설명된 것처럼, 저항성-용량성(RC) 효과가 목표 에너지 이하로 2% 이상 이온 에너지를 감소시키기 이전에 초기 주기(예를 들어, 1 마이크로-초)를 크게 초과하지 않는 사이클 시간을 갖도록 충분히 높아야 한다. 또한, RF 바이어스 주파수는 웨이퍼 표면상의 막들, 웨이퍼 지지 페데스탈상의 유전체층, 챔버벽상의 코팅들 또는 챔버벽상에 증착된 막들과 같이 절연 캐패시턴스에 대해 결합되도록 충분히 높아야 한다. (웨이퍼와 바이어스 전압의 RF 결합의 장점은 이러한 결합이 웨이퍼와 지지 페데스탈 사이에 존재하는 표면 조건에서의 변화 또는 편차에 의해 덜 영향 받고 오믹 콘택에 의존하지 않는다는 것이다.) 그러나, RF 바이어스 주파수는 심각한 플라즈마 시쓰 진동을 발생시키지 않도록 충분히 낮아야 한다. 보다 중요하게, RF 바이어스 주파수는 웨이퍼 표면 위에 놓인 플라즈마 시쓰에서 전기장의 진동에 응답하는 이온에 대해 충분히 낮아야 한다. 이러한 최종 조건을 우선하는 조건은 도 81a 내지 81d를 참조로 설명된 다.
도 81a는 웨이퍼에 인가되는 DC 바이어스 전압의 함수로서 웨이퍼 표면에서 플라즈마 이온 포화 전류를 나타내며, 상기 전류는 높은 전압 영역에서 가장크다(비대칭). 도 81b는 도 80c의 RF 전압 진동을 나타낸다. 도 80a에 도시된 이온 포화 전류의 비대칭은 도 80c에 도시된 것처럼, 이온 에너지 분포가 높은 에너지 영역을 향하는 방식으로 비대칭되게 한다. 이온 에너지 분포는 웨이퍼상의 RF 바이어스의 피크-대-피크 전압에 대응하는 에너지 부근에서 가장 집중된다. 그러나 이는 RF 바이어스 주파수가 플라즈마 시쓰의 전기장의 진동을 따르는 이온에 대해 충분히 낮은 경우에 대해서만 사실이다. 이러한 주파수는 일반적으로 대략 100kMz 내지 3MHz의 낮은 주파수이나, 시스 두께 및 이온의 전하-대-질량 비를 따른다. 시쓰 두께는 시쓰 에지 및 시쓰 전압에서 플라즈마 전자 밀도의 함수이다. 도 81d를 참조로, 상기 주파수가 낮은 주파수(도 81d에 F1으로 도시됨)로부터 중간주파수(도 81d에 F2로 도시됨) 증가하고 마지막으로 (도 81d에 F3으로 도시됨) 13MHz와 같이 높은 주파수로 증가함에 따라, 플라즈마 시쓰 전기장 진동을 따르는 이온의 능력은 감소되어, 에너지 분포의 폭이 좁아진다. 도 81d의 HF 주파수(F3)에서, 이온은 시쓰 전기장 진동을 따르지 않고 대신 RF 바이어스 전압의 평균 전압, 즉, RF 바이어스 피크-대-피크 전압의 절반에 대응하는 에너지를 달성한다. 결과적으로, 이온 에너지는 RF 바이어스 주파수를 HF 주파수(일정한 RF 바이어스 전압을 위한)로 증가시킴으로써 절반으로 분배된다. 또한, 중간 주파수에서, 본 발명자는 플라즈마 반응특성은 (이온이 피크-대-피크 RF 바이어스에 대응하는 에너지를 갖는) 낮 은 주파수 반응특성과 (이온이 피크-대-피크 RF 바이어스 전압의 대략 절반에 해당하는 에너지를 갖는)높은 주파수 반응특성 사이에서의 산발적 변화되어 불안정하다는 것을 발견했다. 따라서, 플라즈마 시쓰 전기장 진동을 따르는 이온에 대해 (도 81d의 주파수(F1)에 상응하는) 충분히 낮은 주파수에서 RF 바이어스 주파수를 유지함으로써, 특정 이온 주입 깊이 조건에 부합하도록 요구되는 RF 바이어스 피크-대-피크 전압은 중간 주파수(F2) 또는 높은 주파수(F3)에서의 반응특성과 관련하여 거의 두개의 요인에 의해 감소된다. 요구되는 RF 바이어스 전압에서 이러한 감소는 (예를 들어, 두가지 요인에 의해) 웨이퍼 지지 페데스탈에서의 높은 전압 아킹 위험 및 웨이퍼 상의 박막 구조물 손상의 위험을 크게 감소시키기 때문에 상당한 장점이 된다. 본 명세서에서 이후에 개시되는 적어도 특정 플라즈마 이머젼 이온 주입 소스에서, 이온 에너지들은 종래의 이온 빔 주입기에서 얻어지는 것들과 매칭되어, 종래의 이온 빔 주입기의 가속 전압의 두배인 플라즈마 RF 바이어스 전압이 제공되기 때문에 특히 중요하다. 따라서, 이온 에너지가 낮은 주파수에서 얻어지는 것들의 절반으로 향하는 경향이 있는 경우 높은 주파수 플라즈마 RF 바이어스 전압에서, 요구되는 플라즈마 RF 바이어스 전압은 주어진 이온 에너지 레벨에 대한 종래의 이온 빔 주입기의 가속 전압의 4배이다. 따라서, 과도한 RF 바이어스 전압의 필요성을 방지하기 위해, 플라즈마 이머젼 이온 주입 반응기가 낮은 주파수의 RF 바이어스 전압의 장점을 이용한다는 것이 중요하다.
따라서, 바람직한 결과는 10kHz 내지 10MHz 사이의 낮은 주파수 범위로 RF 바이어스 전력 주파수를 제한함으로써 달성된다. 보다 나은 결과는 50kHz 내지 5MHz 사이의 보다좁은 주파수로 RF 바이어스 전력 주파수를 제한함으로써 달성된다. 최상의 결과는 100kHz 내지 3MHz 사이의 보다좁은 범위에서 달성된다. 본 발명자는 약 2MHz 펄스 또는 마이너스 5%에서 최저의 결과를 발견했다.
RF 소스 전력 발생기(8055)와 RF 바이어스 전력 발생기(8065) 모두는 각각 유도 전력 애플리케이터(8050)와 웨이퍼 페데스탈(8025)에 연속적인 RF 전력을 인가할 수 있다. 그러나, 발생기(8055, 8065)중 하나 또는 둘다는 제어기(8075)에 의해 제어되는 버스트 모드에서 동작할 수 있다. 또한 제어기(8075)는 외부 코일 안테나(8052)가 존재하는 경우에도 마찬가지로 버스트 모드에서 발생기(8057)를 제어할 수 있다. 외부 코일 안테나(8057)를 포함하지 않는 실시 동작이 개시된다. 각각의 발생기(8055, 8065)에 의해 발생되는 RF 신호는 예를 들어, 0.5kHz 정도의 반복비를 갖는 1 밀리초와 같이 버스트를 지속하는 동안 연속파(CW) RF 전력을 산출하도록 변조되는 펄스일 수 있다. RF 발생기(8055, 8065)중 하나 또는 모두는 이런 방식으로 동작할 수 있다. 둘다가 동시적으로 이러한 버스트 모드에서 동작하는 경우, 이들은 하기 개시되는 것처럼, 푸시-풀 모드에서 동작하거나, 또는 동기식 모드에서 동작하거나, 또는 대칭 모드 또는 비대칭 모드에서 동작할 수 있다.
푸시-풀 모드는 각각의 RF 발생기(8055, 8065)의 RF 파형을 나타내는 도 82a 및 82b의 동시적 시간 도메인 파형에 도시되며, 두개의 발생기(8055, 8065)로부터 RF 에너지 버스트는 교번 시간 윈도우 동안 발생한다. 도 82a 및 82b는 각각 발생기(8055, 8065)의 RF 전력 파형 또는 발생기(8065, 8055)의 RF 전력 파형을 나타낸다.
비동기식 모드는 도 82c 및 82d의 동시적 시간 도메인 파형에 도시되며, 두개의 발생기(8055, 8065)로부터의 RF 에너지 버스트는 동시적이다. 그러나, 이들은 특히 두개의 발생기(8055, 8065)가 상이한 RF 주파수를 산출하는 경우, 동위상(in phase)일 필요는 없다. 예를 들어, RF 플라즈마 소스 전력 발생기(8055)는 약 13MHz의 주파수를 갖는 반면, RF 플라즈마 바이어스 전력 발생기(8065)는 약 2MHz의 주파수를 갖는다. 도 82c 및 도 82d는 각각 발생기(8055, 8065)의 RF 전력 파형, 또는 발생기(8065, 8055)의 RF 전력 파형을 나타낸다.
앞서말한 실시예에서, 두개의 발생기(8055, 8065)의 펄스 폭 및 펄스 반복 속도는 적어도 거의 동일할 수 있다. 그러나, 이들이 상이하다면, 두개의 발생기(8055, 8065)의 버스트 사이에 대한 일시적인 관계식이 선택되어야 한다. 도 82e 및 82f의 동시적 시간 도메인 파형의 예에서, 발생기(8055, 8065)중 하나는 도 82f에 도시된 짧은 RF 버스트를 산출하는 반면 또다른 발생기는 도 82e에 도시된 긴 RF 버스트를 산출한다. 본 예에서, 두개의 발생기(8055, 8065)의 버스트는 대칭으로 배치되며, 도 82f의 짧은 버스트는 도 82e의 대응하는 긴 버스트를 기준으로 중심설정된다. 도 82e 및 도 82f는 각각 발생기(8055, 8065)의 RF 전력 파형, 또는 발생기(8065, 8055)의 RF 전력 파형을 나타낸다.
또다른 예는, 도 82g 및 도 82h의 동시적 시간 도메인 파형에 도시되며, 짧은 버스트(도 82h)는 대응하는 긴 버스트(도 82g)를 기준으로 중심설정되지 않아, 이들은 비대칭으로 배열된다. 특히, 본 실시예의 도 82h의 짧은 RF 버스트는 도 82g의 긴 버스트중 대응하는 하나의 마지막 부분과 일치한다. 선택적으로, 도 82h 의 점선으로 도시된 것처럼, 대신 도 82h의 짧은 RF 버스트는 도 82g의 긴 RF 버스트의 대응하는 하나의 초기 부분과 일치한다. 도 82g 및 82h는 각각 발생기(8055, 8065)의 RF 전력 파형, 또는 발생기(8065, 8055)의 RF 전력 파형을 나타낸다.
도 79의 유도성 RF 소스 전력 애플리케이터(8050)는 플라즈마 소스 전력(및 이온 플럭스)이 증가함에 따라 플라즈마내의 불소-함유 종의 해리의 급속한 증가를 나타내며, 이는 주입 프로세스 동안 웨이퍼상에 있는 반도체막의 과도한 에칭을 유발한다. 이러한 에칭은 바람직하지 않다. 이러한 문제점을 해결하는 플라즈마 이머젼 이온 주입 반응기가 도 83a에 도시된다. 도 83a의 플라즈마 이머젼 이온 주입 반응기는 절연 링(8017)에 의해 접지된 측벽(8020)으로부터 전기적으로 절연된 전도성(금속) 또는 반도체 천정(8015')을 구성하는 용량성 소스 전력 애플리케이터를 갖는다. 선택적으로, 천정은 금속, 전도성, 또는 반도체일 수 있으며 절연, 전도성 또는 반도체층에 의한 코팅일 수 있다. RF 플라즈마 소스 전력 발생기(8055)는 용량성 판에 의한 방식으로 임피던스 매칭 회로(8060)를 통해 천정(8015')으로 유도된다. 플라즈마는 천정(8015')으로부터 용량성 결합된 RF 전력에 의해 발생되는 플라즈마 시쓰에서의 진동에 의해 형성된다. 이러한 플라즈마 발생을 강화시키기 위해, 플라즈마 RF 소스 전력 발생기(8055)의 주파수는 예를 들어, 매우 높은 주파수(VHF) 범위 또는 30MHz 범위로 비교적 높다. 웨이퍼 페데스탈(8025)는 천정(8015')에 대한 카운터 전극으로서의 역할을 한다. 천정(8015')은 웨이퍼 페데스탈(8025)에 인가된 RF 바이어스 전압에 대한 카운터 전극으로서의 역할을 한다. 선택적으로, 챔버벽은 웨이퍼 바이어스 및 천정 바이어스 전압중 하나 또는 둘다에 대한 카운터 전극으로서의 역할을 한다. 실시중 하나에서, 도펀트-함유 가스는 다수의 가스 주입 오리피스(8048') 를 통해 천정(8015')에 공급된다.
도 83a의 용량성으로 결합된 플라즈마 이온 이머젼 주입 반응기는 모든 형태의 반응기가 (플라즈마 소스 전력 발생기(8055)의 전력 레벨을 조절함으로써) 이온 플럭스 및 (플라즈마 바이어스 전력 발생기(8065)의 전력 레벨을 조절함으로써) 이온 에너지 또는 주입 깊이의 개별적 조절을 허용하는 도 79의 유도적으로 결합된 반응기의 장점을 이용한다. 또한, 플라즈마 소스 전력 또는 이온 프럭스가 증가함에 따라, 도 83a의 용량성 결합된 플라즈마 이온 이머젼 반응기는 도펀트 가스 주입부(8045)로부터 가스 공급시 불소-함유 종의 해리시 작은 증가 및 과도한 에칭 또는 증착 문제를 야기시키는 반응 부산물의 작은 증가를 나타낸다. 이러한 장점은 이온 주입 동안 에칭 또는 증착이 허용불가능한 레벨을 야기시키지 않고 이온 플럭스를 보다 자유롭게 증가시킬 수 있다는 것이다.
플라즈마 소스 전력 발생기(8055)의 높은 주파수의 RF 전력은 플라즈마 밀도를 및 웨이퍼 표면에서 이온 플럭스를 제어하나, 시쓰 전압 또는 이온 에너지에 크게 영향을 미치지는 않는다. 바이어스 전력 발생기(8065)의 낮은 주파수의 RF 전력은 시쓰 전압 및 이온 주입 에너지 및 (접합) 깊이를 제어하며 이온 발생 또는 이온 플럭스에는 크게 기여하지 않는다. 플라즈마 소스 전력 발생기의 높은 주파수, 적은 소스 전력은 플라즈마 시쓰에서 가열 이온을 소비시켜, 플라즈마 시쓰의 진동 또는 벌크 플라즈마에서의 전자 가열에 의해 플라즈마 이온을 발생시키는데 보다 많은 전력이 사용되게 한다. RF 바이어스 전력 발생기(8065)의 낮은 주파수 는 10MHz 이하인 반면, RF 플라즈마 소스 전력 발생기(8055)의 높은 주파수는 10MHz 이상이다. 보다 바람직하게, 상기 낮은 주파수는 5MHz 이하인 반면, 상기 높은 주파수는 15MHz 이상이다. 보다 바람직한 결과는 3MHz 이하의 낮은 주파수 및 30MHz 또는 심지어 50MHz를 초과하는 높은 주파수에서 달성된다. 소정의 경우, 소스 전력 주파수는 160MHz 또는 200MHz 이상과 같이 높을 수 있다. 소스 및 바이어스 전력 발생기(8055, 8065) 각각의 높은 주파수 및 낮은 주파수 사이의 주파수 간격(separation)이 클수록, 보다 많은 플라즈마 이온 주입 플럭스 및 플라즈마 이온 주입 에너지가 두개의 발생기(8055, 8065)에 의해 개별적으로 제어될 수 있다.
도 83b에 도시된 변형에서, RF 플라즈마 소스 전력 발생기(8055)는 천정(8015')에 결합되기 보다는 웨이퍼 페데스탈에 결합된다. 이러한 구성의 장점은 천정(8015')이 도 83a의 반응기 보다는 상당히 낮은 속도로 (플라즈마 스퍼터링 또는 에치에 의해) 소모되어, 마모 감소 및 플라즈마의 금속 오염 감소를 야기시킨다는 것이다. 동일한 전극에 결합됨에 따라 두개의 RF 발생기(8055, 8065) 사이가 서로 절연되어 도 83a의 반응기에 비해 품질이 떨어진다는 문제점이 있어, 이온 플럭스 및 이온 에너지의 제어가 도 83a의 반응기에서 처럼 독립적으로 이루어지지 않는다.
도 83a 또는 도 83b의 반응기중 하나에서, 제어기(8075)는 도 82a 내지 82h를 참조로 상기 개시된 방식으로 동작할 수 있으며, 천정(8015') 및 페데스탈(8025) 각각에 인가되는 RF 전력 파형은 푸시-풀 모드(도 82a 및 도 82b), 또는 비동기식 모드(도 82c 및 도 82d), 또는 대칭 모드(도 82e 및 도 82f), 또는 비대칭 모드(도 82g 및 도 82h)이다.
도83a 및 83b는 RF 전력 소스 발생기(8055)가 측벽(8020) 및/또는 발생기(8055)의 RF 리턴 터미널에 연결된 웨이퍼 지지 페데스탈(8025)을 구비한 천정(8015')(도83a)를 구동시킬 수 있거나, 또는 택일적으로, RF 소스 전력 발생기(8055)가 천정(8015') 및/또는 발생기(8055)의 RF 리턴 터미널에 연결된 측벽(8020)을 구비한 웨이퍼 지지 페데스탈(8025)을 구동시킬 수 있음을 도시한다. 따라서, RF 소스 전력 발생기는 웨이퍼 지지 페데스탈 및 측벽(8020) 또는 천정(8015')(또는 둘 모드)에 걸쳐 연결된다. 소스 전력 발생기(8055)로의 연결 극성은 반전될 수도 있어서, 극성은 발생기(8055)의 RF 리턴 터미널에 연결된 페데스탈을 구비한 측벽(8020) 및/또는 천정(8015')을 구동시킬 수 있다.
전술한 바와 같이, 도79의 플라즈마 이머젼 이온 주입 유도성 결합 반응기는 (a)대규모의 이온 플럭스/높은 플라즈마 이온 밀도의 성능 (b)독립적으로 제어된 이온 에너지, 및 (c)낮은 최소 이온 에너지(플라즈마 전위)를 포함하는 뚜렷한 장점을 가진다. 도83a의 플라즈마 이머젼 이온 주입 용량성 결합 반응기는 이온 플럭스가 증가함에 따라, 도79의 유도성 결합 반응기에 비해 프로세스 가스 및 반응 부산물을 더욱 제어 가능하게 분해하는 추가의 장점을 갖는다. 그러나, 도83a의 용량성 결합 반응기는 도79의 유도성 결합 반응기에 비해 더 높은 최소 이온 에너지/플라즈마 전위를 갖는다. 따라서, 이러한 두 형태의 반응기는 뚜렷한 장점을 갖지만, 모든 장점을 제공하지는 않는다.
낮은 최소 이온 에너지 및 낮은 처리 가스 분해를 포함하여 전술한 모든 장점을 제공하는 플라즈마 이머젼 이온 주입 반응기는 도84에 도시된다. 도84에서, 도79 또는 도83a의 유도성 또는 용량성 결합 플라즈마 소스는 도1-78에 도시된 형태의 토로이달 플라즈마 소스로 대체된다. 도84의 기본적인 구성에서, 토로이달 플라즈마 소스는 도1의 도관(150)에 대응하는, 천장(8015) 상부의 재진입형 중공 도관을 포함한다. 도84의 도관(8150)은 천정(8015)의 제1 개구(8155) 둘레가 밀봉된 일 개방 단부(8150) 및 천정(8015)의 제2 개구(8160) 둘레가 밀봉된 대향하는 개방 단부(8150b)를 갖는다. 두 개의 개구들 또는 부분들(8155)은 웨이퍼 지지 페데스탈(8025)의 대향 면 위의 천정에 위치된다. 도84가 천정에 있는 개구들(8155, 8160)를 도시하지만, 개구는 택일적으로 도12에 도시된 바와 같이 베이스 또는 챔버의 플로워에 위치되거나, 도26에 도시된 바와 같이 챔버의 측벽에 위치될 수 있어서, 도관(8150)은 챔버 위로 또는 밑으로 통과할 수도 있다. RF 플라즈마 소스는 RF 플라즈마 소스 전력 애플리케이터(8110)에 의해 RF 발생기(8055)로부터 선택적 임피던스 매칭 회로(8060)를 통해 재진입형 도관에 결합된다. 재진입형 중공 도관용의 다양한 형태의 소스 전력 애플리케이터가 도1-78에 개시되며, 이들 중 하나가 도84의 플라즈마 이머젼 이온 주입 반응기에 사용된다. 도84에 개시된 실시예에서, RF 플라즈마 소스 전력 애플리케이터(8110)는 도13에 도시된 것보다 작은데, 여기서 토러스 형태를 갖는 자기적으로 침투가능한 코어(8115)가 도관(8150)의 환형부를 둘러싼다. RF 발생기(8055)는 선택적 임피던스 매칭 회로를 통해 자기 코어(8115) 주위의 도전성 권선(8120)에 결합된다. 선택적 튜닝 커패시터(8122)는 권선을 양단에 연결된다. RF 발생기(8055)는 임피던스 매칭을 유지하기 위해 주파수 튜닝되어, 임피던스 매칭 회로(8060)는 불필요할 수도 있다.
반응기 챔버는 웨이퍼 지지 페데스탈(8025)과 천정(8015) 사이에 처리 영역(8140)을 포함한다. 가스 공급부(8045)는 천정(8015)의 가수 주입 오리피스들(8048)을 통해 반응 챔버(8140)로 도펀트 가스들을 공급한다. 플라즈마는 재진입형 도관(8150)을 통해, 그리고 소스 전력 애플리케이터(8110)에 의해 결합된 RF 소스 전력에 대한 응답으로 처리 영역(8140)에 걸쳐 순환(진동)한다. 도13의 반응기에서와 같이, 재진입형 도관(8150)은 도전성 재료로 만들어지며, 좁은 갭 또는 절연체(8154)로 충전된 환형 블레이크(8152)를 갖는다. 가스 공급부(8045)에 의해 공급된 도펀트 가스들은 웨이퍼(8030)의 반도체 결정 구조로 대체될 경우 도너(N-형) 또는 억셉터(P-형) 불순물인 종을 포함한다. 예를 들어, 웨이퍼가 실리콘 결정인 경우, N-형 도펀트 불순물은 예를 들어, 비소 또는 인이지만, P-형 도펀트 불순물은 예를 들어, 붕소일 수도 있다. 가스 공급부(8045)에 의해 공급된 도펀트 가스는 예를 들어, 불소와 같은 적어도 부분적으로 휘발성인 종들을 갖는 도펀트 불순물의 화학적 결합이다. 예를 들어, 만일 P-형 도전성 영역이 이온 주입에 의해 형성되면, 도펀트 가스는 예를 들어, BF3와 같은 붕소와 불소의 화합물 일 수도 있으며, 또는 예를 들어, 도펀트 가스는 B2H6와 같은 수소화물일 수도 있다. 인 도핑은 PF3 또는 PF5와 같은 불소 또는 PH3와 같은 수소화물을 사용하여 얻어질 수도 있다. 비소 도핑은 AsF5 또는 AsH3 와 같은 수소화물을 사용하여 얻어질 수도 있다.
RF 바이어스 전력 발생기는 RF 바이어스 전압을 제공하며, RF 바이어스 주파 수는 전술한 바와 같이 도81d를 참조하여 선택된다. 양호한 결과가 RF 바이어스 전력 주파수를 10kHz 내지 10MHz의 낮은 주파수 영역으로 한정함으로써 얻어진다. 더 양호한 결과는 RF 바이어스 전력 주파수를 50kHz부터 5MHz의 더 좁은 영역으로 한정함으로써 얻어진다. 가장 좋은 결과는 100kHz부터 3MHz의 훨씬 더 좁은 주파수 영역에서 얻어진다. 약 2MHz±5%에서 최적의 결과를 얻었다.
도84의 반응기에서, RF 소스 전력 발생기(8055) 및 RF 바이어스 전력 발생기(8065)는 연속한 RF전력을 유도성 전력 애플리케이터(8110) 및 웨이퍼 페데스탈(8025)에 각각 제공할 수도 있다. 그러나, 발생기들(8055, 8065) 중 하나 또는 모두는 제어기(8075)에 의해 제어된 버스트 모드로 동작할 수도 있다. 각각의 발생기들(8055, 8056)에 의해 생성된 RF 신호들은 예를 들어, 0.5kHz의 반복율을 갖는 예를 들어, 1 밀리초 지속하는 일련의 연속적인 웨이브(CW) RF 전력을 발생시키기 위해 변조된 펄스일 수도 있다. RF 전력 발생기(8055, 8065)들 중 하나 또는 모두는 이러한 방식으로 동작될 수 있다. 만일 모두가 이러한 버스트 모드로 동시에 동작되면, 이들은 푸시-풀 모드 또는 비동기 모드, 또는 대칭 모드 또는 비대칭 모드로 동작될 수도 있으며, 도84의 반응기에 대해 설명될 것이다.
푸시-풀 모드는 각각의 RF 발생기(8055, 8065)의 RF 전력 파형을 나타내는, 도82a 및 82b의 동시 시간 도메인 파형으로 도시되는데, 여기서 두 발생기(8055, 8065)로부터의 RF 에너지의 버스트가 교번하는 시간 윈도우 동안 발생한다. 도82a 및 82b는 각각 발생기들(8055, 8065)의 RF 전력 파형을 도시하며, 그 반대로 마찬가지이다.
비 동기 모드는 도82c 및 82d의 동시 시간 도메인 파형으로 도시되며, 여기서 두 발생기(8055, 8065)로부터의 RF 에너지는 연속적이다. 그러나, 이들은 동상일 필요는 없으며, 특히 두 발생기(8055, 8065)는 상이한 RF 주파수를 발생시킨다. 예를 들어, RF 플라즈마 소스 전력 발생기(8055)는 약 13MHz의 주파수를 가질 수도 있는 반면, RF 플라즈마 바이어스 전력 발생기(8065)는 약 2MHz의 주파수를 가질 수도 있다. 도82c 및 82d는 각각 발생기들(8055, 8065)의 RF 전력 파형을 설명하며, 그 반대도 마찬가지이다.
전술한 예에서, 두 RF 발생기(8055, 8065)의 펄스 폭 및 펄스 반복율은 적으도 거의 동일하다. 그러나, 만일 이들이 상이하다면, 두 발생기(8055, 8065)의 버스트들 사이의 일시적인 관계는 선택되어야 한다. 도82e 및 도82f의 동시 시간 도메인 파형의 예에서, 발생기(8055, 8065)들 중 하나는 도82f에 도시된 짧은 RF 버스트를 발생시키는 반면, 다른 것은 도82e에 도시된 긴 RF 버스트를 발생시킨다. 이러한 예에서, 두 발생기(8055, 8065)의 버스트들은 대칭적으로 정렬되고, 도82f의 짧은 버스트들은 도82e의 대응하는 긴 버스트들에 대해 중심에 위치한다. 도82e 및 82f는 발생기(8055, 8065)의 RF 전력 파형을 각각 나타내며, 그 반대도 마찬가지이다.
82g 및 82h의 동시 시간 도메인 파형으로 도시된 다른 예에서, 짧은 버스트(도82h)는 대응하는 긴 버스트(도82g)에 대해 중심에 위치되지 않아서, 이들은 비대칭적으로 정렬된다. 특히, 이러한 예에서, 도82h의 짧은 RF 버스트들은 도82g의 긴 버스트들 중 대응하는 것들의 후반부와 일치한다. 택일적으로, 도82h에 점선으 로 도시된 바와 같이, 도82h의 짧은 RF 버스트는 선택적으로 도82g의 긴 RF 버스트들 중 대응하는 것들의 전반부와 일치할 수도 있다. 도82g 및 82h는 각각 발생기(8055, 8065)의 RF 전력 파형을 도시하며, 그 반대도 마찬가지이다.
도84의 토로이달 플라즈마 이머젼 이온 주입 반응기는 RF 바이어스 전압 대신에 펄싱된 D.C. 바이어스 전압으로 동작될 수 있다. 이 경우, 바이어스 전력 발생기(8065)는 RF 소스라기보다는 D.C. 소스일 것이다. 따라서, 전술한 도82a 내지 82h의 상이한 동작 모드에서, 펄싱된 RF 바이어스 전압은 동일한 펄스 폭을 가진 펄싱된 D.C. 바이어스 전압으로 대체될 수도 있으며, 오직 소스 전력 발생기(8055)가 RF 전력 버스트를 발생시킨다.
도85는 도44의 반응기와 유사한 방식으로, 제1 재진입형 도관(8150)과 교차하는 제2 재진입형 도관(8151)을 갖는 도84의 플라즈마 이온 주입 반응기의 변형을 도시한다. 플라즈마 전력은, 제2 RF 소스 전력 발생기(8056)에 의해 구동된 자기적으로 침투가능한 제2 코어(8116) 및 제2 코어 권선(8121)을 포함하는 제2 소스 전력 애플리케이터에 대한 제2 선택적 매칭 회로(8061)를 통해, 제2 RF 플라즈마 소스 전력 발생기(8056)로부터 제2 도관(8151)에 결합된다. 가스 공급부(8045)로부터의 프로세싱 가스는 (도44의 가스 분배판에서와 같이) 천정(8015)에 포함된 가스 분배판 또는 샤워 헤드에 의해 챔버로 도입될 수도 있다. 그러나, 도85의 플라즈마 이머젼 이온 주입 반응기는, 샤워 헤드보다는 도펀트 가스 공급부에 결합된 챔버의 기저부(미도시)와 같이, 천정(8020) 또는 다른 곳에 작은 수의 처리 가스 주입기(8048)를 사용함으로써 상당히 간단하게 구성된다. 더욱이, 천정(8015)과 웨이퍼 페데스탈(8025) 사이의 갭은 상대적으로 클 수 있으며(예를 들어, 2 내지 6 인치), 웨이퍼 표면 근처에 플라즈마를 생성할 필요가 없기 때문에, 가스 분배판은 천정(8015)의 분산된 가스 주입기 또는 확산기(8048) 또는 측벽(8020)의 가스 주입기 또는 확산기(8049)를 제거될 수도 있다. 가스 주입기 또는 확산기(8049)는 측벽(8020)상에 링(8049)에 결합될 수도 있다. 일반적으로, 최대 주입 깊이 및 이온 에너지 필요성이 클수록, 요구되는 천정과 웨이퍼 사이의 갭은 더 커진다. 예를 들어, 10kV의 피크-대-피크 RF 바이어스 전압의 경우, 4인치의 갭이, 넓은 영역의 가스 종 및 플라즈마 전자 밀도에 걸쳐 최적의 플라즈마 균일성을 위한 2인치 갭에 비해 바람직하다. 확산기라는 용어는 장치로부터 넓은 가스 흐름 분배 방사각을 갖는 형태의 가스 분배 장치를 통상적으로 일컫는데 사용된다.
도86은 가스 주입 오리피스(8048)의 일 배열을 도시하는, 천정(8015)의 내부면의 평면도로서, 천정(8015)의 중앙에 하나의 중심 오리피스(8048-1) 및 외부 반경에 방사상으로 균일하게 배치된 4개의 외부 오리피스(8048-2 내지 8048-5)가 있다. 도87은 어떻게 도펀트 가스 공급부(8045)가 가스 분배판으로 동작될 수 있는 지를 설명한다. 도87의 가스 분배판 또는 공급부(8045)는 붕소의 불화물, 붕소의 수소화물, 인의 불화물 및 인의 수소화물을 포함하는 상이한 도펀트 함유 가스들을 포함하는 독립된 가스 저장소(8210-1 내지 8210-11)를 갖는다. 게다가, 공동 주입(수소 및 헬륨), 재료 강화(질소), 표면 패시베이션 또는 공동 주입(실리콘의 불소화물 또는 게르마늄 또는 탄소)에 사용되는 다른 가스들을 위한 가스 저장소이다. 게다가, 중심 오리피스(8048-1)는 포토레지스트 제거 및/또는 챔버 세정에 상용되 기 위해 산소 가스 저장소에 결합될 수도 있다. 제어 패널(8220)은 각각의 저장소(8210)로부터 가스 주입 오리피스로 가스 흐름을 제어하는 밸브(8222)를 포함한다. 비록 가스 매니폴드(8230)가 선택된 가스들을 외부 가스 주입기 오리피스(8048-2 내지 8048-5)들 사이에 분배하도록 제공되지만, 바람직하게, 가스들은 오리피스에서 또는 오리피스 부근에서 혼합된다. 택일적으로, 처리 가스는 도85의 노즐(8049) 또는 확산기를 사용하여, 측벽(8020)에 하나 이상의 위치에 주입될 수도 있다. 도85는 내부로 방사상으로 가스를 주입시키는 챔버 측벽(8020) 주위에 위치한 가스 주입기(8049)를 도시한다. 가스는 천정 및/또는 웨이퍼에 평행하게 주입되거나, 천정 및/또는 웨이퍼를 향해 소정의 성분이 주입될 수도 있다. 몇몇 응용예의 경우, 각각이 자신의 고유한 노즐 어레이를 갖는 독립된 다중 가스 플래넘을 사용하는 것이 유리하다. 이는 진공 상태를 제외하고 결합되지 않는 화학 작용을 이용하는 것을 가능하게 하거나, 중립 균일 튜닝을 위한 몇몇 가스 존을 갖는 것을 가능케 한다. 이를 위해, 다시 도85를 참조하면, 제1 세트의 측벽 주입기(8049c)를 결합시키는 제1 링(8049a)은 제1 플래넘으로 작용하는 반면, 제2의 독립된 측벽 주입기(8049d) 세트를 결합시키는 제2 링(8049b)은 제2 플래넘으로 작용한다. 두 개의 링 또는 플래넘(8049a, 8049b)은 도87의 가스 패널의 각각의 독립된 밸브(8222) 세트에 의해 제공된다.
도88은 중앙 전자석 어셈블리(8430)가 천정(8015)의 중앙 위에 장착되어 있는 도85의 플라즈마 이머젼 이온 주입 반응기의 변형을 개시하고 있다. 도44의 전자석 어셈블리(4430)와 마찬가지로, 도88의 전자석 어셈블리(8430)는 플라즈마 이 온 밀도 균일성을 제어하며, 철 또는 강철과 같은 자화가능한 재료로 형성된 좁고 긴 실린더형 극 부품(8440), 및 극 부품(8450) 둘레에 감겨진 절연된 도전성 권선의 코일을 포함한다. 자기 전류 제어기(8442)는 코일에 전류를 공급한다. 제어기(8442)는 웨이퍼 기판에 걸쳐 플라즈마 이온 밀도(이온 플럭스)의 균일성을 최적화하기 위해 코일(8450)을 통해 전류를 제어한다.
도89a 및 89b는 각각 측면 및 평면도로서, 방사형 외부 전자석 어셈블리(8460)를 포함한 변형예를 도시한다. 외부 전자석 어셈블리(8460)는 토러스의 형태이며, 천정(8015)의 원주 에지 부근 및 도관(8150, 8151)의 포트 쌍(150, 160)에 인접한 천정(8015)의 환형 외부 영역에 중첩한다. 도90a의 단면도를 참조하면, 외부 전자석 어셈블리(8460)는 전류 제어기(8442)에 연결된 단일 도전체의 복수의 권선으로 이뤄진 코일(8462)을 포함한다. 처리 영역(8140) 내에 외부 전자석 어셈블리전자석 어셈블리전자석 어셈블리하고 있는 자기 커버는 코일(8462)의 하부를 제외하고 코일(8462)의 측면 및 상부를 둘러싼다. 자기 커버(8464)는 코일(8462)의 자계가 천정 하부 아래쪽을 향해 처리 영역(8140)으로 연장하게 한다. 웨이퍼 표면에서의 이온 밀도의 균일성 및 방사상 플라즈마 플럭스 분포는 내부 및 외부 전자석 어셈블리(8430, 8460)에서 전류 독립적으로 조절함으로써 최적화된다.
두 개의 도관(8150, 8151)의 포트(150, 160) 부근에 매우 높은 플라즈마 이온 농도를 갖는 영역의 형성을 방지하기 위해, 자기적으로 침투가능한 재료(예를 들어, 철 또는 강철)의 개개의 플레이트(8466)가 포트들(150, 160) 중 각각에 인접하여 외부 전자석 어셈블리(8460) 아래에 배치된다. 각각의 플레이트(8466)의 원 주의 연장은 각각의 개별 포트(150, 160)의 폭과 대략 동일하다. 도90a, 90b 및 90c는 도89b의 라인(90-90)을 따라 취해진 단면도이다. 플레이트(8466)와 자기 커버(8464)의 하부 에지 사이의 거리는 각각의 개별 포트(150, 160)들 부근의 처리 영역의 일부로 결합된 자계의 크기를 제어하도록 조절된다. 도90a에서, 플레이트(8466)는 커버의 하부 에지와 접촉하고 있어서, 대응하는 포트(150, 160) 부근의 자계가 커버(8464)와 플레이트(8466)에 의해 한정된 엔클로져 내에 거의 제한되게 한다. 도90b에서, 플레이트(8466)는 커버(8464)의 하부 에지로부터 약간 옮겨지며, 이들 사이에 작은 갭이 생기게 하여, 작은 자계가 대응하는 포트(150, 160) 부근의 처리 영역(8140)으로 들어가게 한다. 90c에는 플레이트(8466)와 커버(8464) 사이에 큰 갭이 있어서, 큰 자계가 대응하는 포트(150, 160) 부근의 처리 영역에 존재하게 한다.
도91은 RF 플라즈마가 바이어스 전력 발생기(8065)가 어떻게 웨이퍼 지지 페데스탈(8025)에 결합될 수 있는 지를 도시한다. 인덕터(8510) 및 가변 커패시터(8520)는 시리즈 커패시터(8530)의 일면과 접지 사이에 평행하게 연결되며, 시리즈 커패시터의 다른 면은 웨이퍼 지지 페데스탈(8025)에 연결된다. 바이어스 전력 발생기의 출력은 인덕터(8510)의 탭(8560)에 연결된다. 탭(8560)의 위치와 가변 커패시터(8520)의 캐패시턴스는 바이어스 전력 발생기(8065)와 웨이퍼 페데스탈의 플라즈마 로드 사이에 임피던스 매칭을 제공하도록 선택된다. 가변 커패시터(8520)는 매칭을 최적화하기 위해 시스템 제어기에 의해 제어될 수도 있다. 이 경우, 평행한 인덕터 및 커패시터(8510, 8520)를 포함하는 회로는 임피던스 매칭 회로로 작 용한다. 프로세싱 동안 플라즈마 로드 임피던스에 변화를 따라가기 위해, 비록 필수적이지는 않지만, 바이어스 전력 발생기(8065)의 주파수 튜닝이 행해질 수도 있다. 탭(8560)의 위치는 매칭을 최적화하기 위해, 인위적으로 또는 시스템 제어기(8525)에 의해 선택될 수도 있다. 택일적으로, 커패시터(미도시)는 탭 위치와 접지 사이, 또는 택일적인 매칭 회로 구성으로서 RF 바이어스 발생기와 탭 포인트 사이에 연결될 수도 있다. 이러한 선택적 커패시터는 매칭을 최적화하기 위해 시스템 제어기(8525)에 의해 제어될 수도 있다.
바이어스 전압 레벨을 선택하는데 있어서의 하나의 문제점은 큰 이온 에너지가 높은 바이어스 전압 레벨을 사용하여 얻어질 수 있다는 것이며, 이는 통상적으로 높은 전력을 필요로 한다. 높은 전력은 플라즈마 플럭스(이온 밀도 또는 도즈 비율)에 기여하고, 너무 높은 도즈 비율을 초래하여, 주입된 영역의 도전성을 제어하는 것이 어렵게 한다. 이러한 높은 전력에서 도즈 비율을 제어하는 한가지 방법은 RF 바이어스 전력을 펄싱하는 것이다. 그러나, 원하는 도즈 비율 및 도전성을 얻기 위해 반복적인 펄스들의 펄스율 및 펄스 폭을 제어하는 것은 어렵다. 문제의 일부는 원하는 정션 깊이에서 이온 주입이, 바이어스 전압이 (펄스 또는 RF 버스트의 시작에서) 원하는 정션 깊이 및 이온 에너지에 대응하는 임계 전압까지 충분히 상승한 후에만 달성된다는 것이다. 이러한 문제의 해결은 바이러스 전력의 반복적인 펄싱을 피하는 것이며, 대신에 주입된 영역에서 원하는 정션 깊이 및 도전성을 갖는 이온 주입을 달성하기 위해 충분한 지속시간을 갖는 단일 펄스를 사용하는 것이다. 이는 도92의 시간 도메인 파형에 도시된다. 타이머는 RF 버스트 또는 펄스 가 필요한 지속시간(Ttimer) 동안 지속되는 것을 보장한다. 그러나, 타이머는 시쓰 전압이, 이온 주입이 필요한 깊이로 발생하는 임계 전압(Vthreshold)에 도달할 때까지 동작하지 않는다. 따라서, 도92는 시쓰 전압이 바이어스 RF 버스트(Ton)의 시점에서 몇몇 사이클 후 Vthreshold에 도달할 때까지 증가하는 것을 도시한다. 이 시점에서, 타이머가 동작되고 Ttimer의 종료, 즉 Toff에서 RF 버스트가 종료한다. 따라서, 문제는 시쓰 전압이 Vthreshold에 도달하는 시간, 즉 Ttimer가 시작하는 때를 확인하는 방법이다.
다른 문제는 Vthreshold가 시쓰에 걸쳐 발생하는 바이어스 전력 발생기(8065)의 필수적인 전력 레벨을 확인하는 방법이다.
도93은 원하는 시쓰 전압을 발생시키는 바이어스 전력 레벨을 결정하고, RF 버스트 타이머를 동작할 정도로 타겟 시쓰 전압이 도달한 때를 결정하는 제어 회로를 도시한다. 이하의 설명에서, 원하는 정션 깊이에 대응하는 타겟 바이어스 전압은 이미 결정되어 있다. 게다가, 주입을 위한 쓰레숄드 전압이 결정되었으며, 임계 전압은 타겟 바이어스 전압과 같은 의미이다. 최종적으로, 타겟 바이어스 전압으로 RF 바이어스 전력을 공급하는 지속 시간이 이미 결정되었다. RF 바이어스 전력 발생기(8065)는 RF 버스트의 시작 이후 소정의 시점을 카운트하기 시작하고 예정된 지속 시간 후에 시간이 종료하는 타이머(8670)에 의해 제어된다. 임계 비교기(8672)는 소정의 임계 전압(8676)을 갖는 피크 검출기(8674)에 의해 웨이퍼 페데스탈(8025)에서 검출된 피크-대-피크 전압들을 비교한다. 타이머(8670)는 플라즈마가 반응기 챔버 내에서 점호되었음을 나타내는 광 검출기(8678)로부터의 확정 적인 신호를 수신하는 경우 이네이블된다. 만일 광 검출기(8678)가 확정적인 신호를 보낼 경우, 타이머(8670)는 비교기(8672)가 피크-대-피크 바이어스 전압이 원하는 임계치에 도달하였다고 결정하자 마자 카운트를 시작한다. 타이머(8670)가 (예정된 지속시간 후에) 카운트를 종료하면, 바이어스 전력 발생기의 출력을 off 시키며, 결국 RF 바이어스 전력의 전류 버스트를 종결시킨다. 타이머(8670) 및 임계 비교기(8672)는 타이머 제어 루프(8680)를 구성한다.
바이어스 전력 비교기(8065)의 전력 레벨은 전압 제어 루프(8682)에 의해 제어된다. 처리 제어기(8684)(또는 처리 설계자)는 원하는 또는 "타겟" 바이어스 피크-대-피크 전압을 결정한다. 이는 임계 전압(8676)과 동일한 의미이다. 감산기(8686)는 검출기(8674)에 의해 측정된 실제 피크 바이어스 전압과 타겟 바이어스 전압 사이의 차로써 에러 값을 계산한다. 비례 적분 조절기(8688)는 이러한 에러 값에 비례 상수(k)를 곱하고 에러 값을 앞선 샘플로 적분한다. 결과는 측정된 바이어스 전압이 타겟 바이어스 전압에 더 근접하게 하는 바이어스 전력 발생기(8065)의 전력 레벨에 대한 평가된 교정이다. 이러한 평가는 전류 전력 레벨에 전류 전력 레벨에 겹쳐지며, 결과는 바이어스 전력 발생기(8065)의 전력 설정 입력으로 인가되는 평가된 전력 레벨 명령이다. 이러한 평가는 플라즈마가 점호되는 동안(즉, RF 버스트 동안) 유효하다. RF 버스트들 사이의 시간 동안, 바이어스 전력 레벨은 타겟 피크-대-피크 바이어스 전압을 평가된 바이어스 전력 레벨과 관련시키는 룩업 테이블(8690)에 따라 제어된다. 룩업 테이블은 처리 제어기(8684)로부터 타겟 바이어스 전압을 수신하여, 응답으로 평가된 바이어스 전력 레벨을 출력한다. 한 쌍의 스위치(8694, 8696)가 플라즈마 점호 광 검출기(8678)의 출력에 의해 상보적 형태로 이네블링된다. 따라서, 스위치(8694)는 스위치(8696)가 센서(8678)의 반전된 출력을 수신하는 동안 센서(8678)의 출력을 수신한다. 따라서, RF 버스트 동안, 플라즈마가 챔버에서 점호되는 경우, 비례 적분 조절기(8688)의 출력은 스위치(8694)를 통해 바이어스 발생기(8065)의 전력 설정 입력부에 인가된다. 챔버내에 어떠한 플라즈마 점호도 없는 경우, RF 버스트들 사이에, 룩업 테이블(8690)이 스위치(8696)를 통해 바이어스 전력 발생기(8065)의 전력 설정 입력부에 인가된다. 룩업 테이블(8690)의 출력은 RF 버스트의 시작에서 RF 바이어스 전력 레벨을 초기화하도록 작용하는 총 평가로서 고려되는 반면, 비례 적분 조절기의 출력은 RF 버스트 동안 바이어스 전력 레벨을 교정하도록 작용하는 실제 측정에 기초한 더욱 정확한 평가이다.
도89a의 플라즈마 이머젼 이온 주입 반응기의 한가지 문제점은 대부분의 이온 주입 프로세스가 챔버 압력에 대한 정확하고 정밀한 제어를 통해 행해져야 한다는 것이다. 이는 제어 밸브(8037)의 폐쇄된 위치로부터 제어 밸브(8037)의 주어진 회전에 대해 챔버 압력에서의 상대적으로 점진적인 변화를 필요로 한다. 다른 한편으로, 챔버 세정을 포함하는 몇몇 프로세스는 매우 높은 가스 흐름 속도(예를 들어, 세정 가스들) 및 펌프에 의한 부수적으로 높은 배기 속도를 필요로 한다. 이는 진공 제어 밸브(8037)가 큰 영역을 가질 것을 필요로 한다. 이 문제는 큰 영역으로 인해 진공 제어 밸브가, 이온 주입 동안 챔버 압력의 정밀한 제어를 위해 필요한 자신의 폐쇄된 상태로부터 소정의 회전을 위한 압력의 점진적인 변화를 제공 하지 않는다는 것이다. 사실은, 큰 영역 개구 및 플랩으로 인해, 챔버 압력의 변화는 플랩이 자신의 폐쇄된 위치로부터 회전하면서 매우 신속하며, 그 결과 플랩이 거의 폐쇄되는 매우 저압 영역에서 압력의 정밀한 제어가 매우 어렵다. 이러한 문제는 도94, 95 및 96의 진공 제어 밸브로 해결된다. 밸브는 관통하는 원형 개구부(9412)를 갖는 평판형 하우징(9410)을 포함한다. 디스크형을 갖는 회전 가능한 플랩은 하우징(9410)에 부착된 힌지(9422)에 의해 원형 개구부(9412) 내에서 지지된다. 플랩이 폐쇄된 위치에서, 플랩(9420)은 평판형 하우징(9410)과 공통 평면에 있다. 밸브로부터 플라즈마의 누설을 방지하기 위해, 회전가능한 플랩(9420)과 하우징(9410) 사이의 갭(G)은 좁은 반면, 플랩(9420)과 하우징(9410)의 두께(T)는 갭(G)에 비해 훨씬 크다. 예를 들어, 갭(G)에 대한 두께(T)의 비는 약 10:1이다. 이러한 특징은 마찰없는 동작을 이점으로 제공한다. 매우 낮은 압력 범위(즉, 플랩(9420)이 자신의 폐쇄된 위치에 있는 경우)에서 챔버 압력의 점진적인 제어를 제공하기 위해, 원뿔형 개구부(9430)가 개구부(9412)의 에지를 한정하는 하우징(9410)의 내부 표면(9440)에 제공된다. 개구부(9430) 중 일부는 다른 개구(9430)와 비교하여 (개구부(9412)의 축을 따라) 상이한 축 위치를 갖는다. 개구부의 폐쇄된 위치에서, 플랩(9420)은 실질적으로 가스 누설이 없는데, 이는 개구부(9430)가 노출되지 않기 때문이다. 플랩(9420)이 자신의 폐쇄된 위치에서 회전하기 시작하면서(즉, 이 경우, 플랩이 하우징(9410)과 공통 평면에 있음), 개구부(9430)의 적어도 일부의 작은 부분이 노출되기 시작하고 그 결과 밸브를 통한 적은 양의 가스 흐름을 가능하게 한다. 플랩(9420)이 계속하여 회전함에 따라, 플랩은 개구 (9430)의 많은 부분을 노출시킨다. 더욱이, 플랩은 개구부(9430)의 상이한 세트의 상이한 축 위치로 인한 자신의 회전의 초기 상태 동안 노출되지 않은 개구부(9430)의 다른 부분을 노출시키기 시작한다. 따라서, 플랩(9430)의 완전히 폐쇄된 위치(공통 평면)로부터의 플랩의 회전은, 플랩(9420)의 하부 에지(9420a)가 하우징(9410)의 상부 표면(9410a)에 도달할 때까지 연속적이지만 상대적으로 점진적인, 개구부(9430)를 통한 가스 흐름의 증가를 초래한다. 이러한 시점에서, 모든 개구부(9430)는 완전히 노출되어 개구부(9430)를 통한 가스 흐름이 최대이고 더 이상 증가할 수 없다. 따라서, 가스 흐름의 연속적이고 점진적인 증가는, 플랩(9420)이 자신의 완전히 폐쇄된 위치에서 플랩의 하부 에지(9420a)가 하우징 상부 표면(9410a)과 정렬되는 위치까지 회전함에 따라 달성된다(따라서 상당한 정밀도로 용이하게 제어됨). 플랩 회전 위치의 이러한 범위 내에서, 작은 전체 챔버 압력의 정밀하고 점진적인 조절이 제공된다. 플랩(9420)의 추가의 회전은 플랩(9420)의 주변과 큰 원형 개구부(9412)의 주변 사이의 환형 갭을 생성하고, 이를 통해 가스 흐름은 플랩(9420)이 연속하여 회전함에 따라 증가한다.
개구부 내부 표면(9440)의 복수의 개구(9430)는 상부 하우징 표면(9410a)을 향해 직경이 증가하도록 테이퍼링된 반원형 개구이다. 따라서, 테이퍼링된 반원형 개구(9430)는 반원뿔형을 한정한다. 그러나, 예를 들어, 반실린더형과 같은 다른 적절한 형태가 사용가능하다. 그러나, 반원뿔형의 장점은 회전 플랩 위치를 갖는 가스 흐름의 증가 속도가 회전이 진행되면서 강화될 수도 있어서, 플랩 하부 에지(9420a)가 하우징 상부 표면(9410a)을 통과하는 전이 포인트 이후에 상당히 원활한 방식으로 증가하게 한다.
원하는 정션 깊이에 따라, 웨이퍼 지지 페데스탈(8025)에 인가된 RF 바이어스 전압은 얕은 정션의 경우 상대적으로 작고(예를 들어, 500V), 깊은 정션의 경우 상대적으로 크다(예를 들어, 5,000V). 일부 응용예는 10,000V 이상의 RF 바이어스 전압을 필요로 할 수도 있다. 이렇게 큰 전압은 웨이퍼 지지 페데스탈에 아크를 유발할 수도 있다. 이러한 아크는 반응기내의 프로세스 조건을 왜곡시킨다. 웨이퍼 지지 페데스탈(8025)이 아크가 없이 예를 들어, 10,000V와 같이 높은 바이어스 전압에 견디도록 하기 위해, 웨이퍼 지지 페데스탈(8025) 내의 보이드는 C-Lec 플라스틱 주식회사에 의해 생산되는 Rexolite?와 같은 높은 파괴 전압을 갖는 유전성 충전 재료로 충전된다. 도97에 도시된 바와 같이, 웨이퍼 지지 페데스탈(8025)은 접지된 알루미늄 베이스 플레이트(9710), 알루미늄 정전 척 플레이트(9720) 및 실린더형 측벽(9730)으로 구성된다. 유전체 충전 재료(9735)는 측벽(9730)과 정전 척 플레이트(9720) 사이의 보이드를 충전한다. 유전체 충전 재료(9737)는 정전 척(9739)과 베이스 플레이트(9710) 사이의 보이드를 충전한다. RF 발생기(8065)(도97에는 미도시)로부터 RF 바이어스 전력을 이송하는 동축 RF 도전체(9739)는 정전 척 플레이트(9720)의 매칭 도전성 리셉터클(9742) 내에 견고히 고정된 좁은 실린더형 도전체 중앙 플러그(9740)에서 종료된다. 웨이퍼 리프트 핀(9744)(세 개중 하나)은 페데스탈(8025)을 통해 연장한다. 리프트 핀(9744)은 유전체 충전 재료의 서라운딩 블랑켓(9746)에 의해 정전 척 플레이트(9720) 내에 견고하게 유지된다. 리프트 핀(9744)의 가이드(9750)를 수용하는 보이드(9748)는 보이드(9748) 내의 아크의 발생을 최소화시키기 위해 오로지 베이스 플레이트(9710) 내에 위치된다. 도98을 참조하면, 베이스 플레이트(9710) 및 정전 척 플레이트(9720)는 함께 유지시키는 볼트(9754)(수개 중 하나)는 볼트(9754) 주위의 소정의 보이드를 없애기 위해 완전히 캡슐화되며, 유전층(9756, 9758)은 볼트(9754)의 노출된 부분을 감싼다. 전술한 특징은 웨이퍼 지지 페데스탈이 아크의 발생 없이 10,000V 이상의 RF 바이어스 전압을 견딜 수 있게 하는 것으로 알려졌다.
도99는 도79, 83a, 83b, 84, 85, 88, 89a 또는 93에 도시된 형태의 플라즈마 이머젼 이온 주입 반응기(9910)를 도시한다. 수소화물 함유 라디컬 또는 산호 함유 가스(예를 들어, 02)생성하는 (불소 함유 가스들 또는 NF3 같은 플소 함유 라디컬 및/또는 수소화물 함유 가스들(예를 들어, H2 또는 수소 화합물)과 같은 다른 세정 가스들 같은) 챔버 세정 라디컬 또는 가스들의 독립된 소스(9920)는 챔버 세정 동작 동안 사용하기 위해 주입 반응기(9910)에 결합된다. 후주입 어닐 챔버(9930) 및 이온 빔 주입기(9940)는 도99의 시스템에 또한 포함된다. 게다가, 광 계측 챔버(9950)가 또한 포함될 수도 있다. 더욱이, 주입 후 그리고 어닐링 전에 포토레지스트 마스크를 제거하기 위해, 포토레지스트 열처리(pyrolization) 챔버(9952)가 시스템에 포함될 수도 있다. 택일적으로, 이러한 과정은 산소 가스를 포함한 독립된 자기 세정 소스를 사용함으로써, 및/또는 산소 가스와 함께 RF 플라즈마 소스 전력 및 선택적인 바이어스 전력을 사용하여 플라즈마 이머젼 주입 반응기(9910) 내에서 행해진다.
도99의 시스템은 웨이퍼 세정을 실행하기 위해 습식 세정 챔버(9956)를 또한 포함한다. 습식 세정 챔버(9956)는 예를 들어, HF와 같은 잘 알려진 습식 세정 종을 사용할 수도 있다. 습식 세정 챔버(9956)는 웨이퍼의 전주입 또는 후주입 세정에 사용될 수도 있다. 습식 세정 챔버(9956)의 전주입 세정은 프로세싱 동작 사이에 웨이퍼 상에 축적될 수 있는 얇은 자연 산화물을 제거하기 위한 것이다. 습식 세정 챔버(9956)의 후주입 세정은 포토레지스트 스트립 챔버(9952) 대신 웨이퍼로부터 포토레지스트를 제거하기 위한 것이다. 도99의 시스템은 도79, 83a, 83b, 84, 85, 88, 89a 또는 93에 도시된 형태의 제2, (제3, 제4 또는 또다른) 플라즈마 이머젼 이온 주입 반응기를 더 포함할 수도 있다. 일 실시예에서, 제1 PIII 반응기(9910)는 제1 종을 이온 주입하기 위해 구성될 수도 있고, 제2 PIII 반응기(9958)는 제2 종을 이온 주입하기 위해 구성될 수 있어서, 단일의 PIII 반응기는 각각의 웨이퍼에 두 개의 종을 주입하기위해 재구성될 필요가 없다. 더욱이, 제1 및 제2 종은 반대의 반도체 도전형 타입(예를 들어, 붕소 및 인)에 대한 도펀트 불순물 일 수도 있으며, 이 경우, 제2 PIII 반응기(9958)는 빔 주입 툴(9940)을 대신하여 사용될 수도 있다. 또는 두 종류의 N-형 도펀트들(인 및 비소)이 P-형 도펀트(붕소)에 부가하여 주입될 수도 있으며, 이 경우, 예를 들어, 붕소 주입은 제1 PIII 반응기(9910)에 의해 행해지며, 비소 주입은 이온 빔 툴(9940)에서 행해지며, 인 주입은 제2 PIII 반응기(9958)에서 행해진다. 다른 예에서, 제2 (또는 또다른) PIII 반응기가 시스템의 처리량을 증가시키기 위해 동일한 종을 주입하기 위해 구성될 수도 있다.
웨이퍼 이동 로봇식 핸들러(9945)는 플라즈마 이온 주입 반응기(9910), 열처리 챔버(9930), 이온 빔 주입기(9940), 포토레지스트 열처리 챔버(9952), 광 계측 챔버(9950), 습식 세정 챔버(9956) 및 제2 PIII 반응기(9958) 사이에서 웨이퍼를 이동시킨다. 만일 도99의 전체 시스템이 단일 툴 또는 프레임에 제공된다면, 핸들러(9945)는 툴의 일부이고 동일한 플레임에 지지된다. 그러나, 도99의 시스템의 구성 요소 중 일부가 공장의 독립된 장소에 위치한 경우, 핸들러(9945)는 알려진 방식으로, 공장내의 툴들 사이에서 웨이퍼를 이동시키는 각각의 툴 또는 플레임 및 공장 인터페이스 내에서 각각의 핸들러로 구성된다. 따라서, 도99의 시스템의 일부 또는 모든 구성 요소는 자신 고유의 핸들러(9945)를 갖는 단일 툴에 제공될 수도 있다. 택일적으로 도99의 시스템의 일부 또는 모든 구성 요소는 각각의 툴들에 제공될 수도 있으며, 이 경우, 웨이퍼 핸들러(9945)는 공장 인터페이스를 포함한다.
프로세스 제어기(8075)는 광 계측 챔버(9950)로부터 이미 주입된 웨이퍼의 측정치를 수신하고, 추후의 웨이퍼에 대한 플라즈마 주입 반응기(9910)에서의 주입 프로세스를 조절할 수 있다. 프로세스 제어기(8075)는 프로세스 교정 및 제어를 위해 설정된 데이터 탐색 기술을 사용할 수 있다. 이온 빔 주입기(9940)의 포함은 시스템이 이온 빔 주입기(9940)에 의해 (비소와 같은) 무거운 원소의 주입 및 플라즈마 이온 주입 반응기(9910)에 의한 (붕소 또는 인과 같은) 가벼운 원소의 주입을 포함하여, 반도체 제조에 요구되는 모든 이온 주입 단계를 실행할 수 있게 한다. 도99의 시스템은 간단히 구성될 수도 있다. 예를 들어, 제1 버젼은 단지 챔버 세정 라디컬 소스(9920), PIII 반응기(9910) 및 프로세스 제어기(8075)로 구성된다. 제2 버젼은 제1 버젼의 전술한 구성 요소 및 추가로 광 계측 툴(9950)을 포함한다. 제3 버젼은 제2 버젼의 전술한 구성 요소 및 추가로 이온 빔 주입기(9940) 및/또는 제2 PIII 반응기(9958)를 포함한다. 제4 버젼은 전술한 제3 버젼 및 추가로 열처리 챔버(9930)를 포함한다.
토로이달 소스의 이온 주입 성능:
도85의 플라즈마 이머젼 이온 주입(PIII) 반응기는 단일 반응기에서 지금까지 발견할 수 없었던 많은 장점을 가진다. 특히, 도85의 PIII 반응기는 (낮은 플라즈마 전위를 갖기 때문에) 낮은 최소 이온 주입 에너지, (재순환하는 플라즈마가 일반적으로 접지 리턴을 제공하기 위해 챔버 표면과 상호작용할 필요가 없기 때문에) 낮은 오염도, (낮은 불소 분해도를 나타내므로) 원하지 않는 에칭에 대한 매우 양호한 조절, 및 (소스 전력에 대한 플라즈마 전자 밀도의 거의 선형 응답성을 나타내므로) 이온 주입 플럭스에 대해 우수한 제어 성능을 갖는다.
이온 주입 플럭스에 대한 우수한 제어 성능의 장점은 도100에 그래프로 도시되어 있으며, 여기서, 전자 밀도는 도85의 토로이달 소스 PIII 반응기 및 도79에 도시된 형태의 유도성 결합 PIII 반응기에 대해 소스 전력 레벨의 함수로서 표시된다. 전자 밀도는 플라즈마 이온 밀도의 지표이다. 도79의 PIII 반응기의 유도성 결합 소스는 인가된 소스 전력에 대해 PICP인 임계 전력 레벨에서 전자 밀도에서의 갑작스런 증가를 나타내는 전자 밀도의 매우 비선형으로 응답하는 경향이 있으며, 임계 전력 레벨 이하에서 경사도(응답)는 무시할 수 있으며, 그 이상에서는 경사도(응답)은 너무 가팔라서 전자 밀도(따라서 이온 주입 플럭스 또는 도즈)는 소정의 정밀도로 제어하기가 거의 불가능하다. 토로이달 소스와 대조적으로, 도85의 PIII 반응기는 임계 전력 레벨(PTH) 이상의 소스 전력 레벨에 대해 전자 밀도의 통상적으로 선형 및 점진적 응답을 가지며, 그 결과 이온 주입 플럭스(도즈)가 심지어 매우 높은 소스 전력 레벨에서도 매우 정밀한 정확도로 용이하게 제어된다. 도85의 토로이달 소스 PIII 반응기의 플라즈마 소스 전력 레벨은 각각의 재진입 도관(8150, 8151)에 결합된 두 개의 상이한 소스 전력 발생기(8055, 8056)의 함수임을 주목해야 한다. 비록 두 소프 전력 발생기(8055, 8056) 각각의 주파수가 주파수(13.56MHz)에서 +100kHz 및 -100kHz 만큼 차이가 있지만, 소스 전력 주파수는 13.56MHz이며, 그 결과 소스(8110)에 의해 설정된 두 개의 토로이달 플라즈마 경로는 약 200kHz 만큼 서로로부터 디튜닝됨으로써 서로로부터 디커플링된다. 그러나, 이들의 전력 레벨은 일반적으로 거의 동일하다. 동작 주파수는 여기서 설명된 영역에 한정되지 않으며, 다른 RF 주파수 및 주파수 차이가 RF 소스 전력 발생기(8055, 8056) 쌍에 대해 선택될 수도 있다.
도85의 PIII 반응기의 낮은 불소 해리도의 장점은 BF3와 같은 불소 함유 도펀트 가스가 사용될 경우 발생할 수 있는 원하지 않는 에칭을 방지한다는 점에서 중요하다. 문제는, 만일 BF3 플라즈마 부산물이 유리 불소를 포함하여, 간단한 불소 화합물로 해리될 경우, 에칭 속도가 제어불가능하게 증가한다는 것이다. 이러 한 문제는 심지어 높은 전력 레벨 및 높은 플라즈마 밀도에서 불소 해리도를 제한함으로써 도85의 PIII 반응기에서 해결될 수 있다. 이러한 장점은 도101에 그래프로 도시되어 있으며, 여기서 유리 불소 밀도(불소 해리도의 지표)는 비교를 위해 도85의 PIII 반응기 및 도79의 유도성 결합 반응기에 대해 소스 전력의 함수로서 표시된다. 도79의 유도성 결합 반응기는 PIDS인 특정 소스 전력 레벨 이상의 유리 불소 밀도에서 현저히 갑작스런 증가를 나타내며, 특정 소스 전력 레벨 이상에서 해리도는 매우 높은 변화 속도로 증가하며, 따라서 제어하기가 어렵다. 대조적으로, 도85의 PIII 반응기는 일반적으로 선형성, 및 임계 소스 전력(PTH) 이상에서 거의 무시(매우 점진적인)할 수 있는 유리 불소 밀도의 증가를 나타낸다. 그 결과, 도85의 토로이달 소스 PIII 반응기에서 불소 함유 도펀트 가스를 사용하는 이온 주입 동안에 원하지 않는 에칭은 거의 발생하니 않는다. 에칭은 또한 웨이퍼의 온도가 100℃, 또는 더욱 바람직하게 60℃, 또는 가장 바람직하게 20℃ 이하와 같은 낮은 온도로 유지될 경우, 더욱 최소화된다. 이를 위해, 웨이퍼 페데스탈(8025)은 온도 제어 냉각 장치(8025a) 및/또는 웨이퍼 지지 페데스탈(8025)의 표면 상부에 유지된 반도체 웨이퍼 또는 워크피스의 온도를 제어하는 가열 장치(8025b)를 구비하고 웨이퍼를 정전기적으로 유지 및 떼어지게 하는 정전 척일 수도 있다. (도85의 토로이달 소스 PIII 반응기로 구현될 수도 있는) 일부 작은 잔여 에칭이 행해질 수 있으며, 이온 주입 동안 웨이퍼 상에 원하지 않는 막의 증착을 실질적으로 방지할 수도 있다. 이온 주입 동안, 일부 플라즈마 부산물은 이온 주입 동안 웨이퍼 표면에 막으로서 증착될 수도 있다. 이는 주입 프로세스가 매우 낮은 이온 에너지(낮은 바이어스 전압) 및 특히 수소화물의 도펀트 종(예를 들어, 붕소 수소화물 또는 인 수소화물)으로 이뤄진 도펀트 가스를 사용하여 실행될 경우 특히 해당된다. 수소화물 도펀트(예를 들어, B2H6, PH3)를 통상적으로 사용하여 발생하는 원하지 않는 증착을 추가로 감소시키기 위해, 프로세스의 일 특징은 수소 및/또는 헬륨을 도펀트 가스에 부가하여 웨이퍼 표면의 증착을 배제하는 것이다. 그러나, 이러한 원하지 않는 증착에 대처하기 위한 필수적인 에칭 속도는 도85의 토로이달 소스 PIII 반응기에 의해 나타난 바와 같이 매우 낮다.
낮은 최소 이온 주입 에너지의 장점은 정션 깊이의 영역을 증가시키는 것인데, 이는 도85의 PIII 반응기가 (영역의 더 낮은 하한을 감소시킴으로써) 가능하다. 이러한 장점은 도102에 그래프로 도시되며, 여기서, 플라즈마 전위는 비교를 위해 도85의 토로이달 소스 PIII 반응기 및 도83a의 유도성 결합 반응기에 대한 플라즈마 소스 전력의 함수로 표시된다. 플라즈마 전위는 어떠한 바이어스 전압도 웨이퍼 상에 없이 플라즈마 전계로 인해 웨이퍼 표면의 이온에 대한 전위이며, 따라서, 이온이 주입될 수 있는 최소 에너지의 지표이다. 도102는 소스 전력이 도83a의 유도성 결합 PIII 반응기에서 증가함에 따라 플라즈마 전위가 무한대로 증가하는 것을 도시하며, 그 결과 이러한 반응기에서 최소 주입 에너지는 높은 플라즈마 밀도 또는 이온 주입 플럭스 레벨에서 상당히 증가(주입 에너지/깊이 영역은 감소됨)된다. 대조적으로, 임계 전력(PTH) 이상에서, 도85의 토로이달 소스 PIII 반응기는 소스 전력이 증가함에 따라 매우 점진적인 (거의 미세한) 증가를 나타내며, 그 결과, 플라즈마 전위는 심지어 높은 플라즈마 소스 전력 또는 이온 밀도(높은 이온 주입 플럭스)에서도 매우 낮다. 따라서, 플라즈마 이온 에너지(이온 주입 깊이)의 범위는 도85의 PIII 반응기에서 더 큰데, 이는 최소 에너지가 심지어 높은 이온 플럭스 레벨에서 매우 낮게 유지되기 때문이다.
도83의 용량성 결합 PIII 반응기에서 플라즈마 전위는 소스 전력 주파수를 증가시킴으로써 감소될 수 있다. 그러나, 이는 정션 깊이 및 대응하는 이온 에너지가 감소됨에 따라 더욱 어렵다. 예를 들어, (0.5kV 붕소 주입 에너지의 경우) 500eV이하의 플라즈마 전위에 도달하기 위해, 소스 전력 주파수는 VHF 영역 및 가능하게 VHF 영역 이상으로 잘 증가할 필요가 있다. 대조적으로, 도85의 토로이달 소스 PIII 반응기의 소스 전력 주파수는 낮은 플라즈마 전위를 제공하면서 HF 영역(예를 들어, 13MHz)에 있을 수 있다.
도83a의 용량성 결합 소스 PIII 반응기에 비해 도85의 토로이달 소스 PIII 반응기가 갖는 또다른 장점은 토로이달 소스 PIII 반응기가 더 얇은 플라즈마 시쓰를 갖는 다는 것이며, 여기서 이온 주입 에너지 분포를 왜곡시키는 경향이 있는 이온들의 비례적으로 적은 비탄성 충돌이 발생한다. 이렇게 더 얇은 시쓰는 거의 충돌이 없을 수도 있다. 대조적으로, 도83a의 용량성 결합 소스 PIII 반응기는 훨씬 더 두꺼운 시쓰를 생성하는 경향이 있는 HF 또는 VHF RF 소스에 의해 시쓰에서 플라즈마 이온을 발생시킨다. 더 두꺼운 시쓰는 이온 에너지 분포를 현저히 왜곡시키는 훨씬 더 많은 충돌을 발생시킨다. 결과적으로 이온 주입된 정션 프로파일이 훨씬 적게 급변한다. 이러한 문제는 낮은 이온 에너지(더 낮게 주입된 정션)에서 더 부각되며, 여기서 더 두꺼운 시쓰에서의 충돌에 의해 발생된 에너지의 왜곡은 전체 이온 에너지의 훨씬 더 큰 비율을 나타낸다. 따라서, 도85의 토로이달 소스 PIII 반응기는 이온 주입 에너지에 비해 더 정밀한 제어를 하며, 특히 더욱 진보된 기술(더 작은 피쳐 크기)을 필요로 하는 더욱 얕은 정션의 경우, 더 많이 급변하는 주입된 정션을 제공할 수 있다.
도85의 토로이달 소스 PIII 반응기의 관련된 장점은 이 반응기가 도83a의 용량성 결합 PIII 반응기에 비해 훨씬 더 낮은 챔버 압력에서 동작할 수 있다는 것이다. 도83a의 용량성 결합 PIII 반응기는 시쓰에서 플라즈마 이온을 발생시키기 위해 더 두꺼운 시쓰를 필요로 하며, 이는 차례로 더 높은 챔버 압력(예를 들어, 10-100mT)을 필요로 한다. 도85의 토로이달 소스 PIII 반응기는 바이어스 전력을 갖는 시쓰 근처에서 플라즈마를 발생시킬 필요가 없으며, 그 결과 많은 응용에서 더얇은 시쓰(거의 충돌 없음)를 사용하여 동작할 수 있으며, 따라서 챔버 압력은 매우 낮을 수 있다(예를 들어, 1-3mT). 이는 토로이달 소스 PIII 반응기에서 넓은 이온 주입 프로세스 윈도우라는 장점을 갖는다. 그러나, 상부 표면 및 수직 측벽을 갖는 폴리실리콘 게이트와 같은 3차원 구조의 도핑에 대해 논의될 것과 같이, 시쓰에서 도펀트 이온을 분산시키는 속도는 이온이 폴리실리콘 게이트의 상부 표면뿐만 아니라 측벽도 주입하게 한다. 이러한 프로세스는 컨포멀 이온 주입으로 일컬어진다. 컨포멀 이온 주입은 게이트를 보다 등방성으로 도핑하고, 이하에서 논의되듯이, 게이트-대-얇은 산화물 인터페이스에서 캐리어 공핍을 감소시키는 장점을 갖는다. 따라서, 분산된 일부가 폴리실리콘 게이트의 측벽으로 주입하도록 순 수하게 수직 궤도로부터 도펀트 이온의 일부를 멀리 분산시키기 위해 시쓰가 소정의 두께를 갖는 것이 바람직하다. (대조적으로, 이온 빔 주입기에서, 이러한 분산은 특징적이지 않으며, 따라서 단지 게이트 상부 표면이 주입된다.) 유한한 두께(따라서, 유한한 충돌 단면)를 갖는 플라즈마 시쓰의 또다른 장점은 순수한 수직 궤도(즉, 단지 적은 각의 편향)로부터 모든 이온의 소정의 매우 적은 분산이 웨이퍼 결정의 축을 따른 주입을 방지하기 위한 경우 바람직할 수도 있으며, 이는 채널링 또는 너무 깊거나 급변하는 정도가 적은 정션 프로파일인 주입을 유도할 수 있다. 또한, 이온의 분산은 폴리실리콘 게이트 하부에 도펀트의 배치를 유도한다. 이는 이하에서 더욱 상세하게 설명되듯이, 폴리실리콘 게이트 하부 및 소스-드레인 연장 영역 하부의 도펀트 중첩을 제어함으로써 CMOS 장치 성능을 최적화하는데 매우 유용하다. 도85의 토로이달 소스 PIII 반응기에 의해 나타난 낮은 오염도는 근본적으로 챔버 표면과 상호작용하지 않는 대신, 표면을 향하기 보다는 챔버 표면과 일반적으로 평행한 토로이달 경로에서 진동 또는 순환기 때문이다. 특히, 플라즈마 전류가 뒤따르는 토로이달 경로의 쌍은 도85의 각각의 재진입 도관(8150, 8151)의 표면에 평행하며, 천정(8015) 및 웨이퍼 지지 페데스탈(8025)의 내부 표면에 평행하다. 대조적으로, 플라즈마 소스 전력은 천정 및 챔버 벽을 향해 곧바로 향하는 도83a의 용량성 결합 PIII 반응기 내에 전계를 발생시킨다.
도85의 토로이달 PIII 반응기에서, 챔버 표면을 향해 곧바로 향하는 현저한 전계는 웨이퍼 지지 페데스탈(8025)에 인가된 바이어스 전압에 의해 생성되지만, 이러한 전계는 도85의 실시예에서 플라즈마를 현저하게 발생시키지 않는다. 바이 어스 전압이 D.C. (또는 펄싱된 D.C.) 바이어스 전압인 반면, 도85의 실시예에서 바이어스 전압은 RF 전압이다. RF 바이어스 전압의 주파수는 웨이퍼 표면에서 플라즈마 시쓰가 플라즈마 발생에 현저하게 관여하지 않도록 현저히 낮을 수 있다. 따라서, 도85의 토로이달 소스 PIII 반응기에서의 플라즈마 발생은 내부 챔버 표면에 일반적으로 평행한 플라즈마 전류만을 발생시키며, 결국 챔버 표면과 상호작용을 거의 하지 않으며 오염물을 거의 생성하지 않는다.
이온 주입 프로세스의 금속 오염물의 추가의 감소는 이온 주입 프로세스를 실행하기에 앞서 모든 챔버 표면 상에 패시베이션층을 우선 증착함으로써 달성된다. 패시베이션층은 실리콘 이산화물, 실리콘 질화물, 실리콘, 실리콘 탄화물, 실리콘 수소화물, 실리콘 불화물, 붕소 또는 인 또는 비소 도핑된 실리콘, 붕소 또는 인 또는 비소 도핑된 실리콘 탄화물, 붕소 또는 인 또는 비소 도핑된 실리콘 산화물과 같은 실리콘 함유 층일 수도 있다. 택일적으로, 패시베이션은 플루오르카본 또는 하이드로카본 또는 하이드로플루오르카본 막일 수도 있다. 게르마늄의 화합물은 또한 패시베이션을 위해 사용될 수도 있다. 택일적으로, 패시베이션 층은 BF3, B2H6, PF3, PF5, PH3, AsF3 또는 AsH3와 같은 도펀드 선구체 가스의 화합물의 분해에 의해 형성된 붕소, 인, 비소 또는 안티몬과 같은 도펀트 함유 층일 수도 있다. 연이은 플라즈마 이머젼 주입 프로세스 단계에서 사용될 가스 또는 가스들과 유사한 가스(들)을 사용하는 소스 가스 또는 소스 가스 혼합물을 사용하여 패시베이션층을 형성하는 것은 장점을 가질 수도 있다. (이는 연이은 주입 프로세스 단 계에 의해 패시베이션 층의 원하지 않는 에칭을 감소시킬 수도 있다.) 택일적으로, 예를 들어, BF3+B2H6, PH3+PF3, AsF3+AsH3, SiF4+SiH4, 또는 GeF4+GeH4와 같이, 패시베이션 층에 포함된 불소화물 및/또는 수소화물을 최소화하기 위해 특정 가스의 불소화물 및 수소화물을 결합하는 것은 장점을 가질 수도 있다.
도85의 토로이달 소스 PIII 반응기의 RF 바이어스 주파수는 현저히 낮아서 플라즈마 소스 전력 애플리케이터(8110, 8111)에 의한 플라즈마 발생에 영향을 주지 않은 반면, 또한 플라즈마 시쓰의 이온이 시쓰 진동에 뒤이어 발생하게 할 만큼 충분히 낮아서, 압력 및 시쓰 두께에 따라, 시쓰에 인가된 RF 바이어스 전력의 전체 피크-대-피크에 동일한 정도까지의 운동 에너지를 필요로 한다. 이는 특정 이온 에너지 또는 주입 깊이를 생성하기 위해 필요한 RF 바이어스 전력의 양을 감소시킨다. 다른 한편, RF 바이어스 주파수는 웨이퍼 지지 페데스탈(8025), 챔버 내부 벽 및 그 자체의 웨이퍼상의 유전층 양단의 현저한 전압 강하를 방지할 만큼 충분히 높다. 이는 특히 매우 얕은 정션의 이온 주입에서 중요하며, 여기서 RF 바이어스 전압은 (예를 들어) 100옹스트롬 정션 깊이에 대해 약 150V와 같이 상응하게 작다. (예를 들어) 시쓰 양단의 전체 150V로부터 50V의 RF 전압 강하는 용납되지 않을 수도 있으며, 이는 전체 시쓰 전압의 1/3일 수 있다. 따라서, RF 바이어스 주파수는 전체 RF 바이어스 전압의 10% 보다 작은 정도의 이러한 층 양단의 전압 강하를 한정하기 위해 유전층 양단의 용량성 리액턴스를 감소시킬 만큼 충분히 높다. 시쓰 진동을 뒤따르는 이온에 대해서는 충분히 낮은 반면, 후자의 요구를 충족시킬 만큼 충분히 높은 주파수는 100kHz 내지 10MHz, 및 보다 선택적으로는 500kHz SOWL 5MHz, 그리고 더욱 선택적으로는 약 2MHz의 범위에 있다. 웨이퍼 페데스털 양단의 용량성 전압 강하를 감소시키는 장점은 페데스탈에 인가된 전압으로부터 더욱 정확하게 평가될 수 있다. 이러한 용량성 전압 강하는 웨이퍼의 전방 또는 후방, 웨이퍼 페데스탈의 상부, 및 (정전척의 경우) 척의 상부의 유전층 상의 유전층들 양단에서 발생한다.
도85의 토로이달 PIII 반응기에 의해 발생한 이온 주입 결과는, PIII 반응기보다 더 느린 드리프트 모드에서 동작되는 통상의 빔 주입기로부터 얻어진 결과와 바람직하게 비교된다. 도103을 참조하면, 곡선"A" 및 "a"는 0.5keV의 붕소 등가 에너지에 대한 깊이의 함수로서 웨이퍼 결정에서의 도펀트(붕소) 체적 농도의 플롯이다. (이하에서 설명되듯이, 빔 주입기와 동일한 이온 에너지를 달성하기 위해, PIII 반응기의 바이어스 전압은 빔 주입기의 가속 전압의 두 배이어야 한다.) 심지어 PIII 반응기(곡선"A")가 빔 주입기(곡선"B")보다 4배 빠르지만, 주입기 프로파일은 거의 동일한데, (도펀트 체적 농도의) 디케이드당 약 3나노미터의 동일한 정션 급준성(정션 깊이의 변화) 및 정션 깊이를 갖는다. 곡선("B" 및 "b")는 2keV의 붕소 등가 에너지에서 PIII 반응기 결과("B")를 통상의 빔 주입기("b")와 비교하는데, 정션의 계면 급준성(abruptness) 및 정션 깊이(약 300옹스트롬)가 두 경우 동일하다. 곡선("C" 및 "c")은 PIII 반응기 결과("C")를 3.5keV의 붕소 등가 에너지에서의 통상의 빔 주입기("c")의 결과와 비교하는데, 정션 깊이(약 500 옹스트롬)가 두 경우 동일하다.
도103은 PIII 반응기 성능을 드리프트 모드(여기서 빔 전압은 원하는 정션 깊이에 대응함)에서 동작하는 통상의 빔 주입기와 비교한다. 드리프트 모드는 빔 플럭스가 낮은 빔 에너지에서 낮기 때문에 매우 느리다. 이는 훨씬 높은 빔 전압을 사용하여 어드레싱되며, 웨이퍼에 충격을 가하지 전에 빔을 올바른 에너지까지 감소시킨다. 감소 프로세스는 완료되지 않고, 따라서 에너지 "오염" 꼬리(도104의 곡선"A")를 남기는데, 이는 상당한 계면 급준성을 갖는 양호한 주입 프로파일까지 급속 열처리함으로써 감소(도104의 곡선 "B")될 수 있다. 그러나, 더욱 활성화되어 주입된 도펀트 농도는 국부화된 용해를 사용하는 동적 표면 어닐링 프로세스 또는 매우 짧은 지속 시간 동안 거의 용해 온도를 사용하여 달성될 수 있다. 동적 어닐링 프로세스는 도105의 곡선 "C"의 에너지 오염 꼬리와 같은 에너지 오염 꼬리를 감소시키지 않는다. 비교하면, 바이어스 전압이 원하는 주입 깊이에 대응하지 않기 때문에, 도85의 토로이달 소스 PIII 반응기는 감소 프로세스를 필요로하지 않으며, 그 결과 어떠한 에너지 오염 꼬리(도105의 곡선 "D")도 없다. 따라서, PIII 반응기는 매우 급격한 현저히 얕은 정션 프로파일을 형성하기 위해 동적 표면 어닐 프로세스를 사용할 수 있는 반면, 감소 모드에서 통상의 빔 주입 동작은 그렇지 않다. 동적 표면 어닐링 프로세스는 웨이퍼 표면의 영역을 국부적으로 매우 짧은 시간 주기(예를 들어, 나노 초 내지 수십 밀리초) 동안 용해 온도(예를 들어, 100 내지 500℃)로 가열하는 것으로 구성된다.
도106은 얼마나 많은 도펀트 농도가 동적 표면 어닐링 프로세스를 사용하여 얻어질 수 있는 지를 나타낸다. 도106의 곡선("A")는 빔 주입기 및 1050℃에서 웨 이퍼의 급속 열처리를 사용하는 정션 깊이의 함수로서 스퀘어당 오옴으로 웨이퍼의 저항을 나타낸다. 도펀트의 농도는 세제곱 센티미터당 10E20에 달했다. 도106의 곡선("B")은 도85의 토로이달 소스 PIII 반응기 및 1300℃의 온도에서 주입 후에 동적 표면 어닐링 프로세스를 사용하는 정션 깊이의 함수로서 스퀘어당 오옴으로 웨이퍼의 저항을 나타낸다. 도펀트의 농도는 동적 표면 어닐링 후에 5×1020에 달했거나, 급속 열 어닐링의 경우의 5배에 도달하였다. 도107은 동적 표면 어닐링 동안 주입된 도펀트 프로파일 변화가 얼마나 작은 지를 나타낸다. 도107의 곡선("A")은 어닐링 전의 도펀트 분포이며, 도107의 곡선("B")는 어닐링 후의 도펀트 분포이다. 동적 표면 어닐링 프로세스는 도펀트가 10Å이하로 확산하게 하며, 이는 3.5nm/디케이드 보다 작은 정션 계면 급준성에 악영향을 미치지 않는다. 도펀트 확산을 최소화하기 위한 동적 표면 어닐링 프로세스의 이러한 경향은 현저히 얕은 정션의 형성을 용이하게 한다. 더욱 얕은 정션은 (소수-대-드레인 채널 길이가 더 고속인 장치에서 감소함에 따라) 소스-대-드레인 누설 전류를 방지하기 위해 요구된다. 다른 한편으로, 얕은 정션은 (저항 증가를 방지하기 위해) 동적 표면 어닐링으로 가장 잘 실현될 수 있는 더 높은 활성 도펀트 농도를 필요로 한다. 본 명세서에서 설명되었듯이, 정션 깊이는 웨이퍼의 반도체 결정에서 격자 결함을 생성하기 위해 웨이퍼가 (실리콘 또는 게르마늄 이온과 같은) 이온들과의 충돌하는 웨이퍼 비정질화 단계를 실행함으로써 감소될 수 있다. 우리는 낮은 저항(스퀘어당 500오옴), 현저히 얕은 정션 깊이(185Å) 및 매우 가파른 계면 급준성(4nm/디케 이드)에 대응하는 높은 도펀트 농도를 갖는 정션을 주입 및 어닐링하였다. 몇몇 경우, 비정질 또는 이온 충돌 프로세스의 깊이는 도펀트 주입 정션 깊이 이하로 연장할 수도 있다. 예를 들어, 도85의 PIII 반응기에서 10kV 피크-대-피크 바이어스 전압 및 SiF4를 사용하는 비정질화는 약 150옹스트롬의 깊이까지 비정질화된 층을 형성하는 반면, 도펀트(붕소) 이온은 약 100옹스트롬의 깊이까지만 1000 피트-대-피크 전압 시쓰(바이어스) 전압 주입에 걸쳐 가속된다.
도108은 토로이달 소스 PIII 반응기(좌측 방향)에 대한 바이어스 전압 및 정션 깊이의 함수로서 이온 빔 주입기(우측 방향)에 대한 빔 전압을 도시한다. PIII 반응기 및 빔 주입기는 PIII 반응기 바이어스 전압이 빔 전압의 두 배일 경우 동일한 결과를 발생시킨다.
적용예:
PIII 반응기의 기본적인 응용은 반도체 결정에서 PN 정션을 형성하는 것이다. 도109 및 110은 P-채널 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)의 제조에서 도펀트 불순물의 증착의 다양한 단계를 도시한다. 도109를 참조하면, 반도체(예를 들어, 실리콘) 웨이퍼의 영역(9960)은 비소 또는 인과 같은 N-형 도전성 불순물로 도핑될 수도 있는데, 영역(9960)은 도전형을 나타내기 위해 도109의 도면에서 "n"으로 표기된다. 매우 얇은 실리콘 산화물층(9962)은 초과 n-형 영역(9960)을 포함하는 웨이퍼의 표면에 증착된다. 다결정 실리콘 게이트(9964)는 PIII 반응기에서 붕소로 도핑된 블랑켓 폴리실리콘층으로부터 얇은 산화물층(9962) 위에 형성된다. 게이트(9964)를 형성한 후, p-형 도펀트는 소스 및 드레인 연장부(9972 및 9973)를 형성하기 위해 PIII 반응기에 주입된다. (예를 들어) 실리콘 이산화물 및/또는 실리콘 질화물유전체 재료의 스페이서층(9966)은 게이트(9964)의 대향한 수직한 두 면(9964a, 9964b)을 따라 형성된다. (예를 들어) BF3 또는 B2H6로 구성된 프로세스 가스를 사용하는 도85의 PIII 반응기를 사용하여, 붕소가 전체 N-형 영역(9960)에 주입된다. 스페이서층 마스크는 붕소로부터 자신 하부의 영역을 마스킹함으로써, P-형 도전성 소스 및 드레인 콘택 영역(9968, 9969)이 도110에 도시된 바와 같이, 게이트(9964)의 전체 면에 형성된다. 이러한 단계는 (도85의 RF 바이어스 전력 발생기(8065)에 의해 제어된) RF 바이어스 전압에 대해 2 내지 10kVpp 범위의 붕소 함유 종 에너지를 사용하여 실행된다. 도108의 예에 따라, 도85의 PIII 반응기의 웨이퍼 페데스탈(8025)에 대한 RF 바이어스 전압은 원하는 붕소 에너지의 두 배이다. 주입은 제곱 센티미터당 5×1015원자를 초과하는 붕소의 표면 농도를 얻기 위해 (도85의 RF 소스 전력 발생기(8055, 8056)에 의해 제어된) 충분한 시간 동안 충분한 이온 플럭스 또는 이온 밀도로 실행된다. 게이트(9964)에서의 붕소의 농도는 (예를 들어, 상부에 포토레지스트층을 증착하여) 소스 및 드레인 콘택(9968, 9969)을 마스킹하고 게이트(9964)의 붕소의 농도가 원하는 레벨(1×1016원자/세제곱 센티미터)에 도달할 때까지 추가의 붕소 주입 단계를 실행함으로써 제곱 센티미터당 1×1016원자로 증가된다. 소스 및 드레인 콘택(9968, 9969)은 (게이트(9964) 처럼) 더 높은 도펀트 농도로 증가하지 않는데, 이는 더 높은 도펀트 농도가 각각의 콘택(9968, 9969) 상에 (나중 단계 동안) 금속 실리사이드층의 형성에 적합하지 않을 수도 있기 때문이다. 그러나, 게이트(9964)는 게이트(9964)와 얇은 실리콘 산화물층(9962) 사이에서 인터페이스 근처에 게이트(9964)에 캐리어 공핍을 감소시키기 위해 이러한 더 높은 도펀트 농도로 증가되어야 한다. 게이트에서 이러한 캐리어 공핍은 트랜지스터의 스위칭 속도를 초과할 것이다. 게이트에서의 도펀트 프로파일은 얇은 산화물층(9962)으로 또는 얇은 산화물층(9962) 하부의 소스-대-드레인 채널로 도펀트 주입없이 얇은 산화물층(9962) 부근에의 게이트(9964)에서 더 높은 도펀트 농도를 얻기 위해 상당히 급변해야 한다. 게이트 성능과 장치 속도를 추가로 강화시키기 위해 행해질 수 있는 또다른 수단은 이하에서 설명되듯이, (어닐링시) 질소 원자가 층(9962)에서 산소 원자를 대체하도록 얇은 실리콘 산화물층(9962)에 질소를 주입함으로써 얇은 실리콘 산화물층(9962)의 유전상수를 증가시키는 것이다. 게이트 성능을 강화시키는 또다른 수단은 컴포멀 주입이며, 여기서 웨이퍼 표면상의 플라즈마 시쓰에서의 충돌에 의해 수직 궤도로부터 편향된 도펀트 이온은 게이트(9964)의 수직 측벽으로 주입될 수 있다. 이는 얇은 산화물층(9962)과의 인터페이스 부근의 게이트(9964)에서 도펀트 농도를 추가로 증가시키며, 게이트 내에 더 균일하고 등방성의 도펀트 분포를 제공한다. 비소 주입된 N-채널의 게이트에 대한 게이트 성능을 강화시키기 위한 또다른 수단은 PIII 반응기를 사용하여 추가의 주입 단계 동안 인을 주입하는 것이다. 인은 비소보다 가볍기 때문에 더욱 쉽게 반도체 결정을 통해 확산하여, 소스 드레인 콘택 영역에서 더 적게 급변하는 정션 프로파일을 제공한다.
소스 및 드레인 콘택(9968, 9969)의 이온 주입의 깊이는 400 내지 800Å의 범위이다. 만일 게이트(9964)가 이보다 얇으면 ,게이트(9964)는 게이트(9964) 아래의 얇은 산화물층(9962)에 소정의 도펀트를 이온 주입하는 것을 방지하기 위해 별도의 주입 단계에서 더 얕은 깊이로 주입되어야 한다. 얇은 산화물층(9962) 부근의 게이트 영역에서 공핍을 방지하기 위해, 게이트의 주입은 얇은 산화물층(9962)을 개입시키지 않고 가능하면 게이트/산화물 인터페이스에 근접하게 연장하여야 한다. 따라서, 게이트의 주입 프로파일은 가능한 최고의 계면 급준성(예를 들어, 3nm/디케이드 또는 그 이하) 및 더 높은 도펀트 도즈(즉, 1×1016/cm2)를 가져야 한다.
도110을 참조하면, 소스 및 드레인 연장(9972, 9973)은 통상적으로 도109의 스페이서층(9966)을 증착하고 형성하기 전에 형성된다. 연장층은 전체 영역(9960) 위에 붕소를 더 얕고 가볍게 주입함으로써 형성된다. 통상적으로, 소스 및 드레인 연장부의 정션 깊이는 100 내지 300 옹스트롬이며 주입 도즈는 5×1015원자/제곱센티미터보다 적다. 따라서, 이러한 주입 단계는 게이트(9964) 또는 소스 및 드레인 콘택(9968, 9969)의 도펀트 프로파일에 영향을 거의 미치지 않으며, 그 결과 이러한 영역은 소스 및 드레인 연장부(9972, 9973)의 이온 주입 동안 마스킹될 필요가 없다. 그러나, 만일 마스킹이 필요하다면, 포토레지스트를 사용하여 행해질 수도 있다. 소스 및 드레인 연장부는 도85의 웨이퍼 페데스탈(8025)상의 1.0kVpp RF 바 이어스 전압을 필요로 하는 0.5kV의 등가 붕소 에너지에서 주입된다.
도109 및 110에 도시된 동일한 구조는 N-채널 MOSFET의 제조 동안 형성된다. 그러나, 영역(9960)은 초기에 붕소와 같은 P-형 도전체로 도핑되며, 결국 P-형 도전성 영역이다. 그리고, 게이트(9964) 및 (도109에 도시됨) 소스와 드레인 콘택(9968, 9969)의 주입은 비소와 같은 N-형 도전성 불순물 도펀트를 사용하여 (PIII 반응기에서 보다는) 빔 주입기에서 실행될 수 있다. 더욱이, 도펀트 도즈 농도를 1×1016원자/cm2까지 끌어올리는 게이트(9964)의 보조 주입은 인 함유 프로세스 가스를 사용하여 (비소 보다는) 인을 사용하는 PIII 반응기에서 실행된다. 인은 추후의 주입 단계에서 바람직한데, 이는 인이 비소보다는 더 균일하게 확산하기 때문이며, 결국 N-채널 장치의 게이트(9964)에서 N-형 도펀트 프로파일의 품질을 강화시킨다. 이온 빔 전압은 (N-채널 소스 및 드레인 콘택(9968, 9969) 및 N-채널 게이트(9964)를 동시에 주입하는) 비소 주입 단계를 위해 15-30kV의 범위에 있으며, 세제곱 센티미터당 5×1015원자를 초과하는 도펀트 표면 농도에 도달할 충분한 시간 동안 인가된다. 인의 보조 게이트 주입은 N-채널 게이트의 도펀트 표면 농도를 1×1016원자/cm3까지 상승시키기 위해 충분한 시간 동안 2-5kV의 범위에서 이온 빔 전압으로 실행된다.
인 및 붕소를 포함하는 주입 단계는 이온 빔 주입기보다는 PIII 반응기에서 실행되는 것이 유리한데, 이는 이러한 가벼운 원자들의 이온 에너지가 너무 낮아서 빔 주입기내의 이온 플럭스가 매우 낮고 주입 시간이 과도하게 길기 때문이다(예를 들어, 웨이퍼당 1시간 30분). PIII 반응기에서, 소스 전력은 (전술한 바와 같이 두 개의 토로이달 플라즈마 전류들 사이에 200MHz 차이를 갖는) 13.56MHz에서 800Watt이며, 주입 단계는 웨이퍼당 5 내지 40초 동안 실행된다.
도109 및 110에 도시된 이온 주입 단계의 시퀀스는, 도110의 가벼운 얕은 소스 및 드레인 연장 주입 단계가 스페이서층(9966)의 형성 및 뒤이은 콘택(9968, 9969) 및 게이트(9964)의 무거운 이온 주입의 전후에 실시될 수도 있다. 연장부 주입은 스페이서층(9966)이 형성된 후에 행해질 경우, 스페이서층(9966)은 연장부 주입이 행해지기 전에 제거되어야 한다.
상보형 MOSFET(CMOS FET)를 제조하는 프로세스의 일례는 도111에 도시된다. 제1 단계(블록(9980))에서, CMOS 장치의 P-웰 및 N-웰 영역은 개별 단계에서 주입된다. 이어, 얇은 블랑켓 게이트 산화물 층 및 상부의 블랑켓 폴리실리콘 게이트 층은 전체 웨이퍼 상에 형성(도111의 블록(9981))된다. P-웰 영역은 마스킹되고 N-웰 영역은 노출된 상태로 남는다(블록(9982)). N-웰 영역에 놓인 폴리실리콘 게이트 층의 일부는 PIII 반응기(블록(9983))에서 붕소로 주입된다. P-채널 게이트(도109의 (9964))는 실리콘 웨이퍼(블록(9984))의 일부를 노출시키기 위해 포토리소그래픽적으로 한정 및 에칭된다. 게이트(9964)로 자기정렬된 도109의 소스 및 드레인 연장부(9972, 9973)는 PIII 반응기(블록(9985))을 사용하여 붕소의 이온 주입에 의해 형성된다. 소위 "할로(halo)" 주입 단계는 각각의 P-채널 게이트(9964)(블록(9986))의 에지 하부에 N-형 도펀트를 주입하기 위해 실행된다. 이는 웨이퍼 표면에 대해 수직한 방향으로부터 약 30°기울어진 이온 빔을 사용하고 웨이퍼를 회전하여 비소를 주입함으로써 행해진다. 택일적으로, 이러한 단계는 수직 궤도로부터 붕소 이온을 벗어나게 하는 시쓰에서의 충돌을 증진시키기 위해 큰 시쓰 두께에 대해 챔버 압력 및 바이어스 전압 도전체를 사용하는 PIII 반응기에서 인을 주입함으로써 실행될 수도 있다. 이어, 스페이서 층(9986)은 소스 드레인 연장부(9972, 9973)(블록(9987)) 위에 형성되고, 붕소는 이어 깊은 소스 드레인 콘택(9969)을 형성하기 위해 더 높은 에너지에서 주입(블록(9988))되며, 그 결과 도110의 구조를 형성한다. 이어, 단계(9982)의 반전이 N-웰 영역(즉, P-채널 장치)을 마스킹하고 P-웰 영역(블록(9992))을 노출시킴으로써 행해진다. 그 후 이미 설명된 단계(9983) 내지 단계(9988)에 대응하는 단계(9993) 내지 단계(9998)가 행해지는데, 단지 N-웰 영역 대신에 P-웰 영역이, 붕소 대신에 비소 도펀트가, PIII 반응기 대신에 빔 라인 이온 주입기가 사용되는 점은 상이하다. 그리고, (전술한 블록(9986)의 P-채널 장치 할로 주입에 대응하는) 블록(9996)의 N-채널 장치 할로 주입의 경우, 도펀트는 붕소와 같은 P-형 도펀트이다. 단계(9993) 내지 단계(9998)에서 주입된 N-채널 장치의 경우, 추가의 주입 단계, 소위 본 명세서에서 전술한 바와 같이 폴리실리콘 게이트에 도즈를 중가시키기 위한 보조 주입 단계(블록(9999))가 행해진다. 블록(9999)의 보조 주입 단계에서, 인은 N-형 도펀트 불순물이며 (비록 빔 주입기가 대신하여 사용되지만) 빔 주입기 보다는 PIII 반응기를 사용하여 주입된다.
전술한 바와 같이, 프로세스는 게이트 및 소스 및 드레인 콘택(9968, 9969)이 소스 및 드레인 연장(9972, 9973) 이전에 주입되기 위해 반전될 수도 있다.
결국, 이온 주입이 실행되고, 웨이퍼는 앞서 언급했던 급속 열처리(RTP) 및/또는 동적 표면 어닐링(DSA) 프로세스를 사용하는 스파이크 어닐링과 같은 어닐링 프로세스를 겪게 된다. 이러한 어닐링 프로세스는, 대부분이 결정 격자 내의 간극 위치에 놓이게 되는 주입된 도펀트 이온이 원자 위치로 이동, 즉 원래 원자 위치에 있던 실리콘 원자를 대체하게 한다. 한번 이상의 어닐링 단계는 pmos 및 nmos 장치를 형성하는데 사용될 수 있으며, 이러한 단계는 활성화 및 확산의 관점에서 절절한 프로세스 흐름으로 대체될 수 있다.
더 가벼운 원소(예를 들어, 붕소 및 인)를 포함하는 전술한 이온 주입 프로세스는 전술한 모드에서 PIII 반응기를 사용하여 실행된다. 예를 들어, 바이어스 전력 주파수는 이온 에너지를 최대화하도록 선택되는 반면, 동시에 유전층을 가로질러 낮은 임피던스 커플링을 제공한다. 어떻게 상기한 바가 실행되는지는 전술되었다.
전술한 이온 주입 프로세스는 다른 프로세스에 의해 강화된다. 특히, 채널링을 방지하고 어닐링시 대체되는 주입된 이온의 비율을 강화하기 위해, 반도체 웨이퍼 결정은 결정 결함을 생성함으로써 결정을 부분적으로 비정질화하는 이온 충돌 프로세스를 겪게 된다. 사용된 이온은 웨이퍼 재료와 부합되어야 하고, 실리콘 불소화물, 실리콘 수소화물, 게르마늄 불소화물, 게르마늄 수소화물, 크세논, 아르곤, 또는 탄소 불소화물(즉, 테트라플루오르메탄, 옥타플루오르사이클로부탄, 등) 또는 탄소 수소화물(즉, 테트라플루오르에탄, 디플루오르에틸렌, 등)과 같은 가스들 중 하나 이상으로부터 생성된 플라즈마로 PIII 반응기에서 생성될 수도 있다. PIII 반응기의 일 장점은 이온 프로세스가 (이온 빔 주입기와는 다르게) 질량 선택적이 아니라는 것이다. 따라서, 불소와 같은 도펀트 불순물의 이온 주입 동안, 소정의 다른 원소가 PIII 반응기에서의 이온 질량에 무관하게 동시에 주입될 수도 있다. 따라서, 이온 빔 주입기와는 상이하게, PIII 반응기는 비정질화 프로세스를 실행하면서 도펀트 불순물을 동시에 주입할 수 있다. 이는 (비정질화 충돌 이온 종을 제공하기 위해) SiF4 가스와 혼합된 (도펀트 이온을 제공하기 위한) BF3 가스를 사용하여 달성될 수도 있다. 이러한 동시 이온 주입 프로세스는 공동 주입(co-implant) 프로세스로 일컬어진다. 비정질화 프로세스는 연속적으로 도핑 프로세스와 함께 실행될 수도 있다. 비정질화 이외에, 불소, 게르마늄, 탄소 또는 다른 원소와 같은 도펀트 및 비도펀트 원자 동시 주입은 실리콘 웨이퍼의 화학 성질을 변경시키기 위해 행해진다. 화학적 성질에서의 이러한 변화는 도펀트 활성화 증가 및 도펀트 확산 감소에 도움을 줄 수 있다.
PIII 반응기에서 실행될 수 있는 다른 프로세스는 소정의 이온이 결정에서 다른 원소를 대체하기 위해 주입되는 표면 강화 프로세스이다. 이러한 표면 강화 프로세스의 예는 아질산화(nitrodization)이다. 이러한 프로세스에서, 얇은 실리콘 산화물층(9962)의 유전 상수는 (장치 속도를 증가시키기 위해) 질소 원자를 사용하여 실리콘 이산화물 막에 산소 원자의 현저한 비율을 대체함으로써 증가될 수 있다. 이는 암모니아와 같은 질소 함유 가스로부터 플라즈마를 발생시킴으로써, 그리고 실리콘 이산화물 층(9962)에 질소 원자를 주입시킴으로써 PIII 반응기에서 달성될 수 있다. 이러한 단계는 도펀트 불순물 종의 주입 이전, 주입 동안 및/또는 주입 이후를 포함하는 소정의 시간에 행해질 수도 있다. 만일 아질산화 프로세스가 적어도 부분적으로 도펀트 이온 주입 단계와 동시에 행해진다면, 아질산화 프로세스는 공동 주입 프로세스이다. PIII 반응기의 이온 주입 프로세스는 질량 선택적이지 않으며, 공동 주입 프로세스는 원자량이 도펀트 주입 종의 원자량과 같거나 관련이 있음을 필요로 하지 않고 적절한 종으로 실행될 수도 있다. 따라서, 예를 들어, 도펀트 종, 붕소 및 표면 강화 종, 질소는 상당히 상이한 원자량을 가지지만, 이들은 동시에 PIII 반응기에서 주입된다. 통상적으로 아질산화는 도펀트 원자를 주입하지 않고 행해진다.
이온 주입과 관련한 추가의 프로세스는 표면 패시베이션이다. 이러한 프로세스에서, 벽과 천정을 포함하는 반응기 챔버의 내부 표면은 제조 웨이퍼의 도입에 앞서 (실리콘 이산화물 또는 실리콘 질소화물 또는 실리콘 수소화물과 같은) 실리콘 함유 패시베이션 재료로 코팅된다. 패시베이션 층은 플라즈마가 플라즈마 반응기와 상호작용하거나 플라즈마 반응기 내에서 소정의 금속 표면을 스퍼터링하는 것을 방지한다. 패시베이션 층의 증착은 예를 들어, 산소와 혼합된 실란과 같은 실리콘 함유 가스로부터 반응기 내에서 플라즈마를 점화시킴으로써 행해진다. 도85의 낮은 오염도 토로이달 소스 PIII 반응기와 결합된 이러한 패시베이션 단계는 이온 주입 동안 통상의 빔 주입기에서 통상적으로 얻어지는 것보다 약 100배 더 낮은, 실리콘 웨이퍼의 현저히 낮은 금속 오염도를 초래한다.
이온 주입 프로세스의 완성시, 아르곤 산소, 또는 수소와 같은 적절한 이온 충돌 가스 소스와 결합될 수 있는 NF3와 같은 적절한 에천트 가스를 사용하여 패시베이션 층이 제거된다. 이러한 세정 공정 동안, 챔버 표면은 세정 프로세스를 강화하기 위해 60℃ 또는 그 이상으로 가열될 수도 있다. 새로운 패시베이션 층은 이후의 이온 주입 단계 이전에 증착된다. 택일적으로, 새로운 패시베이션 층은 웨이퍼의 시퀀스를 주입하기 전에 증착될 수도 있으며, 시퀀스의 프로세스를 뒤이어 패시베이션 층 및 다른 증착이 세정 가스를 사용하여 제거될 수도 있다.
도112는 전술한 이온 주입 관련 프로세스를 도111의 도펀트 주입 프로세스와 결합시키는 상이한 옵션을 도시하는 흐름도이다. 제1 단계는 오염물을 제거하거나, 앞서 증착된 패시베이션 층을 제거하기 위해 챔버를 세정(도112의 블록(9001))하는 것이다. 이어, 실리콘 이산화물의 패시베이션 층은 예를 들어, 처리될 웨이퍼의 도입에 앞서 챔버의 내부 표면 상에 증착(블록(9002))된다. 이어, 웨이퍼는 PIII 반응기로 도입되고, 웨이퍼가 마지막으로 처리되기 때문에 짧은 중간기간에 노출된 반도체 표면에 축적될 수도 있는 얇은 산화물층을 제거하기 위해 세정 또는 에칭 프로세스(블록(9003))를 겪게 될 수도 있다. 전 주입 웨이퍼 비정질화 프로세스는 예를 들어 웨이퍼의 노출된 표면과 실리콘 이온들과의 이온 충돌에 의해 실행(블록(9005))될 수도 있다. 전 주입 표면 강화 프로세스는 질소와 같은 종을 실리콘 이산화물 막으로 주입시킴으로써 실행(불로(9005))될 수도 있다. 이어 도펀트 주입 프로세스기 행해질 수 있다. 이러한 단계는 도111의 통상의 프로세스 흐름도에 도시된 붕소 또는 인 주입 단계 중 개별적인 하나이다. 블록(9006)의 도펀 트 주입 프로세스 동안, 도펀트 이온 외에 다른 이온은 동시에 공동 주입 프로세스(블록(9007))에 동시에 주입될 수도 있다. 이러한 공동 주입 프로세스(9007)는 비정질화 프로세스, 웨이퍼 표면의 플라즈마 부산물의 축적을 방지하는 가벼운 에칭 프로세스, 도펀트 활성화 강화 및 도펀트 확산 감소, 또는 표면 강화 프로세스일 수도 있다. 도펀트 이온 주입 프로세스(9006) 및 소정의 공동 주입 프로세스(9007)의 완료 후, 다양한 포스트 주입 프로세스가 행해질 수도 있다. 이러한 포스트 주입 프로세스는 표면 강화 프로세스(블록(9008))를 포함할 수도 있다. (블록(9008)의 단계를 포함하는) 모든 주입 단계의 완료시, 주입 어닐링 프로세스가 블록(9009)의 선행하는 웨이퍼 세정 단계에서 웨이퍼 상에 소정의 포토레지스터 마스크 층을 제거한 후 실행(블록(9012))된다. 이러한 어닐 프로세스는 레이저 빔(또는 몇 개의 레이저 빔)이 거의 용융 온도(약 1300℃) 또는 용융 온도로 표면을 국부적으로 가열하도록 웨이퍼 표면을 스캐닝하는 동적 표면 어닐링일 수 있으며 각각의 국부 영역은 매우 짧은 시간 주기(예를 들어, 나노초 내지 수십 밀리초) 동안 가열된다. 블록(9112)의 어닐링 단계 이후에 행해지는 다른 후주입 프로세스는 이온 주입 프로세스 동안 증착된 플라즈마 부산물 층들을 제거하기 위한 웨이퍼 세정 프로세스(블록(9009)), 웨이퍼 표면을 안정화시키기 위해 웨이퍼 상의 일시적인 패시베이션 코팅의 증착(블록(9010)), 및 챔버 내부 표면으로부터 앞서 증착된 패시베이션 층을 제거하기 위해, PIII 반응기 챔버의 제거 후 실행되는 챔버 세정 프로세스(블록(9011))를 포함할 수도 있다.
저온 CVD 프로세스:
저온 화학 기상 증착 프로세스는 예를 들어, 도17a에 도시된 형태의 토로이달 소스 반응기를 사용하며, 여기서 플라즈마가 점화 및 유지되는 최소 플라즈마 소스 전력 레벨은 현저히 낮다(예를 들어, 100Watt). 그 결과, 플라즈마 이온 밀도는 웨이퍼의 플라즈마 가열을 최소화하도록 충분히 낮아서, 웨이퍼가 플라즈마 CVD 프로세스 동안 매우 낮은 온도(예를 들어, 100℃ 이하)에서 유지되게 한다. 동시에, 웨이퍼 바이어스와 결합된 플라즈마 이온 밀도는 CVD 증착 화학 반응(플라즈마 이온 종과 워크피스 표면 사이의 본딩)을 일으키기 위해 충분한 플라즈마 이온 에너지를 제공할 정도로 충분히 높다. 이는 화학 작용을 위해 필요한 에너지를 제공하기 위해 워크피스를 가열하는 소정의 필요성을 미연에 방지한다. 따라서, 웨이퍼 온도는 전체 플라즈마 CVD 프로세스 동안 매우 낮은 온도(예를 들어, 100℃ 이하)에서 유지될 수 있다.
게다가, 챔버 압력은 현저히 높은 CVD 층 증착을 방지하도록 충분히 낮은 가장 알맞은 레벨(예를 들어, 약 15mTorr)로 감소되는데, 그렇지 않으면, CVD 층 증착은 CVD 층의 결함(예를 들어, 박편화)을 방지하기 위해 높은 온도(예를 들어, 400℃)를 필요로 한다. 더욱이, 낮은 챔버 압력은 초과의 이온 재결합을 방지하는데, 그렇지 않으면, 워크 피스를 가열하지 않고 CVD 화학 반응을 지지하는데 필요한 정도 이하로 플라즈마 이온 밀도를 낮출 것이다. 프로세스 영역에서의 적당한 플라즈마 이온 밀도를 유지하는 것은 웨이퍼의 가열 필요성을 방비하고, 그 결과 높은 품질의 CVD 막이 PECVD 반응기와는 상이하게 매우 낮은 온도(100℃ 이하)로 증착될 수 있다. 플라즈마 밀도가 매우 높지 않고 소스 전력 레벨이 높을 필요가 없다는 사실은 HDPCVD와 상이하게 (웨이퍼의 온도가 100℃ 이하로 유지될 수 있도록) 원하지 않는 웨이퍼의 플라즈마 가열을 방지한다.
필요한 경우, CVD 반응이 매우 낮은 소스 전력 레벨에서 토로이달 소스 반응기에서 실행될 수 있다는 사실은 소스 전력이 최소레벨로부터, 웨이퍼의 플라즈마 가열이 여전히 상대적으로 낮은 챔버 압력에서 최소한인 최대 레벨(예를 들어, 100Watt)까지 변화할 수 있는 큰 윈도우를 의미한다. 이러한 윈도우는 비균일(0.1 균일비)과 균일(>0.5 균일비) 사이에 CVD 증착된 층의 균일성을 변화시키기에 충분히 크다. 동시에, CVD 증착된 층의 스트레스 레벨은 증착된 층의 인장 스트레스에 대한 낮은 레벨(예를 들어, 500Watt)과 증착된 층에서의 압축 스트레스에 대한 높은 레벨(예를 들어, 3kWatt) 사이에서 웨이퍼에 인가된 플라즈마 바이어스 전력을 변화시킴으로써 변화될 수 있다. 그 결과, 각각의 플라즈마 CVD 증착된 층의 균일성 및 스트레스는 균일하거나 또는 균일하지 않고, 인장 및 압축 스트레스를 갖는 다양한 층으로의 소스 및 바이어스 전력 레벨을 조절함으로써 조절된다. 비균일 막은 포토레지스트 위에 제거가능한 층을 생성하고 깊은 트렌치 충전에 유용하다. 균일층은 에칭 스톱 층 및 패시베이션 층에 유용한다. 압축 스트레스를 갖는 층은 하부 또는 인접한 P-채널 MOSFET에서의 캐리어 이동도를 강화시키는 반면, 인장 스트레스를 갖는 층은 하부 또는 인접한 N-채널 MOSFET에서의 캐리어 이동도를 강화시킨다.
도17a의 토로이달 소스 플라즈마 반응기, 및 반응기가 소스 전력이 증가함에 따라 제공하는 고도로 제어가능한 플라즈마 이온 밀도의 낮은 최소 플라즈마 소스 전력은 토로이달 소스 플라즈마 반응기의 특정한 반응기 구조로부터 발생한다. 플라즈마 소스는 토로이달 RF 플라즈마 전류가 순환하는 재진입 외부 도관의 챔버(웨이퍼로부터 원격임)의 외부 영역에 제공되어서, 웨이퍼는 플라즈마 이온 발생기 영역으로부터 멀리 있다. 이러한 특징은 웨이퍼 표면에서의 플라즈마 이온 밀도 고도로 제어가능하게 하며, HDPCVD 플라즈마 반응기와 대조적으로, 플라즈마 소스 전력과 함께 초과 증가를 겪지 않는다. 더욱이, 외부의 재진입 도관 내의 프로세스 가스로의 RF 소스 전력 애플리케이터의 고도의 효율적 결합은 (HDPCVD 반응기와 같은) 통상의 반응기보다 훨씬 더 작은 플라즈마 점화를 위한 최소의 플라즈마 소스 전력을 만든다.
저온 CVD 프로세스는 장치 구조를 파괴하지 않고 소정의 충분한 양의 시간 동안 장치 온도가 100-200℃를 초과할 수 없는 (예를 들어) 65nm 장치를 위해 플라즈마 CVD 프로세스를 제공하는 문제점을 해결한다. 이는 하부의 포토레지스트를 방해 또는 파괴하지 않고 포토레지스트 층 상에 플라즈마 CVD 증착을 가능하게 한다. 이러한 가능성은 특히 nm-크기의 설계 규정에 적합한 이하에서 설명되는 전적으로 새로운 종류의 프로세스를 개시하며, 장치상에 포토레지스트 마스킹을 방해하지 않고 실행되리 수 있다.
포스트-CVD 이온 주입 프로세스는 저온 CVD 프로세스를 실행하는데 사용된 동일한 토로이달 소스 반응기에서 실행될 수 있다. 포스트 CVD 이온 주입 프로세스는, 화학량론적 비율은 넘는 CVD 층에서 종의 비율을 증가시키고, 플라즈마 CVD 프로세스와 부합하지 않는 종을 CVD 층으로 주입하고, 또는 유전 상수 또는 스트레 스와 같은 층의 특정 재료 품질을 변경시키는 종을 CVD 층에 주입하기 위해, 비결정질 또는 다결정 CVD 증착층과 베이스 층 사이에 접착을 강화하기 위한 프로세스를 포함한다.
저온 플라즈마 CVD 프로세스는 실리콘 막의 CVD 형성, 실리콘 질화물 막, 실리콘-수소 막, 실리콘-질소-수소 막, 및 산소 또는 불소를 더 포함하는 전술한 막의 이형을 위해 유용하다. CVD 프로세스가 실행되는 매우 낮은 온도임에도 불구하고 막은 크래킹, 필링 ,플랙킹 등이 없는 우수함 품질을 보여준다. CMOS 장치에 대한 응용에 대해, 패시베이션층은 선택적 에칭 및 포토레지스트 마스킹 및 제거를 가능하게 하는 높은 비균일성을 사용하여 각각 압축 및 인장 스트레스를 갖는 P- 및 N-채널 위에 증착되며, 0(중립)의 스트레스를 갖는 에칭 스톱 층은 높은 균일성을 갖는 모든 장치 위에 증착된다.
도1의 토로이달 반응기를 사용하는 저온 플라즈마 CVD 프로세스는 도113에 도시된다. 선택적인, 제1 단계(도113의 블록(6105))는 웨이퍼 상의 금속 오염을 방지 또는 최소화하기 위해 패시베이션 층을 갖는 챔버의 내부 표면을 코팅할 것이다. 예를 들어, 패시베이션층은 증착될 CVD 막과 동일한 재료(예를 들어, 실리콘 및 질소를 포함한 재료)일 수도 있다. 챔버 내부 표면 상의 패시베이션 코팅은 적절한 프로세싱 가스 혼합물(예를 들어, 실리콘 질화물 막이 증착될 경우, 실란 및 질소)을 도입하고, 전술한 실시예와 같이, 토로이달 RF 플라즈마 전류를 발생시키기 위해 플라즈마 소스 전력을 인가함으로써 실행될 수 있다. 이러한 단계는 적절한 두께의 패시베이션 재료가 내부 챔버 표면에 증착될 때까지 실행된다. 이어, 제조 워크피스 또는 반도체 웨이퍼는 웨이퍼 지지 페데스탈 위에 배치(도113의 블록(6170))된다. 실리콘, 및 수소, 질소 또는 산소와 같은 다른 종을 포함하는 프로세스 가스가 도입(블록(6109))된다. 챔버 압력은 낮거나 적당한 레벨(예를 들어, 약 10 내지 약 50mTorr)로 유지(도113의 블록(6111))된다. 재진입 토로이달 플라즈마 전류는 토로이달 소스 반응기에서 발생(블록(6113))된다. 토로이달 플라즈마 전류는 낮은 적합한 양의 RF 플라즈마 소스 전력(예를 들어, 100Watt 내지 1kW)을 RF 발생기(108)로부터 소스 전력 애플리케이터(도17a의 170, 1015)로 도입(도113의 블록(6113-1))하고, RF 발생기(162)로부터 웨이퍼 지지 페데스탈(115)로 0 내지 5kWatt 사이의 RF 플라즈마 바이어스 전력을 인가(도113의 블록(6113-2))함으로써 발생된다. 소스 전력은 바람직하게 10MHz 대의 HF 주파수(예를 들어, 13.56MHz)이며, 이는 플라즈마 이온을 발생시키기 위해 매우 효율적이다. 바이어스 전력은 바람직하게 수 MHz(예를 들어, 2MHz) 대의 LF 주파수이며, 이는 소정 양의 바이어스 전력에 대해 상대적으로 큰 플라즈마 시쓰 전압을 생성하는데 매우 효율적이다.
RF 발생기(180)에 의해 전달된 소스 전력의 크기는 원하는 균일성을 갖는 웨이퍼 상의 화학 기상 증착에 의한 막을 증착하기 위해 조절(블록(6115))된다. RF 발생기(162)에 의해 전달된 바이어스 전력의 크기는 증착된 막이 원하는 압축 또는 인장 스트레스를 갖도록 조절(도113의 블록(6117))된다.
전술한 프로세스는 원하는 증착 막 두께에 도달할 때까지 실행된다. 따라서, 소정의 선택적인 포스트-CVD 이온 주입 프로세스가 실행(도113의 블록(6119)) 될 수도 있다. 이러한 포스트-CVD 이온 주입 프로세스는 도117을 참조로 이하에서 설명될 것이다.
도114a는 인가된 RF 소스 전력(수평 축)의 함수로서 증착된 층의 균일성 비(수직 축)의 그래프이다. 도114b에 도시된 바와 같이, (인터페이스(6122)를 한정하기 위해)베이스층 또는 기판(6123) 상의 CVD 프로세스에 의해 증착된 층(6121)의 균일성 비는 (베이스층(6123)의 수평 섹션(6123b)에 증착된) 층(6121)의 수평 섹션(6123b)의 두께(d)에 대해 (베이스층(6123)의 수직면(6123a)에 증착된) 층(6121)의 수지기 섹션(6121a)의 두께(C)의 비(C/D)이다. 0.5를 초과하는 균일 비는 매우 균일한 CVD 증착된 막을 나타낸다. 약 0.1의 균일 비는 비균일 CVD 증착 막을 나타낸다. 도114a는 도17a의 토로이달 소스 반응기의 넓은 소스 전력 윈도우가 어떻게 비균일(약 100Watt 소스 전력)로부터 고도의 균일(약 1kW 소스 전력)까지 균일 비 영역에 미치는 지를 나타낸다. 도114a는 동일한 토로이달 소스 반응기가 예를 들어, 실리콘, 질소, 수소 또는 산소의 조합을 포함하는 균일 및 비균일 막의 플라즈마 CVD 증착에 사용될 수 있음을 보여준다.
도115는 인가된 소스 전력(수직 축)의 함수로서 CVD 증착 비(수직 축)를 나타내는 그래프이다. RF 소스 전력의 0부터 100Watt까지, 어떠한 플라즈마도 도17a의 토로이달 소스 반응기에서 점화되지 않으며, 증착율은 0이다. 약 2Mhz에서 약 5kV의 일정한 바이어스 전압을 갖는 약 13.56MHz에서 약 100Watt의 소스 전력에서 시작할 경우, 증착속도는 (100Watt 소스 전력에서) 분당 약 500옹스트롬에서 시작하며, (약 2kW의 소스 전력에서) 분당 약 1000 옹스트롬에 도달한다. 장점은 증착 속도가 고품질의 결함 없는 CVD 막이 결함을 치유하기 위해 가열 또는 어닐링할 필요 없이 형성될 정도로 충분히 낮다는 것이며, 그렇지 않은 경우, 높은 증착 속도(예를 들어, 분당 5,000옹스트롬)에서 결함이 형성된다. 따라서, 토로이달 소스 반응기의 소스 전력은 웨이퍼의 가열을 필요로 하지 않고 비균일과 균일(즉, 200Watt로부터 2kW까지) 사이에서 균일 비를 스위칭하도록 요구된 범위 내의 어디에서도 변화될 수 있어서, 웨이퍼는 낮은 프로세싱 온도, 즉 100℃ 이하로 유지된다. 토로이달 반응기 소스 전력이 (매우 높은 균일도를 얻기 위해) 초과의 CVD 증착율을 발생시키기 않고 증가될 수 있다는 사실은 웨이퍼(120) 상부의 프로세스 영역에서의 이온 밀도에서 초과 증가를 방지하는 토로이달 소스 반응기(예를 들어, 도17a)의 구조로부터 발생한다. 이러한 초과 이온 밀도는 부분적으로 방지되는데, 이는 각각의 플라즈마 소스 전력 애플리케이터(즉, 각각의 재진입 도관(150) 및 대응하는 기본적인 권선(170)을 둘러싼 각각의 코어(1015))가 플라즈마 소스 전력을 측벽(105) 및 천정(110)에 의해 한정된 반응기 챔버(100)의 외부에 있으며, 웨이퍼(120) 상부의 프로세스 영역으로부터 원격에 있는 재진입 도관(150)의 섹션으로 인가하기 때문이다. 다행히도, 도17a의 토로이달 플라즈마 반응기의 소스 전력을 갖는 플라즈마 이온 밀도에서의 낮은 따라서 고도로 제어가능한 증가는 플라즈마 점화를 위해 매우 낮은 최소의 소스 전력(예를 들어, 100Watt)에 의해 달성되며, 이는 전체 균일성 범위에 미치는 넓은 소스 전력 윈도우를 초래한다. 플라즈마 점화를 위한 이러한 최소 소스 전력 레벨은 도17a의 소스 전력 애플리케이터(170, 1015) 및 재진입 도관(150)이 13.65MHz와 같은 HF 주파수에서 토로이달 RF 플라즈마 전류를 발생시키는 효율적인 방법의 결과이다.
도17a의 토로이달 플라즈마 반응기의 또다른 특징은 반응기가 동작(예를 들어, 0부터 10kV)할 수도 있는 RF 플라즈마 바이어스 (시쓰) 전압의 넓은 범위이다. 이러한 피쳐의 일 특징은 도116의 그래프에 도시된다: 바이어스 전압 동작 범위(도116의 수평축)는 인장 스트레스(+1 기가파스칼)부터 압축 스트레스(-1기가파스칼)까지 CVD 증착된 막(도116의 그래프에서 수직 축)에서 스트레스 범위에 미친다. 넓은 플라즈마 바이어스 전압 동작 범위의 피쳐의 또다른 특징은 이온 에너지가 도113의 저온 플라즈마 CVD 프로세스를 실행하기 위해 사용되는 동일한 토로이달 소스 반응기에서 도113의 포스트-CVD 이온 주입 프로세스(6119)에서 플라즈마 이머젼 이온 주입 프로세스(6119)에서 플라즈마 이머젼 이온 주입을 위한 높은 이온 에너지(큰 바이어스 전압)의 사용과 같이, 특정 프로세스 또는 응용에 적합하게 조절될 수도 있다. 이러한 포스트-CVD 이온 주입 처리는 이하에서 설명될 것이다. RF 플라즈마 바이어스 (시쓰) 전압의 넓은 범위는 RF 바이어스 전력 발생기와 같이 2MHz RF 소스와 같은 낮은 주파수(LF) 플라즈마 바이어스 소스를 사용함으로써 얻어진다. 이렇게 낮은 주파수는 비례적으로 높은 시쓰 전압과 함께, 웨이퍼(120)의 표면 위에 플라즈마 시쓰에 걸쳐 높은 임피던스로 이동한다. 따라서, 상대적으로 적은 양의 플라즈마 바이어스 전력(5kW)은 웨이퍼 표면에서 매우 큰 시쓰 전압(10kV)을 발생시킬 수 있다. 이렇게 상대적으로 낮은 바이어스 전력 레벨은 웨이퍼(120)상의 가열 로드를 감소시키며, 웨이퍼 지지 페데스탈(115) 상의 가열 및 전계 로드를 감소시킨다. 물론, 도17a의 토로이달 소스 반응기는 플라즈마를 점화 및 유지 하기 위해 이렇게 큰 시쓰 전압을 필요로 하지 않으며, 바이어스 전력은 필요한 경우, 플라즈마를 소멸시키지 않고 5kW 훨씬 이하, 거의 0으로 감소될 수 있다.
도114a에 도시된 (비균일 및 고도의 균일 사이의) 균일 선택 및 도116에 도시된(인장과 압축 사이의) 스트레스 선택은 각각 도17a의 매우 큰 소스 전력과 토로이달 소스 반응기의 바이어스 전력 동작 윈도우를 사용하여 독립적으로 실행된다. 그 결과, 도17의 토로이달 소스 반응기는 도113의 저온 CVD 프로세스를 실행하며, 여기서 다양한 층들이 다양한 스트레스 선택(인장, 0 또는 압축) 및 다양한 균일비(비균일 또는 고도의 균일)로 선택될 수 있다.
도117은 웨이퍼의 일련의 포스트-CVD 이온 주입 처리 단계를 도시한다. 도117에 도시된 각각의 단계는 포스트-CVD 이온 주입 처리 또는 도117의 다른 단계와 결합하여 실행될 수도 있으며, 이 경우 단계들은 도117에 도시된 것과 상이한 순서로 행해질 수도 있다. 그러나, 이하의 논의는 도면에 도시된 순서로 도117의 단계를 설명할 것이다. 각각의 이온 주입 단계는 도113의 플라즈마 CVD 를 실행하는데 사용되는 도17a의 동일한 토로이달 소스 플라즈마 반응기에서 실행될 수도 있다. 플라즈마 이머젼 이온 주입(PIII) 반응기로서 도17a의 토로이달 소스 플라즈마 반응기의 사용은 이미 본 명세서에 설명되었다.
도117의 블록(6125)에서, 저온 플라즈마 CVD 프로세스에 의해 증착된 층과 하부 베이스층 또는 기판 사이의 접착 또는 본딩은 이온 주입에 의해 강화된다. 이러한 단계는 증착된 층이 비정질 또는 다결정 구조를 갖는 경향이 있고, 및/또는 하부의 베이스 구조와 성분이 상이한 경우 특히 유용하다. 이러한 경우, CVD 증 착된 층은 (있다고 해도) 구조 또는 결정 패턴을 반복할 수 없으며, 결국 진정한 에피택셜층이 아니다. 이러한 증착된 층은 다결정이거나 비정질일 수도 있으며, 에피택셜층처럼 하부층에 강하게 본딩되지 않으며, 두 층들 사이의 인터페이스는 갈라지기 쉽다. 이러한 약한 접착력은 증착층(6121)에서 원자와 결합할 수 없는 포화된 결합을 갖도록 인터페이스(6122)에 배치된 베이스층(6123)에서 실리콘 원자의 특성에 기여할 수도 있다. 이러한 포화는 CVD 증착 프로세스에 앞서 발생하는데, 이는 기판(6123) 표면에서 실리콘 원자가 개방된 공간과 마주하는 일련의 궤도 전자를 갖기 때문이며, 이렇게 본딩된 전자는 (예를 들어, 이웃한 실리콘 원자의) 본딩되지 않은 전자와 공유된다. 그 결과, 표면에서의 실리콘 원자는 자기포화되는 경향이 있으며, 따라서 증착된 층과 본딩할 수 없다.
증착된 층과 베이스층 사이의 약한 접착의 문제를 해결하기 위해, 블록(6125)의 접착 강화 이온 주입 단계는 도118a-c에 도시된 방식으로 실시된다. 도113의 CVD 증착 프로세스 이전의 결정형 실리콘 웨이퍼(6123)는 도118a에 단면도로 도시된다. 이 결정형 구조는 도119a에 간단한 방식으로 도시되며, 여기서 각각의 원은 네 개의 이웃한 실리콘 원자에 본딩된 실리콘 원자를 나타낸다. 도113의 저온 플라즈마 CVD 프로세스에 의한 막의 증착은 CVD 증착된 층(6121)이 베이스층(6123) 위에 위치하는 도118b의 구조를 초래한다. 본 발명의 예에서, 증착된 막은 실리콘 질화물이다. 최종 구조는 도119b에 간단한 방식으로 도시되며, 여기서 큰 원은 실리콘 원자를 나타내고, 작은 원은 질소 원자를 나타낸다. 하부에, 증착된 층과 베이스층(6121, 6123) 사이에 인터페이스(6122)가 순수한 실리콘 결정을 나타 내는 반면, 상부에, 인터페이스(6122)는 순수한 실리콘 질화물 비정질 막이 있다. 따라서, 재료 구조에 계면 급준성 전이가 있으며, 인터페이스(6122) 양단에 열등한 접착력을 발생시킨다. 계면 급준성 전이는 120a의 실선 그래프에 도시되며, 여기서 질소 농도(수직축)가 깊이의 함수로서 표시된다. 인터페이스(6122)의 깊이에서, 질소 농도는 0에서 약 50%까지 거의 순간적으로 전이한다.
이온 주입 단계는 도118c에 도시되며, 여기서 도118b의 구조는 이온 충돌되기 쉽다. 이온 에너지는 주입 프로파일(도120b)이 인터페이스(6122)의 깊이에서 피크가 되도록 선택된다. 결과는 질소 및 실리콘 원자가 인터페이스(6122)를 가로질러 이동하도록 강제된다는 것이며, 전체적인 결과는 인터페이스(6122)의 상부에 질소 원자의 총 손실이 있고 인터페이스(6122) 하부에 질소 원자의 총 이득이 있다는 것이며, 총 손실 또는 이득은 인터페이스(6122)로부터의 거리에 비례한다. 게다가, 베이스층(6123)의 표면(6122)에서 실리콘 원자의 자기포화된 본딩은 이온 충돌에 의해 파괴되며, 그 결과 더 많은 원자가 본딩에 사용될 수 있다. 증착된 층(6121)에서의 최종 재료 구조는 베이스층(6123)으로 이동되고 베이스층(6123)으로부터 실리콘 원자에 의해 증착된 층(6121)에서 대체된다. 따라서 인터페이스는 인터페이스(도120a의 점선 곡선) 전체의 질소 농도에서 원만한 전이로 두꺼운 영역 위에 분포된다. 더 큰 접착이 얻어지는데, 이는 형성된 두꺼운 혼합 층 또는 전이 영역에서 원자 본딩의 기회가 더 많고, 따라서 층들(6121, 6123) 사이에 더 많은 본딩 및 강한 접착력이 발생하게 된다.
도117의 블록에서, 포스트-CVD 이온 주입 단계기 실행되는데, 여기서 CVD 증 착층(6121) 내의 선택된 종의 함유량이 높게 된다. 이러한 함유량은 필요한 경우, 선택된 종의 함유량이 통상의 화학량론비를 넘는다. 예를 들어, 만일 CVD 증착된 층이 실리콘 질화물일 경우, 질소 원자는 증착된 층에서의 질소 함유량이 50%의 화학량론비를 초과하여 높게 되도록 증착층(6121)에 주입될 수도 있다. 도117의 블록(6127)의 단계에 대해 이온 주입 프로파일은 도121에 도시되며, 여기서 주입 깊이의 함수로서 주입된 종의 이온 플럭스는 수직축에 표시되고 주입 깊이는 수평축에 표시된다. 주입 프로파일 또는 분포는 CVD 증착 층(6121)의 두께에 미친다. 이는 프로파일이 필수적으로 증착된 층 두께(도121의 실선 곡선)에 미치는 단일 주입 단계를 실행함으로서 달성될 수도 있다. 택일적으로, 동일한 결과가 좁은 분포("1", "2" 및 "3"으로 표기된 도121의 점선 곡선에 대응함)를 갖는 세 번의 주입을 실행함으로써 얻어질 수도 있으며, 분포의 깊이는 축적된 주입 프로파일이 거의 도121의 실선에 부합하도록 오프셋된다.
도122a는 도117의 블록(6127)의 이전의 두 층(6121, 6123)의 구조를 도시하며, 도122b는 블록(6127)의 주입 단계 후 두 층(6121, 6123)의 구조를 도시한다. 앞선 예와 같이, 하부 층 또는 기판(6123)은 실리콘이며, CVD 증착된 층(6121)은 실리콘 질화물이며, 큰 원은 실리콘 원자를, 작은 원은 질소 원자를 나타낸다. 도122b는 증착된 실리콘 질화물 층(6121)의 여분의 질소 원자를 나타내며, 따라서, 질소 함유량은 증착된 층(6121)에서 50%를 초과할 수 있다.
이온 주입 강화 프로세스는 전술한 예의 재료로 한정되지 않는다. 예를 들어, 증착된 층은 실리콘, 질소, 수소 및/또는 산소 등을 포함하는 종들의 소정의 조합을 포함할 수도 있다. 하부 층은 실리콘일 수도 있으며, 또는 전술한 종의 조합일 수도 있다.
도117의 블록(6129)에서, 도113의 저온 CVD 프로세스 동안 플라즈마 CVD 프로세스 가스에 포함되지 않은 종들은 이러한 종들의 이온 주입에 의해 CVD 프로세스의 완료 후에 부가된다. 예를 들어, 몇몇 응용예에서, 산소 또는 불소와 같은 현저히 활성적인 종들을 포함하는 층을 증착하는 것이 바람직할 수도 있다. 바람직한 증착된 층은 (예를 들어) 실리콘, 질소 및 불소의 혼합인 재료일 수도 있다. 그러나, CVD 프로세스의 완료 후에 불소 원자를 CVD 증착된 층(6121)으로 이온 주입함으로써, 증착된 층은 불소를 포함하게 할 수 있다. 불소 이온 주입 프로파일은 도121에 도시된 바와 유사하여, 불소 원자는 증착된 층(6121) 전체에 상당히 균일한 방식으로 분포될 수 있다.
도117의 블록(6131)에서, 포스트-CVD 이온 주입 단계는 CVD 증착된 층(6121)의 특정 성질(또는 성질들)을 변화시키기 위해 실행된다. 주입 단계는 (증착된 층의 유전 상수를 변화시키기 위해) 질소, 또는 (CVD 증착된 층(6121)의 스트레스를 변화시키기 위해) 수소와 같이 선택된 종을 CVD 증착된 층에 주입시킨다. 주입 프로파일은 도121에 도시된 바와 같이 동일하여, 주입된 종이 CVD 증착된 층(6121) 전체에 매우 균일하게 분포되게 한다.
선택적으로, 블록(6125, 6127, 6129 및 6131)의 이온 주입 단계 이후에 매우 간단한 포스트-주입 어닐링 단계(블록(6133))가 뒤따르며, 여기서 웨이퍼가 온도를 상승시키기 위해 매우 짧은 기간(수 마이크로초 또는 수 밀리초) 동안 가열되며, 기간은 나노미터 설계 방식의 장치의 현저히 낮은 온도 예정을 방해하지 않도록 충분히 짧다. 택일적으로, 채널 온도는 매우 낮다(예를 들어, 수백 ℃). 필요한 것은 확산 길이가 수 나노미터보다 짧다는 것이다. 확산 길이는 산출 온도 및 상승된 온도 조건의 제곱근에 비례하며, 모든 프로세스 단계에서 누적된다. 따라서, 어닐 시간을 플래시 어닐링 프로세스(또는 동적 표면 어닐링 프로세스)에서 밀리초로 제한함으로써, 확산 길이는 (예를 들어) 65nm 설계 규정에 대해 용인되는 확산 길이 이하로 유지될 수 있다.
도 123a 내지 123h는 p-채널 및 n-채널 전계 효과 트랜지스터(FET)로 이루어진 상보형 금속 산화물 반도체(CMOS) 위에 캐리어 이동도-강화 패시베이션층을 형성하는 저온 플라즈마 CVD 프로세스의 단계 시퀀스 결과를 나타낸다. 이러한 프로세스의 단계 시퀀스는 도 124에 도시된다. 소스, 드레인, 게이트 산화물층 및 게이트를 포함하지만, 상부 패시베이션 및 에칭 정지층은 결여된 CMOS 트랜지스터가 형성된 웨이퍼로부터 상기 프로세스가 시작된다. 저온 CVD 프로세스는 하기에 설명되는 바와 같이 상부층들을 형성한다.
도 123a는 저온 플라즈마 CVD 증착 프로세스의 시작시 필수적인 CMOS 구조를 나타낸다. CMOS 구조는 웨이퍼 또는 n-채널 FET 장치가 형성될 수 있는 p-형 전도성의 반도체 기판(6135)상에 형성될 수 있다. n-형 전도성의 웰(6137)이 p-채널 FET 장치가 형성될 수 있는 기판상의 다양한 위치에 형성된다. 각각의 n-채널 장치는 기판 표면에 n-형 소스 및 드레인의 깊은(deep) 콘택, 기판 표면의 n-형 소스 및 드레인 연장부(6141), 기판 표면 위에 얇은 게이트 산화물층(6143) 및 얇은 게 이트 산화물층(6143) 위에 금속 게이트(6145)를 포함한다. n-채널 장치를 둘러싸는 폭이좁은 절연 트렌치(6147)는 기판(6135)으로부터의 실리콘 에칭에 의해 형성된다. 각각의 p-채널 장치는 n-형 웰(6137) 안쪽에 형성되며 기판 표면에 p-형 소스 및 드레인의 깊은 콘택(6139'), 기판 표면에 p-형 소스 및 드레인 연장부(6141'), 기판 표면 위에 얇은 게이트 산화물층(6143') 및 얇은 게이트 산화물층(6143') 위에 금속 게이트(6145')를 포함한다. p-채널 장치를 둘러싸는 폭이좁은 절연 트렌치(6147')는 기판(6135)으로부터 실리콘을 에칭함으로써 형성된다.
도 124의 제 1 단계는 모든 p-채널 장치 위에 포토레지스트 마스크를 위치시키는 것이다(도 124의 블록(6151)). 도 123b는 p-채널 장치 위에 놓인 포토레지스트 마스크(6153)를 나타낸다. 다음 단계 그룹은 n-채널 캐리어(전자) 이동도를 강화시키기 위해 n-채널 장치 상에 인장 응력층(또는 유전체층) 증착에 관한 것이다. 이들 단계는 다음과 같다: 토로이달 소스 플라즈마 반응기에 웨이퍼 및 증착되는 막에 대한 전구체 종을 함유하는 처리 가스를 주입한다. 막이 소정의 실리콘 및 질소 및 선택적으로 수소를 함유하는 것이라면, 처리 가스는 질소 및/또는 암모니아 및 선택적으로 수소중 하나와 실란의 혼합물일 수 있다(도 124의 블록(6155)). 토로이달 소스 플라즈마 반응기에서 HF 소스 전력은 도 114a의 그래프에 따라, 비-등각적인 CVD막 증착에 적합한 크기로 설정된다(도 124의 블록(6157)). 토로이달 소스 플라즈마 반응기에서 LF 바이어스 전력이 도 116의 그래프에 따라 인장 응력층의 CVD 증착에 적합한 크기로 설정된다(도 124의 블록(6159)). RF 토로이달 플라즈마 전류는 RF 플라즈마 소스 전력의 인가에 따른 결과로서 형성되며(블록 (6161)) 챔버 압력은 약 15mTorr와 같이 낮은 또는 적당한 레벨로 유지된다(블록(6163)). RF 토로이달 플라즈마 전류는 적절한 두께의 인장 응력 비등각층(6165)이 웨이퍼 상에 증착될 때까지 유지된다. 인장 응력층(6165)이 n-채널 장치 절연 트렌치(6147) 상에 또는 그안에 동시에 증착된다. 층(6165)을 증착하는 동안, 절연 트렌치(6147)는 (층(6165)이 트렌치의 상부에 놓이도록)완전히 채워지거나 또는 (층(6165)이 트렌치(6147)의 상부와 하부 사이에 놓이도록)부분적으로 채워지거나 또는 (층(6165)이 트렌치(6147)의 플로워상에 놓이도록)비어 있을 수 있다.
일반적으로 상기 설명된 증착 단계는 포토레지스트층(6153)이 방해받지 않도록 매우 낮은(<100도) 웨이퍼 온도로 유지되는 도 113의 프로세스에 대응된다. 증착된 막(6165)의 비등각 특성은 완전히 노출되거나 부분적으로 커버되는 포토레지스트층(6153)의 수직 측벽(6153a)을 남겨둔다. 이는 예를 들어, 솔벤트 또는 불소와 같은 포토레지스트 제거제를 주입함으로써 다음 단계(도 124의 블록(6167))에서 포토레지스트층(6153) 및 포토레지스트(6153) 위에 놓인 층(6165) 부분을 제거할 수 있게 한다. 이러한 최종 단계는 도 123d에 도시된 것처럼, p-채널 장치를 노출시키면서 n-채널 장치 바로 위에 놓인 층(6165)의 부분을 그대로 유지한다.
다음 단계의 그룹은 p-채널 장치 상에 압축 응력 비등각 층을 증착하는 것이다. 먼저, 도 123e에 도시된 것처럼, 포토레지스트 마스크(6169)는 n-채널 장치 위에 위치된다(도 124의 블록(6171)). 다음, 웨이퍼는 동일한 토로이달 소스 플라즈마 반응기에 위치되고 반응기 챔버 속에 전구체 가스가 주입된다(도 124의 블록(6173)). 토로이달 소스 플라즈마 반응기의 HF 플라즈마 소스 전력은 비등각 CVD 층 증착에 적합한 레벨로 설정되고(블록(6175)) 플라즈마 바이어스 전력은 압축 응력층의 CVD 증착에 적합한 레벨로 설정된다(블록(6177)). 플라즈마 소스 전력의 인가는 도 123f에 도시된 것처럼, 전체 웨이퍼 위에 압축 응력 비등각층(6181)의 CVD 증착이 야기되도록 RF 토로이달 플라즈마 전류를 발생시킨다(블록(6179)). 압축 응력층(6181)은 p-채널 장치 절연 트렌치(6147') 상에 또는 그안에 동시적으로 증착된다. 층(6181)을 증착하는 동안, 절연 트렌치(6147')는 (층(6181)이 트렌치의 상부에 놓이도록)완전히 채워지거나 또는 (층(6181)이 트렌치(6147')의 상부와 하부 사이에 놓이도록)부분적으로 채워지거나 또는 (층(6181)이 트렌치(6147')의 플로워상에 놓이도록)비어 있을 수 있다. 다음 포토레지스트 마스크(6169)가 제거되고(도 124의 블록(6183)), 도 123g에 도시된 것처럼, 상부 코팅(6165)으로 n-채널 장치가 노출된다.
n-채널 장치 위에 놓인 인장 응력 패시베이션층(6165) 및 n-채널 절연 트렌치(6147)를 채우는 인장 응력 증착물은 n-채널 장치의 캐리어(전자) 이동도를 강화시킨다. p-채널 장치 위에 놓인 압축 응력 패시베이션층(6181) 및 p-채널 절연 트렌치(6147')를 채우는 압축 응력 증착물은 p-채널 장치의 캐리어(홀) 이동도를 강화시킨다.
본 프로세스의 또다른 버젼에서, 도 123a 내지 도 123g에 도시된 단계들(즉, 도 124의 단계(6151-6183)들)은 도 124의 포토레지스트 리소그래피 단계(6151)를 생략하고 CVD 단계(6155-6167)를 수행함으로써 모든 장치(p-채널 및 n-채널 모두) 위에 (포토레지스트 없이) 인장 응력층(6165)을 증착함으로써 간략화될 수 있다. 수행되는 포토리소그래피 단계중 하나는 n-채널 장치를 제조하는 단계(6171)이다. 다음, CVD 단계(6173-6179)는 인장 응력으로부터 압축 응력으로 응력을 변환시키기 위해 (p-채널 장치 위에 놓이는) 인장 응력막(6165)의 마스크되지 않은 부분에 (예를 들어) 수소 또는 헬륨을 이온 주입하는 단계로 교체된다. 이러한 이온 주입 단계는 4kV 바이어스 전압 및 약 5×1016 cm-2의 주입 도즈량으로 수행된다. 이러한 프로세스 버젼은 2단계라기 보다는 하나의 포토레지스트 마스킹 단계를 요구하기 때문에 간단하다. 단지 하나의 층(6165)이 이러한 프로세스 버젼에서 증착되기 때문에, 포토레지스트를 제거하는 동안 층 부분의 제거가 없어, 이러한 프로세스 버젼에서 막(6165)이 비등각적인 것은 중요하지 않다.
도 124의 저온 플라즈마 CVD 프로세스 그룹의 최종 단계는 n-채널 및 p-채널 장치의 응력 패시베이션층(6165, 6181) 위에 에칭 정지층을 증착하는 것이다. 단계(6185, 6187, 6189, 6191)는, 단계(6187)에서 소스 전력 레벨은 고도로 등각적인층을 증착하도록 설정되고, 단계(6189)에서 바이어스 전력은 CVD 증착층의 중성(제로) 응력을 위해 설정된다는 것을 제외하고는, 단계(6155, 6157, 6159, 6161)와 대응된다. 도 123h에 도시된 결과물은 웨이퍼가 뛰어난 스텝 커버리지로 코팅되고 제로(중성) 응력을 갖는 고도로 등각적인 패시베이션층(6193)을 나타낸다.
적어도 일부 또는 모든 층(6165, 6181, 6193)의 조성이 동일한 경우, 인장 및 압축 및/또는 중성 사이에서 증착된 층의 응력을 전환시키기 위해 (예를 들어, 단계(6177 및/또는 6189)에서 처럼) 플라즈마 바이어스 전력만을 변화시키면서, 도 124의 적어도 일부 또는 모든 프로세스에 대해 단계(6155, 6157, 6159, 6161)에서 설정되는 상태로 연속적으로 동작하는 토로이달 소스 플라즈 반응기를 유지하는 방안이 있다. 이런 경우, 단계(6151, 6171)에서 각각 포토레지스트 마스크(6153, 6169)의 증착 및, 선택적으로 단계(6167, 6183)에서 이들 마스크의 제거를 위해서만 토로이달 소스 플라즈마 반응기로부터 웨이퍼가 임시적으로 제거된다. 따라서 토로이달 소스 플라즈마 반응기는 CVD 증착 모드에서 연속적으로 동작한다. 선택적으로, 토로이달 소스 플라즈마 반응기에서 단계(6167, 6183)를 수행하기 위해 간단히 레지스트 제거 종을 제거하면서, 토로이달 소스 플라즈마 반응기 자체는 임시적으로 전구체 처리 가스를 제거함으로써 포토레지스트 제거 단계(6167, 6183)를 위해 대해 사용된다.
n-채널 절연 트렌치(6147)는 도 113의 바람직한 실시에 대응하는 개별 프로세스에서 채워진다. 도 113의 프로세스 실시에서, 등각비는 (도 114a에 따라) 소스 전력을 최소화시킴으로써 매우 낮은 레벨로 설정되어, 폭이좁은 절연 트렌치(6147) 각각은 상부 부근에서 핀치-오프를 방지하도록 비등각적 CVD층을 보증한다. (본 명세서에서 사용되는 것처럼, 핀치-오프란 용어는, CVD-증착된 물질이 개구부의 측벽 상부 부근에 축적되어 개구부의 하부 및 중심 영역에서의 증착이 차단되도록 개구부를 폐쇄시키는 경우, 폭이 좁은 트렌치 또는 폭이좁은 콘택 홀과 같은 높은 종횡비의 개구부의 완벽한 충전을 방해하는 현상으로 간주된다.)
유사하게, p-채널 절연 트렌치(6147')는 도 113의 바람직한 실시에 상응하는 개별 프로세스를 이용하여 채워진다. 앞서 설명된 것처럼, 도 113의 이러한 프로 세스 실시에서, 등각비는 매우 낮은 레벨로 설정될 수 있어, 폭이좁은 절연 트렌치(6147') 각각의 상부 부근에서 핀치-오프를 방지하도록 비등각적인 CVD층을 보증한다.
절연 트렌치(6147, 6147')와 같은 높은 종횡비 개구부 충진은 도 113의 프로레스 실시로서 개시되며, 이는 도 114a에 따라, 소스 전력 레벨은 증착된 층을 비등각적인 레벨로 감소된다. 이는 일반적으로 비등각 CVD 증착층이 절연 트렌치(6147, 6147')의 수직 측벽과 같은, 수직 측벽상에 약간의 축적물을 갖거나 또는 축적물을 전혀 갖지 않기 때문이다. 결과적으로, 개구부 상부를 핀치오프시키고 증착을 방해하는 트렌치(61477, 6147')의 수직 측벽 상부 및 개구부 또는 트렌치 하부 부근에 CVD 증착되는 막 축적 경향은 약간 있거나 또는 전혀없다. 높은 종횡비 개구부에서 CVD 증착 동안 이러한 핀치 오프 문제는 수소 또는 질소와 함께 또는 수소 또는 질소 없이 실리콘과 산소의 조합물로서, 산소-함유 물질의 증착에서 특히 두드러진다.
본 발명자는 만약 증착된 물질이 실리콘 및 질소 및 (선택적으로) 수소를 함유하는 화합물이고 산소 또는 불소와 같은 반응성 종이 없다면, 플라즈마 CVD 증착 또는 높은 종횡비의 개구부를 채우는 동안 핀치-오프 문제가 도 113의 저온 토로이달 플라즈마 CVD 프로세스에서 방지될 수 있다는 것을 발견했다. 이는 소스 전력 레벨이 ( 비등각층 증착을 위해) 낮거나 (등각층 증착을 위해) 높거나 사실이다. 따라서, 도 113의 프로세스의 선택적인 방안으로는 산소 없이, 예를 들어 실리콘 및 질소를 함유한 처리 가스 혼합물(예를 들어, 실란 및 질소 가스)을 사용하여 ( 도 123g의 절연 트렌치(6147, 6147')와 같은) 높은 종횡비의 개구부를 채우는 것이 있다. 이는 고도로 등각적인 CVD층 증착에 상응하는 높은 레벨을 포함하는 임의의 적절한 레벨로 소스 전력이 설정되게 한다. 이러한 면은 증착층의 비등각성을 달성하기 위해 소스 전력을 낮은 레벨로 제한할 필요성을 제거함으로써 프로세스의 융통성을 증가시켜, 높은 종횡비 개구부의 충전을 위한 도 113의 저온 토로이달 플라즈마 CVD 프로세스의 응용을 위해 소스 전력 윈도우를 상당히 넓힐 수 있다.
관련면에서, 산소가 없는 실리콘-질소 혼합물을 사용함으로써 방지되는, 등각성막을 높은 종횡비의 개구부에 CVD 증착하는 동안 핀치-오프 문제는 증착되는 물질이 산소를 함유하더라도 달성된다. 이러한 놀라운 결과는 높은 종횡비의 개구부가 대부분(또는 거의) 채워진 후에, (0%에서 시작하여) 처리 가스의 산소 함량을 증가시킴으로써 도 113의 프로세스의 또다른 버젼을 달성한다. 따라서, 도 113의 플라즈마 CVD 증착 프로세스는 산소가 없는 처리 가스로 부터 시작하여, 높은 종횡비의 개구부가 (예를 들어, 80% 충진된) 소정의 퍼센트로 충진된 후에는, 소량의 산소가 처리 가스에 주입되며 그의 비율은 개구부가 거의 완벽하게 채워지는 경우(예를 들어, 핀치-오프 위험성이 제로로 약해지도록 약 95% 채워진 경우), 산소 함량이 매우 높아질 때까지 증가된다. 이온 주입시, 질소 함량은 산소 함량이 연속적으로 증가함에 따라 연속적으로 감소될 수 있어, 높은 종횡비의 개구부를 채우는 증착층 상부는 기본적으로 실리콘 이산화물과 같은 산화물이 된다. 이러한 면은 핀치-오프 문제를 방지하면서 산화물(또는 불화물)로 이루어진 높은 등각성의 CVD층으로 높은 종횡비의 개구부를 채울 수 있다.
이러한 프로세스는 도 125에 도시된다. 이러한 프로세스는 도 113의 모든 프로세스 단계, 즉, 단계들(6107, 6109, 61114, 6113, 6115, 6117)를 포함한다. 이러한 단계들은 블록(6107)의 단계에서 주입되는 웨이퍼가 CVD 프로세스에서 채워지는 (절연 트렌치와 같은) 높은 종횡비의 개구부를 갖는다는 것을 제외하고는, 도 113을 참조로 상기 설명된 방식으로 수행된다. 또한, 블록(6109)의 단계에서, 초기에 주입되는 처리 가스는 산소가 없거나 또는 불소와 같은 활성종이다. 그리고, 블록(6115)의 단계에서, 소스 전력은 높은 종횡비의 개구부에서 핀치-오프 위험성이 없이 원하는 경우 (등각성 코팅을 위해) 높은 전력으로 설정될 수 있다.
높은 종횡비 개구부가 소정의 퍼센트(예를 들어, 70% 또는 80%, 또는 적어도 50% 이상)로 채우진 후, (산소와 같은) 활성 종이 소량에서 시작하여 개부구가 연속적으로 채워짐에 따라 증가되게 처리 가스에 주입된다(도 125의 블록(6195)). 부가적으로( 및 선택적으로), 산소가 처리 가스 혼합물의 질소를 교체하기 시작하도록, 질소 함유 가스의 흐름 속도는 산소 함량이 증가함에 따라 감소된다(도 125의 블록(6197)). 원한다면, 단계(6195, 6197)의 변화가 이루어지는 속도는 충분히 높아 개구부가 거의 채워진 후(예를 들어, 95% 채워진 후), 질소는 산소로 완전히 교체되며, 증착된 층의 상부는 실리콘 이산화물과 같은 산화물이 된다. 도 126은 개구부를 채우는데 요구되는 시간 기간에 따른 시간의 함수로서 산소(실선)와 질소(점선)의 가스 흐름 속도를 나타낸다. 도 126에서, 개구부가 50% 채워진 후, 질소 흐름 속도가 비례적으로 감소되기 시작하면서 산소가 주입되기 시작한다. 상기 시간에 따라 프로세스가 완료되고(개구부가 100% 채워진 경우), 산소가 처리 가스 혼 합물의 질소로 완전히 교체된다. 도 127은 깊이의 함수에 따라 증착된 층의 산소 함량 프로파일을 나타낸다. 개구부(또는 절연 트렌치)의 하부에서, 산소 함량은 제로이며, 절반 깊이에서 산소 함량은 증가하기 시작하는 반면, 개구부 상부에서 산소 함량은 최대가 된다.
도 113, 124 또는 125의 프로세스에서 CVD 증착막의 함량은 처리 가스 혼합물을 제어함으로써 제어될 수 있다. 실리콘 질화물(SiN)의 플라즈마 CVD 층을 증착하기 위해, 처리 가스는 실란 가스 및 N2 가스 또는 암모니아 가스중 하나로 구성될 수 있다. 증착층이 상당량의 수소를 함유하는 것이라면, 수소 가스(H2)가 처리 가스 혼합물에 부가된다. 증착층의 수소 함량은 처리 가스의 수소 함량을 제어함으로써 제어된다. 증착층의 수소 함량은 층의 응력 형태에 영향을 미치며, 상기 응력은 압축 응력과 인장 응력 사이에서 변할 수 있다. 증착층이 산소를 함유하는 것이라면, 실란 주입과 구별되는 경로로 반응기 챔버에 산소가 주입된다. 또한, 실란과 산소간의 신속한 반응을 방지하기 위해서, 반응기 챔버 압력은 (예를 들어, 15mTorr의) 낮은 레벨로 유지되어야 한다. 이러한 목적을 위해, 개별 처리 가스 주입기가 도 1의 측면 주입 포트(130)중 하나와 같은 개별 주입 포트를 통해 산소 가스를 주입할 수 있다. 주요 처리 가스 혼합물(즉, 실란 및 질소 및/또는 암모니아 또는 수소)은 도 45의 가스 분배판(210)과 같이 천정내 오버헤드 가스 분배판을 통해 공급될 수 있다. 가스 혼합물의 방사상 분포는 도 45의 내부 및 외부 가스 공급 주입구(4490, 4492)의 가스 흐름 속도를 독립적으로 조절함으로써 제어될 수 있어, 웨이퍼 위에 균일한 처리 가스 분포를 확보할 수 있다.
처리 가스 혼합물은 임의적으로 다음과 같은 것으로 구성될 수 있다 :
실란 및 질소 가스;
실란 및 암모니아 가스;
실란, 질소 및 수소 가스;
실란, 암모니아 및 수소 가스;
실란 및 산소 가스;
실란, 질소 및 산소 가스;
실란, 암모니아 및 산소 가스;
실란, 질소, 수소 및 산소 가스;
실란, 암모니아, 수소 및 산소 가스.
웨이퍼상의 CVD 증착에 유용하다는 것 이외에, 상기 처리 가스 혼합물은 내부 플라즈마 반응기 챔버 표면상에 패시베이션 코팅 증착에 유용하다.
본 명세서에서 상기 설명된 것처럼, 저온 CVD 프로세스에 의해 증착된 실리콘 질화물층은 CVD 프로세스의 완료 후에 증착된 층속에 질소(또는 다른 종)를 주입함으로써 강화될 수 있다. 이온 주입은 상기 설명된 것처럼, 플라즈마 이머젼 이온 주입 반응기와 같은 토로이달 소스 반응기를 사용하여 수행될 수 있다.
구조물의 수평 표면의 이온 주입 깊이와 구조물의 수직 표면의 이온 주입 깊이 사이의 불균형(disparity)을 최소화시키면서, 이들의(상기 저온 CVD 프로세스에 의해 증착된 층) 물리적 특성을 강화시키기 위한 3차원 구조의 이온주입이 달성될 수 있다. 예를 들어 상기 구조물은 트랜지스터의 소스-대-드레인 채널 위에 놓이는 얇은 산화물 게이트일 수 있다. 이러한 3차원 구조물은 수평한 상부 표면과 4개의 수직 측면을 갖는다. 또는 상기 구조물은 10:1 이상의 높은 종횡비를 갖는 (깊은 트렌치와 같은) 높은 종횡비의 개구부일 수 있다. 플라즈마 이머젼 이온 주입은, 입사각 및 이온주입 깊이가 수평 표면 및 적어도 구조물의 수직면에서 가장크도록, 수직 방향의 이온 플럭스를 형성한다. 플라즈마 바이어스는 이온 주입 깊이를 설정하도록 선택된다. 수평 표면과 수직 표면의 이온 주입 깊이 사이의 불균형은 웨이퍼 표면 부근의 이온 궤적의 각진 분포를 증가시킴을써 감소된다. 수직 방향으로부터 이온 궤적의 각진 스프레드(spread)(또는 표준 편차)가 클수록, 수직 표면의 이온 주입 깊이가 커져, 수직 표면과 수평 표면의 이온 주입 깊이 사이의 불균형은 작아진다. 제품 표면 부근에서 이온 궤적의 각진 분포는 플라즈마 시쓰 두께와 챔버 압력에 비례한다. 플라즈마 시쓰 두께는 플라즈마 RF 소스 전력에 따라 감소되고, 플라즈마 압력 및 플라즈마 RF 바이어스 전력에 따라 증가된다. 따라서, 바이어스 전력은 전체 평균 이온 주입 깊이를 달성하도록 선택될 수 있는 반면, 챔버 압력 및 RF 플라즈마 소스 전력은 이온 궤적의 각진 분포의 스프레드(spread) 또는 편향(deviation)을 증가시키도록 조절되어 원하는 쓰레숄드로 수평 표면 및 수직 표면의 이온 주입 깊이 사이의 불균형을 감소시킬 수 있다. 따라서, RF 플라즈마 소스 전력 및 챔버 압력은 이온 궤적의 각진 스프레드(spread)가 수평 표면(들)의 소정의 최대 이온 주입 깊이를 초과하지 않도록 주입된 구조물의 수직 표면에 원하는 최소 이온 주입 깊이를 달성하기에 충분한 값으로 설정된다.
작업예에서, 수직 표면의 이온 주입 깊이는 적어도 100 옴스트롱이며 수평 표면에서는 400 옴스트롱을 초과하지 않는다. RF 바이어스 전압은 약 4kV이며, 소스 전압은 약 500Watt이고, 챔버 압력은 약 25mT이다. 주입 도즈량은 약 20-30초 정도일 수 있는 주입 시간에 따라 설정된다. 본 발명을 바람직한 실시예를 참조로 설명하였지만, 당업자는 본 발명의 범주 및 정신을 벗어나지 않고 다양한 변형 및 변조가 가능함을 알것이다.
본 발명에 따라 CVD 프로세스에서 등각성이 약 0.1(비-균일) 내지 약 0.5 이상(균일)으로 가변할 수 있고, 상이한 층이 각각 균일하게 그리고 비균일하게 형성될 수 있고, 웨이퍼가 층 품질을 손상시키지 않고 과도한 생산성 손실 없이 저온에서( 프로세스가 65nm 장치 제조에 유용하도록) 유지될 수 있다.

Claims (24)

  1. 제품상에 Si 또는 Ge의 반도체 원소, 질소, 수소 또는 산소 중 어느 하나를 함유하는 코팅을 증착하기 위한 저온 증착 방법으로서,
    챔버의 프로세싱 영역을 면하는 반응기 챔버에 상기 제품을 위치시키는 단계;
    상기 반응기 챔버에 Si 또는 Ge의 반도체 원소, 질소, 수소 또는 산소 중 어느 하나를 함유하는 처리 가스를 주입하는 단계;
    제 1 주파수에서 상기 챔버 외부의 재진입형 도관의 일부에 RF 플라즈마 소스 전력을 인가하고 재진입형 경로의 일부를 형성함으로써 상기 프로세싱 영역을 지나는 재진입형 경로에 토로이달 RF 플라즈마 전류를 발생시키는 단계; 및
    상기 코팅의 등각성(conformality)을 등각성(conformal)과 비등각성(non-conformal) 사이의 범위 내로 설정하면서 상기 코팅의 응력을 압축 응력과 인장 응력 사이의 범위 내로 설정하는 단계
    를 포함하는, 저온 증착 방법.
  2. 제 1 항에 있어서,
    제 2 주파수에서 상기 제품에 RF 플라즈마 바이어스 전압을 인가하는 단계를 더 포함하는, 저온 증착 방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 등각성을 설정하는 단계는 상기 코팅이 등각적으로 증착되는 최대 소스 전력과 상기 코팅이 비등각적으로 증착되는 최소 소스 전력 사이의 범위내의 임의의 레벨로 상기 RF 플라즈마 소스 전력을 설정하는 단계를 포함하며,
    상기 응력을 설정하는 단계는 원하는 레벨의 응력에 상응하는 RF 바이어스 전압을 인가함으로써 상기 응력을 제어하는 단계를 포함하는, 저온 증착 방법.
  5. 제 4 항에 있어서,
    RF 바이어스 전압을 이용하여 상기 응력을 제어하는 단계는, 압축 응력으로 상기 코팅이 증착되는 최대 바이어스 전력과 인장 응력으로 상기 코팅이 증착되는 최소 또는 제로 바이어스 전력 사이의 범위내의 임의의 바이어스 전력 레벨에 상응하는 RF 바이어스 전압을 상기 제품에 인가하는 단계를 포함하는, 저온 증착 방법.
  6. 제 4 항에 있어서,
    상기 최대 소스 전력은 0.5를 초과하는 등각성비에 상응하며 상기 최소 소스 전력은 0.1을 초과하지 않는 등각성비에 상응하는, 저온 증착 방법.
  7. 제 5 항에 있어서,
    상기 최소 바이어스 전력은 상기 코팅에서 +1 기가파스칼(gigaPascal)의 응력 레벨에 상응하며 상기 최대 바이어스 전력은 상기 코팅에서 -1 기가파스칼의 응력 레벨에 상응하는, 저온 증착 방법.
  8. 제 1 항에 있어서,
    상기 챔버에 상기 제품을 위치시키기 이전에, 실리콘, 질소, 수소 또는 산소중 적어도 하나를 함유하는 코팅으로 상기 챔버의 내부 표면들을 코팅함으로써 상기 챔버를 예비처리하는 단계를 더 포함하는, 저온 증착 방법.
  9. 제 1 항에 있어서,
    주입될 이온들을 포함하는 토로이달 RF 플라즈마 전류를 상기 재진입 경로에 발생시키고 원하는 이온 주입 깊이에 상응하는 바이어스 전압을 상기 제품에 인가함으로써, 코팅 증착이 완료된 후에 상기 챔버내의 상기 제품상에 증착 후(post deposition) 이온 주입 프로세스를 수행하는 단계를 더 포함하는, 저온 증착 방법.
  10. 제 9 항에 있어서,
    상기 증착 후 이온 주입 프로세스는, 상기 코팅의 접착력을 강화시키기 위해 상기 코팅과 상기 코팅이 증착된 상기 제품의 하부층 사이의 계면 레벨에서 주입 깊이에 상응하는 운동(kinetic) 에너지를 갖는 이온들로 상기 제품을 이온 가격하는(bombarding) 단계를 포함하는, 저온 증착 방법.
  11. 제 9 항에 있어서,
    상기 증착 후 이온 주입 프로세스는, 상기 코팅내 종들의 함량을 풍부하게 하기 위하여 선택된 종들의 이온들을 상기 코팅에 주입하는 단계를 포함하는, 저온 증착 방법.
  12. 제 11 항에 있어서,
    상기 함량은 화학양론비 이상 풍부한, 저온 증착 방법.
  13. 제 11 항에 있어서,
    상기 선택된 종들은 질소를 포함하며, 상기 증착 후 이온 주입 프로세스는 상기 코팅의 유전상수를 강화시키는, 저온 증착 방법.
  14. 제 11 항에 있어서,
    상기 선택된 종들은 수소 또는 헬륨을 포함하는 클래스에서의 경량의(light) 종들을 포함하여, 상기 증착 후 이온 주입 프로세스는 상기 코팅의 응력을 조절하는, 저온 증착 방법.
  15. 제 9 항에 있어서,
    상기 증착 후 이온 주입 프로세스는, 플라즈마 화학적 기상 증착과 호환되지 않는 선택된 종들의 이온들을 상기 코팅에 주입하는 단계를 포함하는, 저온 증착 방법.
  16. 제 9 항에 있어서,
    십 나노 미터의 피쳐 크기 미만으로 상기 제품의 확산 길이를 제한하기 위한 (a) 온도, (b) 지속기간 중 적어도 하나를 갖는 플래시 어닐링 단계를 더 포함하는, 저온 증착 방법.
  17. 제 1 항에 있어서,
    상기 제품은 상기 코팅이 채워지는 10:1 이상의 종횡비의 개구부를 가지며, 상기 방법은, 상기 증착 프로세스를 시작할 때 질소-함유 처리 가스를 사용하는 단계를 더 포함하는, 저온 증착 방법.
  18. 제 17 항에 있어서,
    상기 개구부가 상기 코팅으로 채워지기 시작할 때 상기 처리 가스의 산소 함량을 증가시키면서, 상기 개구부가 상기 코팅으로 채워질 때, 모든 상기 질소 함량이 산소 함량으로 교체될 때까지, 상기 처리 가스의 질소 함량을 감소시키는 단계를 더 포함하는, 저온 증착 방법.
  19. 제 18 항에 있어서,
    상기 플라즈마 소스 전력 레벨은 비-등각적인 코팅을 형성하도록 설정되는, 저온 증착 방법.
  20. 제 18 항에 있어서,
    상기 플라즈마 소스 전력 레벨은 등각적인 코팅을 형성하도록 설정되는, 저온 증착 방법.
  21. 제 2 항에 있어서,
    상기 제 1 주파수는 HF 주파수이고 상기 제 2 주파수는 LF 주파수인, 저온 증착 방법.
  22. 제 2 항에 있어서,
    상기 제 1 및 제 2 주파수는 동일한 주파수인, 저온 증착 방법.
  23. 제 2 항에 있어서,
    상기 코팅을 증착하기 이전에, (a) 상기 제품에 N-채널 소자 구조 세트 및 (b) 상기 제품에 P-채널 소자 세트 중 하나를 연속적으로 마스킹하면서 다른 세트를 마스킹하지 않거나 마스킹되지 않은 채 남겨두는 단계 ; 및
    상기 마스킹되지 않은 세트가 상기 P-채널 소자들을 구성하는 경우 압축 응력을 이용하고 상기 마스킹되지 않은 세트가 N-채널 소자들을 구성하는 경우 인장 응력을 이용하여 상기 코팅이 증착되는 레벨로 상기 RF 바이어스 전압을 설정하는 단계를 더 포함하는, 저온 증착 방법.
  24. 제 1 항에 있어서,
    100℃ 또는 100℃ 미만으로 상기 제품의 온도를 유지하는 단계를 더 포함하는, 저온 증착 방법.
KR1020050036970A 2004-05-03 2005-05-03 Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스 KR101162775B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/838,052 US7223676B2 (en) 2002-06-05 2004-05-03 Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US10/838,052 2004-05-03

Publications (2)

Publication Number Publication Date
KR20060047699A KR20060047699A (ko) 2006-05-18
KR101162775B1 true KR101162775B1 (ko) 2012-07-05

Family

ID=34936086

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020050036971A KR101155139B1 (ko) 2004-05-03 2005-05-03 Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스
KR1020050036970A KR101162775B1 (ko) 2004-05-03 2005-05-03 Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020050036971A KR101155139B1 (ko) 2004-05-03 2005-05-03 Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스

Country Status (5)

Country Link
US (2) US7223676B2 (ko)
EP (2) EP1593753A3 (ko)
KR (2) KR101155139B1 (ko)
CN (2) CN1693537A (ko)
TW (2) TWI398907B (ko)

Families Citing this family (446)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR876M (ko) 1960-10-12 1961-10-16
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US20070042580A1 (en) * 2000-08-10 2007-02-22 Amir Al-Bayati Ion implanted insulator material with reduced dielectric constant
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7465478B2 (en) * 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US20050230047A1 (en) * 2000-08-11 2005-10-20 Applied Materials, Inc. Plasma immersion ion implantation apparatus
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
DE10360000B4 (de) 2003-12-19 2009-12-10 Advanced Micro Devices, Inc., Sunnyvale Abstandselement für eine Gateelektrode mit Zugspannung eines Transistorelements und ein Verfahren zur Herstellung
US7521653B2 (en) * 2004-08-03 2009-04-21 Exatec Llc Plasma arc coating system
US7927933B2 (en) * 2005-02-16 2011-04-19 Imec Method to enhance the initiation of film growth
US7232730B2 (en) * 2005-04-29 2007-06-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a locally strained transistor
US20060244074A1 (en) * 2005-04-29 2006-11-02 Chien-Hao Chen Hybrid-strained sidewall spacer for CMOS process
US7312162B2 (en) * 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US20060260545A1 (en) * 2005-05-17 2006-11-23 Kartik Ramaswamy Low temperature absorption layer deposition and high speed optical annealing system
US7422775B2 (en) * 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7429532B2 (en) * 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
JP2007123766A (ja) * 2005-10-31 2007-05-17 Tokyo Electron Ltd エッチング方法、プラズマ処理装置及び記憶媒体
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7504041B2 (en) * 2006-05-03 2009-03-17 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor employing a dynamically adjustable plasma source power applicator
KR101170597B1 (ko) * 2006-05-10 2012-08-02 주성엔지니어링(주) 진폭변조 알에프 전력을 이용한 갭필 방법 및 이를 위한갭필 장치
US20070280328A1 (en) * 2006-05-30 2007-12-06 Howmet Corporation Melting method using graphite melting vessel
US8287895B1 (en) 2008-04-24 2012-10-16 Hrl Laboratories, Llc Three-dimensional biological scaffold compromising polymer waveguides
US8197930B1 (en) 2007-05-10 2012-06-12 Hrl Laboratories, Llc Three-dimensional ordered open-cellular structures
US7382959B1 (en) 2006-10-13 2008-06-03 Hrl Laboratories, Llc Optically oriented three-dimensional polymer microstructures
US7687132B1 (en) 2008-03-05 2010-03-30 Hrl Laboratories, Llc Ceramic microtruss
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
WO2008083301A1 (en) * 2006-12-28 2008-07-10 Exatec, Llc Apparatus and method for plasma arc coating
JP5847381B2 (ja) * 2007-02-26 2016-01-20 ドクトル・ラウレ・プラスマテヒノロギー・ゲゼルシャフト・ミト・ベシュレンクテル・ハフツング 体積の大きな構成部品にプラズマ支援によるコーティングおよび表面処理を施す装置および方法
KR101588174B1 (ko) * 2007-05-17 2016-01-27 엑사테크 엘.엘.씨. 공통 플라즈마 코팅 구역에서 복수의 코팅 재료를 침착시키기 위한 장치 및 방법
US20090008577A1 (en) * 2007-07-07 2009-01-08 Varian Semiconductor Equipment Associates, Inc. Conformal Doping Using High Neutral Density Plasma Implant
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101173645B1 (ko) * 2007-12-31 2012-08-20 (주)에이디에스 가스 분사 유닛 및 이를 구비하는 박막 증착 장치
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
KR101528528B1 (ko) * 2008-05-14 2015-06-12 어플라이드 머티어리얼스, 인코포레이티드 Rf 전력 전달을 위한 시간 분해된 조정 방식을 이용하는 펄스화된 플라즈마 처리를 위한 방법 및 장치
US20100010686A1 (en) * 2008-07-11 2010-01-14 Michael Wayne Shore Distributing Alternatively Generated Power to a Real Estate Development
US20100021273A1 (en) * 2008-07-28 2010-01-28 Applied Materials, Inc. Concrete vacuum chamber
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US20100173448A1 (en) * 2009-01-07 2010-07-08 Applied Materials, Inc. High frequency plasma enhanced chemical vapor deposition
US8852523B1 (en) 2009-03-17 2014-10-07 Hrl Laboratories, Llc Ordered open-cellular materials for mass transfer and/or phase separation applications
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8465825B1 (en) 2009-05-29 2013-06-18 Hrl Laboratories, Llc Micro-truss based composite friction-and-wear apparatus and methods of manufacturing the same
US20110021011A1 (en) 2009-07-23 2011-01-27 Advanced Technology Materials, Inc. Carbon materials for carbon implantation
US8578879B2 (en) * 2009-07-29 2013-11-12 Applied Materials, Inc. Apparatus for VHF impedance match tuning
US20110039034A1 (en) * 2009-08-11 2011-02-17 Helen Maynard Pulsed deposition and recrystallization and tandem solar cell design utilizing crystallized/amorphous material
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
AU2010288081B2 (en) * 2009-08-27 2014-03-20 Mosaic Crystals Ltd. Penetrating plasma generating apparatus for high vacuum chambers
US8497196B2 (en) * 2009-10-04 2013-07-30 Tokyo Electron Limited Semiconductor device, method for fabricating the same and apparatus for fabricating the same
CN102598131B (zh) * 2009-11-04 2016-04-13 应用材料公司 用于图案化的磁盘媒体应用的等离子体离子注入工艺
US8343860B1 (en) 2010-03-23 2013-01-01 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude High C content molecules for C implant
JPWO2012011480A1 (ja) * 2010-07-21 2013-09-09 東京エレクトロン株式会社 層間絶縁層形成方法
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
JP5238780B2 (ja) * 2010-09-17 2013-07-17 株式会社東芝 磁気記録媒体とその製造方法及び磁気記録装置
CN101942649A (zh) * 2010-10-21 2011-01-12 韩山师范学院 一种实现低温构筑高密度纳米硅结构的方法
JP5709505B2 (ja) * 2010-12-15 2015-04-30 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法、および記憶媒体
JP5941653B2 (ja) * 2011-02-24 2016-06-29 東京エレクトロン株式会社 シリコン窒化膜の成膜方法及びシリコン窒化膜の成膜装置
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8429574B2 (en) * 2011-04-14 2013-04-23 Cadence Design Systems, Inc. Dual-pattern coloring technique for mask design
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8664126B2 (en) * 2011-06-10 2014-03-04 Applied Materials, Inc. Selective deposition of polymer films on bare silicon instead of oxide surface
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
WO2013039508A1 (en) 2011-09-16 2013-03-21 Empire Technology Development Llc Alteration of graphene defects
US8551891B2 (en) * 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9250178B2 (en) 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9539773B2 (en) 2011-12-06 2017-01-10 Hrl Laboratories, Llc Net-shape structure with micro-truss core
SG11201404872SA (en) 2012-02-14 2014-09-26 Advanced Tech Materials Carbon dopant gas and co-flow for implant beam and source life performance improvement
KR101982903B1 (ko) * 2012-02-14 2019-05-27 엔테그리스, 아이엔씨. 주입 용품에서 인 축적을 최소화하기 위한 대체 물질 및 혼합물
US9017806B2 (en) 2012-03-23 2015-04-28 Hrl Laboratories, Llc High airflow micro-truss structural apparatus
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9082591B2 (en) * 2012-04-24 2015-07-14 Applied Materials, Inc. Three-coil inductively coupled plasma source with individually controlled coil currents from a single RF power generator
TWI522490B (zh) * 2012-05-10 2016-02-21 應用材料股份有限公司 利用微波電漿化學氣相沈積在基板上沈積膜的方法
US10319872B2 (en) 2012-05-10 2019-06-11 International Business Machines Corporation Cost-efficient high power PECVD deposition for solar cells
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018093B2 (en) * 2013-01-25 2015-04-28 Asm Ip Holding B.V. Method for forming layer constituted by repeated stacked layers
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US20140272108A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
JP6177012B2 (ja) * 2013-06-04 2017-08-09 株式会社ダイヘン インピーダンス整合装置
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10978955B2 (en) 2014-02-28 2021-04-13 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US9960763B2 (en) 2013-11-14 2018-05-01 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser
US11539352B2 (en) 2013-11-14 2022-12-27 Eagle Harbor Technologies, Inc. Transformer resonant converter
US10892140B2 (en) * 2018-07-27 2021-01-12 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US10020800B2 (en) 2013-11-14 2018-07-10 Eagle Harbor Technologies, Inc. High voltage nanosecond pulser with variable pulse width and pulse repetition frequency
KR101532376B1 (ko) 2013-11-22 2015-07-01 피에스케이 주식회사 상호 유도 결합을 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10483089B2 (en) 2014-02-28 2019-11-19 Eagle Harbor Technologies, Inc. High voltage resistive output stage circuit
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9533909B2 (en) 2014-03-31 2017-01-03 Corning Incorporated Methods and apparatus for material processing using atmospheric thermal plasma reactor
US9284210B2 (en) 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
US9550694B2 (en) 2014-03-31 2017-01-24 Corning Incorporated Methods and apparatus for material processing using plasma thermal source
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR101649947B1 (ko) * 2014-07-08 2016-08-23 피에스케이 주식회사 이중 플라즈마 소스를 이용한 플라즈마 생성 장치 및 그를 포함하는 기판 처리 장치
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10109488B2 (en) * 2014-09-01 2018-10-23 Entegris, Inc. Phosphorus or arsenic ion implantation utilizing enhanced source techniques
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160200618A1 (en) 2015-01-08 2016-07-14 Corning Incorporated Method and apparatus for adding thermal energy to a glass melt
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
JP6492736B2 (ja) * 2015-02-17 2019-04-03 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR20170129515A (ko) * 2016-05-17 2017-11-27 삼성전자주식회사 반도체 장치의 제조 방법
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102619012B1 (ko) * 2016-05-27 2023-12-28 주식회사 뉴파워 프라즈마 다중 플라즈마 채널을 갖는 플라즈마 챔버
US10903047B2 (en) * 2018-07-27 2021-01-26 Eagle Harbor Technologies, Inc. Precise plasma control system
US11004660B2 (en) 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US11430635B2 (en) 2018-07-27 2022-08-30 Eagle Harbor Technologies, Inc. Precise plasma control system
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
CN115378264A (zh) 2017-02-07 2022-11-22 鹰港科技有限公司 变压器谐振转换器
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
DE102018204585A1 (de) * 2017-03-31 2018-10-04 centrotherm international AG Plasmagenerator, Plasma-Behandlungsvorrichtung und Verfahren zum gepulsten Bereitstellen von elektrischer Leistung
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10770314B2 (en) * 2017-05-31 2020-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, tool, and method of manufacturing
CN108987227B (zh) * 2017-06-02 2022-02-18 台湾积体电路制造股份有限公司 等离子体处理晶片的方法、等离子体控制方法及反应系统
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN111264032B (zh) 2017-08-25 2022-08-19 鹰港科技有限公司 使用纳秒脉冲的任意波形生成
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10727059B2 (en) * 2017-12-01 2020-07-28 Applied Materials, Inc. Highly etch selective amorphous carbon film
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US10510512B2 (en) * 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP2021531648A (ja) * 2018-07-19 2021-11-18 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated 低温熱高品質の誘電体膜
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11222767B2 (en) 2018-07-27 2022-01-11 Eagle Harbor Technologies, Inc. Nanosecond pulser bias compensation
US11532457B2 (en) 2018-07-27 2022-12-20 Eagle Harbor Technologies, Inc. Precise plasma control system
US11302518B2 (en) 2018-07-27 2022-04-12 Eagle Harbor Technologies, Inc. Efficient energy recovery in a nanosecond pulser circuit
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
KR102499709B1 (ko) 2018-08-10 2023-02-16 이글 하버 테크놀로지스, 인코포레이티드 RF 플라즈마 반응기용 플라즈마 시스(sheath) 제어
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202308306A (zh) 2019-01-08 2023-02-16 美商鷹港科技股份有限公司 產生高壓脈波之方法
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114144860A (zh) * 2019-01-31 2022-03-04 鹰港科技有限公司 精密等离子体控制系统
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
DE102019201468A1 (de) * 2019-02-05 2020-08-06 Carl Zeiss Smt Gmbh Vorrichtung und Verfahren zum Reparieren einer fotolithographischen Maske
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
TWI778449B (zh) 2019-11-15 2022-09-21 美商鷹港科技股份有限公司 高電壓脈衝電路
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11527383B2 (en) 2019-12-24 2022-12-13 Eagle Harbor Technologies, Inc. Nanosecond pulser RF isolation for plasma systems
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
IL300972A (en) * 2020-08-28 2023-04-01 Plasma Surgical Invest Ltd Systems, methods and devices for producing radially expanded plasma flow
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI756117B (zh) * 2021-04-23 2022-02-21 財團法人國家實驗研究院 晶圓級二維材料沉積裝置
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240087859A1 (en) * 2022-09-08 2024-03-14 Applied Materials, Inc. Methods and apparatus for toroidal plasma generation

Family Cites Families (162)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2344138A (en) 1940-05-20 1944-03-14 Chemical Developments Corp Coating method
US3109100A (en) 1960-05-19 1963-10-29 Automatic Canteen Co Photosensitive currency testing device
US3576685A (en) 1968-03-15 1971-04-27 Itt Doping semiconductors with elemental dopant impurity
US3907616A (en) 1972-11-15 1975-09-23 Texas Instruments Inc Method of forming doped dielectric layers utilizing reactive plasma deposition
CH611938A5 (ko) 1976-05-19 1979-06-29 Battelle Memorial Institute
US4176003A (en) 1978-02-22 1979-11-27 Ncr Corporation Method for enhancing the adhesion of photoresist to polysilicon
DE3118785A1 (de) 1981-05-12 1982-12-02 Siemens AG, 1000 Berlin und 8000 München Verfahren und vorrichtung zum dotieren von halbleitermaterial
US4465529A (en) 1981-06-05 1984-08-14 Mitsubishi Denki Kabushiki Kaisha Method of producing semiconductor device
US4385946A (en) 1981-06-19 1983-05-31 Bell Telephone Laboratories, Incorporated Rapid alteration of ion implant dopant species to create regions of opposite conductivity
US4382099A (en) 1981-10-26 1983-05-03 Motorola, Inc. Dopant predeposition from high pressure plasma source
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4521441A (en) 1983-12-19 1985-06-04 Motorola, Inc. Plasma enhanced diffusion process
US4579618A (en) 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS60153119A (ja) 1984-01-20 1985-08-12 Fuji Electric Corp Res & Dev Ltd 不純物拡散方法
US4539217A (en) 1984-06-27 1985-09-03 Eaton Corporation Dose control method
US4584026A (en) * 1984-07-25 1986-04-22 Rca Corporation Ion-implantation of phosphorus, arsenic or boron by pre-amorphizing with fluorine ions
US4698104A (en) 1984-12-06 1987-10-06 Xerox Corporation Controlled isotropic doping of semiconductor materials
JPH0763056B2 (ja) 1986-08-06 1995-07-05 三菱電機株式会社 薄膜形成装置
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4764394A (en) 1987-01-20 1988-08-16 Wisconsin Alumni Research Foundation Method and apparatus for plasma source ion implantation
US4912065A (en) 1987-05-28 1990-03-27 Matsushita Electric Industrial Co., Ltd. Plasma doping method
KR930003857B1 (ko) 1987-08-05 1993-05-14 마쯔시다덴기산교 가부시기가이샤 플라즈마 도우핑방법
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
US5643838A (en) 1988-03-31 1997-07-01 Lucent Technologies Inc. Low temperature deposition of silicon oxides for device fabrication
US4871421A (en) 1988-09-15 1989-10-03 Lam Research Corporation Split-phase driver for plasma etch system
EP0395415B1 (en) * 1989-04-27 1995-03-15 Fujitsu Limited Apparatus for and method of processing a semiconductor device using microwave-generated plasma
US5061838A (en) 1989-06-23 1991-10-29 Massachusetts Institute Of Technology Toroidal electron cyclotron resonance reactor
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
US5106827A (en) 1989-09-18 1992-04-21 The Perkin Elmer Corporation Plasma assisted oxidation of perovskites for forming high temperature superconductors using inductively coupled discharges
US5312778A (en) 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5040046A (en) 1990-10-09 1991-08-13 Micron Technology, Inc. Process for forming highly conformal dielectric coatings in the manufacture of integrated circuits and product produced thereby
US5107201A (en) 1990-12-11 1992-04-21 Ogle John S High voltage oscilloscope probe with wide frequency response
US5288650A (en) 1991-01-25 1994-02-22 Ibis Technology Corporation Prenucleation process for simox device fabrication
JPH04318168A (ja) * 1991-04-17 1992-11-09 Ishikawajima Harima Heavy Ind Co Ltd イオン複合cvd法及びその装置
JP2626339B2 (ja) * 1991-08-26 1997-07-02 日新電機株式会社 薄膜形成装置
JP3119693B2 (ja) 1991-10-08 2000-12-25 エム・セテック株式会社 半導体基板の製造方法及びその装置
US5290382A (en) 1991-12-13 1994-03-01 Hughes Aircraft Company Methods and apparatus for generating a plasma for "downstream" rapid shaping of surfaces of substrates and films
US5423945A (en) 1992-09-08 1995-06-13 Applied Materials, Inc. Selectivity for etching an oxide over a nitride
US5229305A (en) 1992-02-03 1993-07-20 Motorola, Inc. Method for making intrinsic gettering sites in bonded substrates
US5505780A (en) 1992-03-18 1996-04-09 International Business Machines Corporation High-density plasma-processing tool with toroidal magnetic field
US5277751A (en) 1992-06-18 1994-01-11 Ogle John S Method and apparatus for producing low pressure planar plasma using a coil with its axis parallel to the surface of a coupling window
AU5017293A (en) 1992-09-01 1994-03-29 University Of North Carolina At Chapel Hill, The High pressure magnetically assisted inductively coupled plasma
US5510011A (en) * 1992-11-09 1996-04-23 Canon Kabushiki Kaisha Method for forming a functional deposited film by bias sputtering process at a relatively low substrate temperature
US5542559A (en) 1993-02-16 1996-08-06 Tokyo Electron Kabushiki Kaisha Plasma treatment apparatus
US5354381A (en) 1993-05-07 1994-10-11 Varian Associates, Inc. Plasma immersion ion implantation (PI3) apparatus
JP3430552B2 (ja) 1993-05-07 2003-07-28 ソニー株式会社 ダイヤモンド半導体の製造方法
US5572038A (en) 1993-05-07 1996-11-05 Varian Associates, Inc. Charge monitor for high potential pulse current dose measurement apparatus and method
IT1263372B (it) 1993-05-26 1996-08-05 Deregibus A & A Spa Macchina perfezionata per la produzione di tubi in gomma vulcanizzata.
JP3320392B2 (ja) * 1993-06-24 2002-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
EP0634778A1 (en) 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
JP3174438B2 (ja) * 1993-08-03 2001-06-11 松下電器産業株式会社 プラズマcvd方法
JPH0790553A (ja) * 1993-09-27 1995-04-04 Shojiro Miyake 摺動部品およびその製造方法
US5571366A (en) 1993-10-20 1996-11-05 Tokyo Electron Limited Plasma processing apparatus
JPH07130496A (ja) * 1993-11-02 1995-05-19 Hitachi Ltd イオン打込み装置
JP2919254B2 (ja) 1993-11-22 1999-07-12 日本電気株式会社 半導体装置の製造方法および形成装置
US5520209A (en) 1993-12-03 1996-05-28 The Dow Chemical Company Fluid relief device
US5435881A (en) 1994-03-17 1995-07-25 Ogle; John S. Apparatus for producing planar plasma using varying magnetic poles
CN1135635C (zh) * 1994-03-25 2004-01-21 阿莫科/恩龙太阳公司 增强光电器件和电子器件的光和电特性的等离子淀积工艺
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5587038A (en) 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
US5569363A (en) 1994-10-25 1996-10-29 Sony Corporation Inductively coupled plasma sputter chamber with conductive material sputtering capabilities
US5674321A (en) 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5711812A (en) 1995-06-06 1998-01-27 Varian Associates, Inc. Apparatus for obtaining dose uniformity in plasma doping (PLAD) ion implantation processes
US5888413A (en) 1995-06-06 1999-03-30 Matsushita Electric Industrial Co., Ltd. Plasma processing method and apparatus
US5683517A (en) 1995-06-07 1997-11-04 Applied Materials, Inc. Plasma reactor with programmable reactant gas distribution
US5702530A (en) 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
US5653811A (en) 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
JPH0945624A (ja) 1995-07-27 1997-02-14 Tokyo Electron Ltd 枚葉式の熱処理装置
JPH0982495A (ja) 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH09186337A (ja) * 1996-01-08 1997-07-15 Advanced Display:Kk 薄膜トランジスタの製造方法並びにこの方法によって形成された電気光学表示装置
US5660895A (en) 1996-04-24 1997-08-26 Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College Low-temperature plasma-enhanced chemical vapor deposition of silicon oxide films and fluorinated silicon oxide films using disilane as a silicon precursor
US6000360A (en) 1996-07-03 1999-12-14 Tokyo Electron Limited Plasma processing apparatus
US5885358A (en) 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6209480B1 (en) * 1996-07-10 2001-04-03 Mehrdad M. Moslehi Hermetically-sealed inductively-coupled plasma source structure and method of use
JP3220394B2 (ja) 1996-09-27 2001-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5654043A (en) 1996-10-10 1997-08-05 Eaton Corporation Pulsed plate plasma implantation system and method
US5911832A (en) 1996-10-10 1999-06-15 Eaton Corporation Plasma immersion implantation with pulsed anode
US5770982A (en) 1996-10-29 1998-06-23 Sematech, Inc. Self isolating high frequency saturable reactor
SE510984C2 (sv) 1996-10-31 1999-07-19 Assa Ab Cylinderlås
JP4013271B2 (ja) 1997-01-16 2007-11-28 日新電機株式会社 物品表面処理方法及び装置
US6139697A (en) 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
JPH10270428A (ja) 1997-03-27 1998-10-09 Mitsubishi Electric Corp プラズマ処理装置
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6582999B2 (en) * 1997-05-12 2003-06-24 Silicon Genesis Corporation Controlled cleavage process using pressurized fluid
US6162705A (en) 1997-05-12 2000-12-19 Silicon Genesis Corporation Controlled cleavage process and resulting device using beta annealing
US6291313B1 (en) 1997-05-12 2001-09-18 Silicon Genesis Corporation Method and device for controlled cleaving process
US5897752A (en) 1997-05-20 1999-04-27 Applied Materials, Inc. Wafer bias ring in a sustained self-sputtering reactor
US6063246A (en) * 1997-05-23 2000-05-16 University Of Houston Method for depositing a carbon film on a membrane
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6150628A (en) 1997-06-26 2000-11-21 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6103599A (en) 1997-07-25 2000-08-15 Silicon Genesis Corporation Planarizing technique for multilayered substrates
JP2001511608A (ja) 1997-07-29 2001-08-14 シリコン ジェネシス コーポレイション プラズマ侵入型イオン注入を使用するクラスタツール方法及び装置
US5935077A (en) 1997-08-14 1999-08-10 Ogle; John Seldon Noninvasive blood flow sensor using magnetic field parallel to skin
EP1018153A1 (en) 1997-08-29 2000-07-12 Sharon N. Farrens In situ plasma wafer bonding method
US6041735A (en) 1998-03-02 2000-03-28 Ball Semiconductor, Inc. Inductively coupled plasma powder vaporization for fabricating integrated circuits
US5994236A (en) 1998-01-23 1999-11-30 Ogle; John Seldon Plasma source with process nonuniformity improved using ferromagnetic cores
US6265328B1 (en) 1998-01-30 2001-07-24 Silicon Genesis Corporation Wafer edge engineering method and device
US6274459B1 (en) * 1998-02-17 2001-08-14 Silicon Genesis Corporation Method for non mass selected ion implant profile control
US6132552A (en) 1998-02-19 2000-10-17 Micron Technology, Inc. Method and apparatus for controlling the temperature of a gas distribution plate in a process reactor
US5944942A (en) 1998-03-04 1999-08-31 Ogle; John Seldon Varying multipole plasma source
US6395150B1 (en) * 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US5998933A (en) 1998-04-06 1999-12-07 Shun'ko; Evgeny V. RF plasma inductor with closed ferrite core
US6101971A (en) 1998-05-13 2000-08-15 Axcelis Technologies, Inc. Ion implantation control using charge collection, optical emission spectroscopy and mass analysis
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
JP3497092B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ密度情報測定方法、および測定に用いられるプローブ、並びにプラズマ密度情報測定装置
US6020592A (en) 1998-08-03 2000-02-01 Varian Semiconductor Equipment Associates, Inc. Dose monitor for plasma doping system
US6050218A (en) 1998-09-28 2000-04-18 Eaton Corporation Dosimetry cup charge collection in plasma immersion ion implantation
WO2000026960A1 (fr) * 1998-10-29 2000-05-11 Tokyo Electron Limited Dispositif de traitement sous vide
WO2000026939A1 (en) * 1998-10-29 2000-05-11 Applied Materials, Inc. Apparatus for coupling power through a workpiece in a semiconductor wafer processing system
US6174743B1 (en) 1998-12-08 2001-01-16 Advanced Micro Devices, Inc. Method of reducing incidence of stress-induced voiding in semiconductor interconnect lines
US6096661A (en) 1998-12-15 2000-08-01 Advanced Micro Devices, Inc. Method for depositing silicon dioxide using low temperatures
WO2000041229A1 (fr) * 1998-12-28 2000-07-13 Tokyo Electron Limited Appareil a plasma et electrode inferieure associee
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6239553B1 (en) 1999-04-22 2001-05-29 Applied Materials, Inc. RF plasma source for material processing
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US20020036881A1 (en) * 1999-05-07 2002-03-28 Shamouil Shamouilian Electrostatic chuck having composite base and method
JP3160263B2 (ja) * 1999-05-14 2001-04-25 キヤノン販売株式会社 プラズマドーピング装置及びプラズマドーピング方法
US6248642B1 (en) 1999-06-24 2001-06-19 Ibis Technology Corporation SIMOX using controlled water vapor for oxygen implants
US6375790B1 (en) * 1999-07-19 2002-04-23 Epion Corporation Adaptive GCIB for smoothing surfaces
US6237527B1 (en) 1999-08-06 2001-05-29 Axcelis Technologies, Inc. System for improving energy purity and implant consistency, and for minimizing charge accumulation of an implanted substrate
US6432260B1 (en) * 1999-08-06 2002-08-13 Advanced Energy Industries, Inc. Inductively coupled ring-plasma source apparatus for processing gases and materials and method thereof
US6182604B1 (en) 1999-10-27 2001-02-06 Varian Semiconductor Equipment Associates, Inc. Hollow cathode for plasma doping system
US6335536B1 (en) * 1999-10-27 2002-01-01 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for low voltage plasma doping using dual pulses
US6341574B1 (en) * 1999-11-15 2002-01-29 Lam Research Corporation Plasma processing systems
US6426015B1 (en) * 1999-12-14 2002-07-30 Applied Materials, Inc. Method of reducing undesired etching of insulation due to elevated boron concentrations
US6350697B1 (en) * 1999-12-22 2002-02-26 Lam Research Corporation Method of cleaning and conditioning plasma reaction chamber
US6417078B1 (en) * 2000-05-03 2002-07-09 Ibis Technology Corporation Implantation process using sub-stoichiometric, oxygen doses at different energies
US6679981B1 (en) * 2000-05-11 2004-01-20 Applied Materials, Inc. Inductive plasma loop enhancing magnetron sputtering
DE10024876A1 (de) * 2000-05-16 2001-11-29 Infineon Technologies Ag Vertikaler Transistor
US6418874B1 (en) * 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
KR100366623B1 (ko) * 2000-07-18 2003-01-09 삼성전자 주식회사 반도체 기판 또는 lcd 기판의 세정방법
US6403453B1 (en) * 2000-07-27 2002-06-11 Sharp Laboratories Of America, Inc. Dose control technique for plasma doping in ultra-shallow junction formations
US6893907B2 (en) * 2002-06-05 2005-05-17 Applied Materials, Inc. Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7223676B2 (en) * 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US6348126B1 (en) * 2000-08-11 2002-02-19 Applied Materials, Inc. Externally excited torroidal plasma source
US6410449B1 (en) * 2000-08-11 2002-06-25 Applied Materials, Inc. Method of processing a workpiece using an externally excited torroidal plasma source
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
KR100809889B1 (ko) * 2000-08-11 2008-03-06 어플라이드 머티어리얼즈 인코포레이티드 외부에서 여기된 토로이드형 플라즈마 소스를 구비한 플라즈마 챔버
US6551446B1 (en) * 2000-08-11 2003-04-22 Applied Materials Inc. Externally excited torroidal plasma source with a gas distribution plate
US6541350B2 (en) * 2000-11-08 2003-04-01 Macronix International Co., Ltd. Method for fabricating shallow trench isolation
US6593173B1 (en) * 2000-11-28 2003-07-15 Ibis Technology Corporation Low defect density, thin-layer, SOI substrates
US6413321B1 (en) * 2000-12-07 2002-07-02 Applied Materials, Inc. Method and apparatus for reducing particle contamination on wafer backside during CVD process
US6387719B1 (en) * 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6755150B2 (en) * 2001-04-20 2004-06-29 Applied Materials Inc. Multi-core transformer plasma source
US20030013314A1 (en) * 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6632728B2 (en) * 2001-07-16 2003-10-14 Agere Systems Inc. Increasing the electrical activation of ion-implanted dopants
US6984288B2 (en) * 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US6887341B2 (en) * 2001-11-13 2005-05-03 Tokyo Electron Limited Plasma processing apparatus for spatial control of dissociation and ionization
US20030141820A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Method and apparatus for substrate processing
US7163901B2 (en) * 2002-03-13 2007-01-16 Varian Semiconductor Equipment Associates, Inc. Methods for forming thin film layers by simultaneous doping and sintering
JP2003311966A (ja) * 2002-04-23 2003-11-06 Canon Inc インクジェット記録ヘッド
JP2004047696A (ja) * 2002-07-11 2004-02-12 Matsushita Electric Ind Co Ltd プラズマドーピング方法及び装置、整合回路
FR2846788B1 (fr) * 2002-10-30 2005-06-17 Procede de fabrication de substrats demontables
US6838695B2 (en) * 2002-11-25 2005-01-04 International Business Machines Corporation CMOS device structure with improved PFET gate electrode
US6747243B1 (en) * 2002-12-24 2004-06-08 Novellus Systems, Inc. Spot cleaning of particles after inspection
US20040126993A1 (en) * 2002-12-30 2004-07-01 Chan Kevin K. Low temperature fusion bonding with high surface energy using a wet chemical treatment

Also Published As

Publication number Publication date
US20070212811A1 (en) 2007-09-13
EP1593756A1 (en) 2005-11-09
CN1693536A (zh) 2005-11-09
KR20060047699A (ko) 2006-05-18
KR20060045881A (ko) 2006-05-17
US7393765B2 (en) 2008-07-01
EP1593753A2 (en) 2005-11-09
KR101155139B1 (ko) 2012-06-13
EP1593753A3 (en) 2006-01-18
TWI398907B (zh) 2013-06-11
US7223676B2 (en) 2007-05-29
US20040200417A1 (en) 2004-10-14
TW200609373A (en) 2006-03-16
TW200610033A (en) 2006-03-16
CN1693537A (zh) 2005-11-09

Similar Documents

Publication Publication Date Title
KR101162775B1 (ko) Cvd층의 등각성, 응력 및 조성을 개별적으로 변화시키는저온 cvd 프로세스
US7294563B2 (en) Semiconductor on insulator vertical transistor fabrication and doping process
US6893907B2 (en) Fabrication of silicon-on-insulator structure using plasma immersion ion implantation
US7291545B2 (en) Plasma immersion ion implantation process using a capacitively couple plasma source having low dissociation and low minimum plasma voltage
US7700465B2 (en) Plasma immersion ion implantation process using a plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7767561B2 (en) Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) Plasma immersion ion implantation reactor having multiple ion shower grids
US7288491B2 (en) Plasma immersion ion implantation process
US7094670B2 (en) Plasma immersion ion implantation process
US7465478B2 (en) Plasma immersion ion implantation process
US7320734B2 (en) Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US20050230047A1 (en) Plasma immersion ion implantation apparatus
US7000565B2 (en) Plasma surface treatment system and plasma surface treatment method
US20030194510A1 (en) Methods used in fabricating gates in integrated circuit device structures

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6