JPH0779128B2 - 半導体デバイスの製造方法 - Google Patents

半導体デバイスの製造方法

Info

Publication number
JPH0779128B2
JPH0779128B2 JP2111891A JP11189190A JPH0779128B2 JP H0779128 B2 JPH0779128 B2 JP H0779128B2 JP 2111891 A JP2111891 A JP 2111891A JP 11189190 A JP11189190 A JP 11189190A JP H0779128 B2 JPH0779128 B2 JP H0779128B2
Authority
JP
Japan
Prior art keywords
layer
filling material
trench
silicon
stress relief
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2111891A
Other languages
English (en)
Other versions
JPH02304947A (ja
Inventor
リー クオ―ホワ
ル チー―ユーアン
Original Assignee
アメリカン テレフォン アンド テレグラフ カンパニー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アメリカン テレフォン アンド テレグラフ カンパニー filed Critical アメリカン テレフォン アンド テレグラフ カンパニー
Publication of JPH02304947A publication Critical patent/JPH02304947A/ja
Publication of JPH0779128B2 publication Critical patent/JPH0779128B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は半導体集積回路に関する。更に詳細には、本発
明はデバイス間分離用のトレンチ(溝)を有する集積回
路に関する。
[従来の技術] 集積回路が小さくなるにつれて、各デバイス間の効果的
な分離が一層重要になってきている。デバイス間分離に
使用される構造は、空間を殆ど占有せず、しかも、優れ
た表面平坦性を有しながら、効果的な電気的分離を形成
しなければならない。
デバイス間分離の一つの方法はデバイス間でフィールド
酸化膜を使用することである。フィールド酸化膜は、浅
い活性領域を有するデバイス間で良好な分離をもたら
す。しかし、常法により成長されるフィールド酸化膜は
しばしば、バーズビークおよびその他の構造を示す。こ
のような構造はデバイス領域中で望ましからざる蚕食を
起こすばかりか、表面平坦性にも悪影響を及ぼす。
トレンチ分離はデバイス間分離を形成する別の方法であ
る。トレンチ分離はバイポーラトランジスタおよび電界
効果トランジスタ技術の両方に応用できる。トレンチは
一般的に、フィールド酸化膜よりも空間の消費量が少な
い。伝統的に、トレンチ分離はシリコン基板中に狭くて
深いトレンチまたは溝をエッチングし、そして、その後
このトレンチにシリコン酸化物またはポリシリコンのよ
うな充填材料を充填することからなる。また、トレンチ
は、特定のトランジスタに対して良好な電気的接続を必
要とする情報記憶容量を提供するメモリー設計でも使用
される。しかし、ここに述べられた分離用トレンチは最
小の電荷蓄積を有するように設計されており、トランジ
スタへの電気的接続は設計されていない。
前記のように、トレンチにはしばしば、シリコン酸化物
またはポリシリコンのような“硬質”材料が充填され
る。しかし、現在の技術ではトレンチの寸法を様々に変
化させることができない。例えば、ウエハが大小両方の
トレンチを含み、そして、小さいトレンチを充填するの
にポリシリコンが被着されている場合、大きなトレンチ
は完全には充填されない。更に、ポリシリコン被着は必
ずしも完全に相似であるとは限らないので、空隙または
少なくともシームがポリシリコン中、特に狭いトレンチ
におけるポリシリコン中に生成する。この空隙は後から
信頼性の問題を引き起こす様々な不純物をトラップす
る。
“硬質”材料の使用に伴う別の問題は、この“硬質”充
填材料とシリコン基板との間で熱膨張率が異なるため
に、後のウエハの高温加工中に、シリコン基板中にディ
スロケーション(転位)およびその他の欠陥を生じるこ
とである。更に、従来の方法により形成されたトレンチ
は平坦化するのが困難な上面を有する。従って、トレン
チを使用する殆どの設計者は狭いデバイス間領域中でト
レンチを使用し、そして、広いデバイス間領域では常用
の熱成長フィールド酸化膜を使用する。
[発明が解決しようとする課題] 進んだ半導体集積回路技術の開発に関係する人々は、デ
バイス間分離の優れた方法および特に、様々なサイズの
デバイス間トレンチ形成の優れた方法の継続的なサーチ
に従事している。
トレンチ形成の一つの試みが、ジャーナル オブ エレ
クトロケミカル ソサエティ(J.Electrochem.Soc.),
第134巻、第11号、2923〜2931頁(1987)に掲載された
ベッカー(Becker)らの“テトラエチルオルトシリケー
ト(TEOS)の熱分解によるドープトSiO2の減圧蒸着”と
題する論文中に説明されている。この論文では、トレン
チの中央部にSiO2スペーサーとSiO2ブロックを有するト
レンチを議論している。SiO2ブロックはトレンチキャビ
ティのサイズを効果的に低下させるので、言うまでもな
く一層容易に充填できる2本以上の狭いトレンチ中に1
本の広いトレンチを形成できる。
[課題を解決するための手段] 基板中に熱的に発生された応力およびトレンチ充填材料
中の空隙のような従来技術に伴う様々な問題を避ける、
広範なサイズのトレンチを形成する方法が発見された。
本発明の代表的な実施例では、トレンチは分離すべきデ
バイス領域の周囲の基板(一般的に、シリコン)中にエ
ッチングされる。その後、トレンチの内部は一次拡散バ
リア(例えば、熱成長酸化物)で被覆される。この一次
拡散バリアは、後でトレンチを充填するのに使用される
材料中に含有されるドーパントの拡散を防止することが
できる。次に、熱応力除去層(すなわち、熱作用により
応力を吸収する層、例えば、相似の誘電体層)をトレン
チ内の一次拡散バリア上に被着させる。熱応力除去層は
また、二次拡散バリアとしても機能する。次に、流動可
能な誘電体のような充填材料の第3の層をトレンチ内の
熱応力除去層の上面に被着させる。充填材料の流れ温度
は応力除去層の流れ温度よりも低い。充填材料はトレン
チの残部を完全に充填し、そして、シリコンウエハの上
面を被覆するのに十分な厚さで被着される。その後、充
填材料をその流れ温度にまで加熱することによりフロー
させる。この加熱処理中に、応力除去層は流動化するこ
となく軟化する。比較的軟質な応力除去層は加熱処理中
に生じた応力を吸収し、そして、拡散バリアまたはシリ
コン基板中に亀裂が入ったり、あるいは、ディスロケー
ションが起こることを防止する。同時に、充填材料の生
成表面形態はフロー後は比較的平坦になる。最後に、エ
ッチバック平坦化工程を使用し、フローされた充填材料
上面を基板表面までエッチングする。トレンチが充填さ
れた後、デバイス加工工程が開始される。
[実施例] 以下、実施例により本発明を更に詳細に説明する。
第1図〜第9図は、本発明の理解を助けるために、実際
の寸法よりも著しく拡大して模式的に作図されている。
更に、各トランジスタ構造物の細部は図面を明確にする
ために省略されている。トレンチの断面だけが示されて
いる。図は狭いトレンチと広いトレンチの両方を模式的
に示している。別法として、一対の図示構造物は同じト
レンチ中の別々の箇所の断面図と考えることもできる。
第1図において、符号11は基板(一般的に、シリコン)
を示す。所望により、基板11は上面にエピタキシャル層
を有することもできる。符号13は成長または被着誘電体
パッド(例えば、SiO2)を示す。符号15はマスク層(例
えば、窒化シリコン)を示す。符号17はホトレジストの
ようなパターン形成された材料を示す。パッド13および
マスク層15は半導体加工の代表的な初期段階中に常法に
より形成できることは当業者に自明である。層15と13の
代表的な厚さはそれぞれ、1000〜3000Åおよび100〜400
Åである。
別法として、所望により、マスク層は厚さが1000〜4000
Åのポリシリコンで構成することもできる。エッチバッ
ク平坦化工程(後記で説明する)は一般的に、窒化シリ
コンよりもポリシリコンに対してはるかに高い選択性を
有するので、マスク層には窒化シリコンの代わりにポリ
シリコンを使用することが望ましい。その他の材料も使
用できる。
ホトレジスト17は常法によりパターン形成することがで
きる。その後、この構造物全体を当業者に周知の技術で
エッチングし、トレンチ51および53(第2図参照)を
“掘る”。(被覆層15が窒化層である場合、ホトレジス
ト17は所望により、トレンチ51および53が形成される前
に取り除くこともできる。しかし、被覆層15がポリシリ
コンである場合、ポリシリコンは下部のシリコン基板の
エッチングに通常使用されるエッチング剤用の効果的な
マスクとして機能しないので、一般的に、ポリシリコン
はトレンチ51および53の形成中も所定の箇所に残置す
る。) 安定なトレンチを“溝堀り”するための一つの方法は、
当業者により実施されている2段階反応性イオンエッチ
ング法である。第1段階はO2150sccmおよびSF615sccmを
使用し、出力500W、400mTorrで1〜7分間行う。第2段
階はフレオン−13B1を2.5sccm使用し、500W、600mTorr
で、所望のトレンチ深さおよびプロファイルが得られる
まで行う。代表的なトレンチ深さは1〜5μmである。
その他の様々なエッチング方法は当業者に明らかであ
る。
トレンチ51はトレンチ53よりも狭いものとして第2図に
図示されている。本発明は広範な様々な幅を有するトレ
ンチについて応用できる。0.6μm程度の狭いトレンチ
および30μm程度の広いトレンチも本発明により形成さ
れる。
第2図に示されるように、トレンチ51および53が形成さ
れた後、ホトレジストが所定の箇所に残置されている場
合(例えば、マスク層がポリシリコンである場合)、ホ
トレジスト17を取り除く。次に、一次拡散バリア層21を
トレンチ51および53の側壁および底部に形成する。拡散
バリア層21は、基板11による界面電荷トラップを殆ど示
さない材料でなければならない。トラップされた電荷は
基板中で反対の電荷を引きつけ、これにより、トレンチ
上にチャネル(このチャネルは隣接のソース/ドレイン
と共に寄生トランジスタを構成する)を形成するので、
界面電荷トラップは望ましくない。
層21の一例は、薄い、高品位のドープされていないSiO2
層である。第3図は酸化膜21を示す。約850℃で生成さ
れた蒸気熱成長酸化膜は応力が低く、しかも、シリコン
界面トラップ密度が低いので、酸化膜21として好適であ
る。酸化膜21の代表的な厚さは100〜400Åである。均一
な膜厚の酸化膜21が望ましい。これは前記の方法により
形成できる。
次に、第4図に示されているように、熱応力除去層23を
拡散バリア層21上に形成する。第4図から明らかなよう
に、応力除去層23は、トレンチ51および53の底部および
側壁上の酸化膜21を完全に被覆する。比較的均一な厚さ
を有するバリア層23が望ましい。バリア層の代表的な厚
さは1000〜3000Åである。
応力除去層23は例えば、ボロシリケートガラス(BPSG)
またはテトラエトキシシラン[Si(OC2H5)4](略号:TEO
S)の熱分解および分解により被着された酸化膜であ
る。BPSGまたは熱分解TEOSの被着(堆積)方法は当業者
に周知である。従って、“TEOS層の被着”という表現は
一般的に、反応器中におけるTEOSの分解および熱分解に
よる誘電体層の被着を意味するものと当業者により理解
される。生成されたシリコンの酸化物は優れたステップ
カバレージ(段差部被覆性)を示す。例えば、シランの
ようなその他の酸化物先駆物質ガスも所望により使用で
きる。しかし、TEOS例えば、シランよりも取り扱いが比
較的安全である。
その他の材料も層23の形成に使用できる。層23の形成に
使用される材料は、低電荷トラップ密度と比較的高い流
れ温度を有するものでなければならない。層23にとって
比較的高い流れ温度の重要性は下記で説明する。
層23を被着した後、第5図に示される充填層25を被着す
る。充填層25は応力除去層23よりも低い温度でフローす
る材料からなる。更に、充填層25はトレンチを充満する
のに十分な量で被着される。
充填層25の構成材料の一例はホウ素が約3wt%およびリ
ンが約3wt%添加された、TEOSの熱分解および分解によ
り生成された酸化物である。得られた誘電体材料は、そ
の被着に使用された化学先駆物質に関連して、その成分
の頭文字により、しばしばBPTEOSと呼ばれる。従って、
“BPTEOS層の被着”という表現は、一般的に、反応器内
において、リンおよびホウ素ドーパントの存在下で、TE
OSの分解により形成された誘電体層の被着を意味するも
のと当業者に理解される。リンおよびホウ素ドーパント
は例えば、トリメチルホスフィン、ホスフィン、トリメ
チルボレート、トリメチルホスフェート、トリエチルホ
スファイトまたはトリエチルホスフェートから得ること
ができる。
層23および25を形成するのにその他の様々な材料を選択
することもできる。層23の場合、ジアセトキシジターシ
ャリーブトキシシラン(C10H26O4Si)(略号:“DADB
S")またはテトラメチルシクロテトラシロキサン(C4H
16Si4O4)(略号:“TMCTS")(これはエアープロダク
ツ アンド ケミカルズ社の一部門であるジェー・シー
・シュマッハー(J.C.Schumacher)により“TOMCATS"と
いう登録商標で市販されている)などの化学先駆物質を
使用できる。これらの材料の被着方法は当業者に周知で
ある。
層25の場合、前記の化学先駆物質の何れかをドーパント
と併用し、適当な流動性充填材料を提供することができ
る。更に、層23は、層23中のドーピングレベルが層25中
のレベルよりも低いドーパントと共に、前記の全ての先
駆物質からも形成することができる。層23中のドーピン
グレベルが層25中のレベルよりも低いことにより、層25
の流れ温度は層23の温度よりも低い。
例えば、BPTEOSから被着された誘電体の流れ(フロー)
特性はホウ素およびリンの含有率によりかなり影響され
る。従って、層23が充填材料25よりも少ない量のドーパ
ントを含有し、これにより層25の流れ温度が層23の流れ
温度以下に維持される場合、BPTEOSを用いて熱応力除去
層23を形成することもできる。別法として、層25の流れ
温度が層23の流れ温度以下に維持されるようにリン含量
が調整されていれば、少量のリンを含有し、ホウ素を殆
ど含有しないTEOS(PTEOSという略号で呼ばれる)から
形成された熱応力除去層23も使用できる。また、望まし
くは、充填材料25の流れ温度は、ウエハが暴露されるそ
の後の全ての炉加熱温度よりも高くなければならない。
充填材料25を被着した後、これを炉中で、または短時間
熱処理アニール(RTA)法により加熱することによりフ
ローさせる。フロー後の生成構造物を第6図に示す。特
定量のホウ素およびリンを有するBPTEOSを層25に使用す
る場合、これは窒素または酸素の雰囲気中で、850〜950
℃の範囲内の温度で0.5〜2時間かけてフローさせるこ
とができる。別法として、BPTEOSは1000℃で30〜60秒間
かけて短時間熱処理アニールすることもできる。
第6図の構造物を次にエッチバック平坦化処理し、ウエ
ハの表面を平坦化する。様々なエッチバック平坦化方法
が当業者に周知である。例えば、ホトレジスト81を層25
の上面に被着する。ホトレジスト81をスピンし、平坦な
上面を形成する。次いで、ホトレジストと層25の組み合
わせを、これら両方の層を同じ速度で攻撃するエッチン
グ剤でエッチングする。米国特許第4481070号明細書に
エッチバック平坦化方法が開示されている。
トレンチ51が非常に狭い(すなわち、高アスペクト比を
有する)場合、層25が被着された後、層25内に空隙が形
成される可能性がある。この空隙を防止するために、フ
ローとエッチバック処理を反復して行うことができる。
反復フロー/エッチバック処理は別の反応器または同じ
反応器内で当業者により実施できる。反復フロー/エッ
チバック処理中に、ホトレジスト材料を層25の表面に塗
布し、そして、例えば、回転させることにより平坦化さ
せることができる。その後、ホトレジストと層25の合併
層を所定の距離だけ下方へエッチングする。次いで、層
25をその流れ温度にまで加熱する。その後、別のホトレ
ジストを塗布し、そして、全処理方法を1回以上反復す
る。
第5図を参照する。トレンチ53の高さはh2で示されてい
る。トレンチ53内の層25の厚さはh3で示されている。h3
はh2よりも大きいことが望ましい。これにより、トレン
チは、フローおよびエッチバックの開始前に、完全に充
填される。ウエハの上面より上の層の厚さh1は一般的
に、h3に等しい。トレンチ51および53のそれぞれの上の
層25の上面にディンプルまたは中空部61および63が認め
られる。トレンチ53はトレンチ51よりも広いので、層25
の特徴に良く似るために、ディンプル63はディンプル61
よりも広い。言うまでもなく、どのような幅のトレンチ
でも、被着層25の厚さをトレンチの深さと同等か、また
はこれ以上になるように選択すれば、トレンチは垂直方
向に完全に充填される。
第7図は、ホトレジスト81と層25を層15の上面(例え
ば、窒化シリコンまたはポリシリコン)に達するまでエ
ッチバックした後のウエハを示す。第6図および第7図
を比較すると、層23の上部も除去されていることが分か
る。層23が例えば、TEOSまたはBPTEOSであり、また、層
25がBPTEOSから形成されている場合、層23の上部は同じ
エッチバック方法により除去することができる。層23
は、層25およびホトレジスト81をエッチングする方法に
より容易にエッチングされない材料でなければならな
い。層23は別のエッチング剤を用いて別の方法で除去す
ることもできる。
第7図に示される形状に達したら、層15,13および、こ
れらの層に隣接する層23の少部分を除去し、第8図に示
す形状を形成する。第8図には、半導体分野で公知の方
法によりデバイス製造のような、その後の二次加工に使
用できる、充填されたトレンチ51および53とシリコンの
上面71を有するウエハが図示されている。
第8図は、シリコンウエハの上面71を超えて若干突出す
る、トレンチ51と53の上面531および511を示す。一般的
に、数百Å程度である突出部531および511は、常法によ
り形成されるトレンチに発生する、トレンチの側壁の周
囲の寄生チャネル形成を防止するのに役立つので、好ま
しい。寄生チャネルは、ゲートランナーがトレンチ側壁
上の露出酸化物を接触すると形成されることがある。露
出酸化物が隣接するMOSトランジスタのゲート酸化膜よ
りも薄い場合、寄生チャネルは高いトランジスタ漏れ電
流を起こす。(寄生チャネル形成の説明および図解は、
クロサワらの、“VLSIデバイス用の新規な無バーズビー
グフィールド分離技術",IEEE,IEDMテクニカルダイジェ
スト,384〜387頁(1981)に開示されている。) 突出部531および511の存在は層15により確実にされる。
層15は平坦化エッチバック方法のためのエッチング停止
層として機能し、突出部531および511の高さを決定する
のに役立つ。
前記のように、前記の技術は同じウエハの様々なサイズ
のトレンチを充填するのに申し分なく機能する。再び第
5図を参照する。前記のように、狭いトレンチ51を層25
中に比較的狭いディンプル61を有することが認められ
る。これに対して、広いトレンチ53は層25中に、一層広
いディンプル63を有する。しかし、第6図に示されるよ
うな平坦化工程により、広いトレンチ53も狭いトレンチ
51も適正に充填することができる。
本発明の別の利点は、第3図〜第6図に図示されたよう
な一連の充填処理中に、シリコン基板11に殆ど結晶欠陥
が生成されないことである。熱応力除去層23は充填材料
25の被着およびその後の加熱中に軟化する。軟化層23は
層25の被着およびその後の加熱中に生じた熱応力を吸収
する。これにより、層21または基板11に欠陥およびディ
スロケーションが発生することを防止するか、または少
なくとも軽減する。更に、トレンチ51および53の形成と
充填後に行われるその後の加熱処理は基板11中に亀裂、
欠陥またはディスロケーションを誘発しにくい。その後
の加熱処理中に、誘電体25および24の両方とも軟化し、
そして、熱的に発生された応力を吸収する。
層21(および、稀に層23)は拡散バリアとして機能す
る。これらの層は、充填材料25で使用されたドーパント
が基板中に拡散することを防止する。
前記の技術は従来の技術を凌駕する様々な利点を示す。
既に説明した一つの従来技術では、トレンチ自体の内部
に酸化シリコンブロックを生成する。このブロックは大
きなトレンチを2個以上の小さなトレンチに効果的に分
割する。しかし、ブロックの生成は別のマスクを必要と
する。本発明によれば、このような別のマスクの使用は
避けられる。本発明の別の利点は、寄生トランジスタ形
成の防止である。凸状の突出部511および531は寄生トラ
ンジスタ形成を防止する手段である。突出部511および5
31の存在はマスク層15(これはその後エッチングにより
除去される)により確実にされる。これに対して、幾つ
かの従来技術によるトレンチ設計では、様々な条件下
で、寄生トランジスタ形成の可能性を高める凹状の上面
を有する。例えば、前記のベッカーらの論文の第15図に
示された構造物は凹状の上面を有する。トレンチの側面
はスペーサーを有する。このスペーサーはTEOSを被着
し、その後エッチングすることにより形成される。しか
し、製造環境では、スペーサーを、シリコン基板の頂部
を平滑にする上面と一致するように製造することができ
ない。若干のオーバーエッチングが幾つかのスペーサー
上で必ず起こる。従って、トレンチはその側壁(僅かに
オーバーエッチングスペーサー上の側壁)に露出シリコ
ンの領域を有する。その後の正常なデバイス二次加工は
トレンチ壁の上部露出部分に寄生デバイスを形成する。
本発明によれば前記以外の他の利点も得られる。ポリシ
リコンで充填された従来のトレンチは、様々な不純物を
トラップする空隙およびシームを示す。この不純物は後
に漏れ出て、デバイスの信頼性を損なう。前記のよう
に、本発明によれば、充填材料中の空隙を除去するのに
極めて有用な、反復フロー/エッチバック処理を行うこ
とができる。更に、ポリシリコンは約106Ω−cmの抵抗
率を有する理想的絶縁体ではない。本発明のトレンチで
使用される酸化物は約8桁も高い抵抗率を有するので、
優れた絶縁性をもたらす。
本発明は真っすぐな側壁を有するトレンチに限定されな
い。第9図に、傾斜側壁を有する2本のトレンチ151お
よび153を示す。トレンチ151は傾斜壁163および165と底
部161により“V"字形をしている。トレンチ153は平坦な
底部と傾斜側壁173および175を有する。傾斜側壁を有す
るトレンチを形成する様々な方法は当業者に公知であ
る。これらの方法は、例えば、KOHを使用するウェット
化学エッチングまたはプラズマテーパーエッチングなど
である。
両方のトレンチとも前記の本発明の方法により充填およ
び加工処理される。第9図に示された生成構造物は第8
図の層21,23および25と類似する層121,123および125を
有する。
[発明の効果] 以上説明したように、本発明によれば、基板中に熱的に
発生された応力およびトレンチ充填材料中に空隙を有し
ない、広範なサイズのトレンチを形成することができ
る。
【図面の簡単な説明】
第1図〜第8図は例示的な一連の加工処理工程により形
成された代表的実施例の構造物の断面図である。 第9図は本発明の別の実施例の断面図である。
───────────────────────────────────────────────────── フロントページの続き (56)参考文献 特開 昭60−43843(JP,A) 特開 昭64−25434(JP,A) 特開 昭62−173738(JP,A) 特開 昭59−63739(JP,A)

Claims (13)

    【特許請求の範囲】
  1. 【請求項1】基板(11)中にトレンチ(51,53)を形成
    し; 前記トレンチ中に拡散バリア層(21)を形成することか
    らなる半導体デバイスの製造方法において、 前記バリア層(21)上に熱応力除去層(23)を被着し; 前記熱応力除去層(23)上に充填材料(25)を被着し、
    前記充填材料は外面(61,63)を有し、そして、前記ト
    レンチを充填し、前記充填材料(25)は前記熱応力除去
    層(23)の流れ温度よりも低い流れ温度を有し; 前記充填材料(25)を少なくともその流れ温度にまで加
    熱して前記外面を平滑にし;そして、 前記充填材料(25)の前記上面をエッチバックする; ことを特徴とする半導体デバイスの製造方法。
  2. 【請求項2】前記基板(11)はシリコンである請求項1
    の製造方法。
  3. 【請求項3】前記熱応力除去層(23)は、テトラエトキ
    シシラン,ジアセトキシジターシャリーブトキシシラン
    およびテトラメチルシクロテトラシロキサンからなる群
    から選択される材料の被着により形成される請求項1の
    製造方法。
  4. 【請求項4】前記熱応力除去層(23)はボロホスホシリ
    ケートガラスである請求項1の製造方法。
  5. 【請求項5】前記充填材料(25)は、テトラエトキシシ
    ラン,ジアセトキシジターシャリーブトキシシランおよ
    びテトラメチルシクロテトラシロキサンからなる群から
    選択される材料を、流動性を助長するドーパントと共に
    被着することにより形成される請求項1の製造方法。
  6. 【請求項6】前記拡散バリア層(21)はSiO2である請求
    項1の製造方法。
  7. 【請求項7】前記充填材料はホウ素およびリンを各々、
    3±0.5wt%含有する請求項1の製造方法。
  8. 【請求項8】前記エッチバック工程は、 前記充填材料の前記外面上にレジスト材料(80)を被着
    し; 前記レジスト(80)を平坦化し;そして、 前記レジストおよび前記充填材料をエッチングし、前記
    充填材料の外面を露出させる; 工程を含む請求項1の製造方法。
  9. 【請求項9】前記加熱工程および前記エッチバック工程
    は2回以上行われる請求項1の製造方法。
  10. 【請求項10】前記加熱工程は炉中で、950℃±50℃で
    行われる請求項1の製造方法。
  11. 【請求項11】前記加熱工程は短時間熱処理アニーリン
    グにより1050℃±50℃で行われる請求項1の製造方法。
  12. 【請求項12】前記熱応力除去層(23)は前記充填材料
    (25)よりも低い濃度のドーパントを含有する請求項1
    の製造方法。
  13. 【請求項13】シリコン基板(11)の表面上に第1のSi
    O2層(13)を被着し; 前記第1のSiO2層(13)上に窒化シリコン層(15)を被
    着し; 前記SiO2層(13)および前記窒化シリコン層(15)を通
    して前記シリコン基板(11)中に選択的にエッチングし
    て少なくとも1本のトレンチ(51,53)を形成し、前記
    トレンチは側壁と底部を有し、前記第1のSiO2層(13)
    および前記窒化シリコン層(15)の一部分は前記シリコ
    ン表面に残留し; 前記トレンチの前記側壁および前記底部に第2のSiO2
    (21)を形成し; 前記第2のSiO2層(21)上に熱応力除去層(23)を被着
    し、前記熱応力除去層は酸化物先駆物質ガスの分解によ
    り形成され、前記熱応力除去層は前記トレンチ内のキャ
    ビテイを画成し; 前記キャビテイ中に流動性充填材料(25)を被着し、前
    記充填材料はホウ素およびリンと共に酸化物先駆物質ガ
    スを分解することにより生成され、前記充填材料は前記
    キャビティを殆ど充満し、かつ、前記シリコン基板の前
    記表面以上の厚さを有するのに十分な厚さであり; 前記流動性充填材料(25)を加熱して前記充填材料をフ
    ローさせ; 前記シリコン表面上に残る前記第1のSiO2層(13)の前
    記部分および前記シリコン表面上に残る前記窒化シリコ
    ン層(15)の前記部分と共に前記充填材料をエッチバッ
    クして前記シリコン表面を露出し、かつ、前記シリコン
    表面を超えて僅かに突出する前記充填材料の上面を形成
    することからなる半導体の製造方法。
JP2111891A 1989-05-05 1990-05-01 半導体デバイスの製造方法 Expired - Lifetime JPH0779128B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/347,975 US4952524A (en) 1989-05-05 1989-05-05 Semiconductor device manufacture including trench formation
US347975 1989-05-05

Publications (2)

Publication Number Publication Date
JPH02304947A JPH02304947A (ja) 1990-12-18
JPH0779128B2 true JPH0779128B2 (ja) 1995-08-23

Family

ID=23366118

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2111891A Expired - Lifetime JPH0779128B2 (ja) 1989-05-05 1990-05-01 半導体デバイスの製造方法

Country Status (5)

Country Link
US (1) US4952524A (ja)
EP (1) EP0396369B1 (ja)
JP (1) JPH0779128B2 (ja)
DE (1) DE69032234T2 (ja)
ES (1) ES2114529T3 (ja)

Families Citing this family (151)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5268329A (en) * 1990-05-31 1993-12-07 At&T Bell Laboratories Method of fabricating an integrated circuit interconnection
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
JP2822656B2 (ja) * 1990-10-17 1998-11-11 株式会社デンソー 半導体装置およびその製造方法
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
EP0516334A3 (en) * 1991-05-30 1992-12-09 American Telephone And Telegraph Company Method of etching a window in a dielectric layer on an integrated circuit and planarization thereof
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
EP0562127B1 (en) * 1991-10-14 2001-04-25 Denso Corporation Method for fabrication of semiconductor device
US5244827A (en) * 1991-10-31 1993-09-14 Sgs-Thomson Microelectronics, Inc. Method for planarized isolation for cmos devices
US5342808A (en) * 1992-03-12 1994-08-30 Hewlett-Packard Company Aperture size control for etched vias and metal contacts
JPH07297276A (ja) * 1992-09-22 1995-11-10 At & T Corp 半導体集積回路の形成方法
US5350941A (en) * 1992-09-23 1994-09-27 Texas Instruments Incorporated Trench isolation structure having a trench formed in a LOCOS structure and a channel stop region on the sidewalls of the trench
US5433794A (en) * 1992-12-10 1995-07-18 Micron Technology, Inc. Spacers used to form isolation trenches with improved corners
US5356828A (en) * 1993-07-01 1994-10-18 Digital Equipment Corporation Method of forming micro-trench isolation regions in the fabrication of semiconductor devices
US5906861A (en) * 1993-07-20 1999-05-25 Raytheon Company Apparatus and method for depositing borophosphosilicate glass on a substrate
US5395789A (en) * 1993-08-06 1995-03-07 At&T Corp. Integrated circuit with self-aligned isolation
FR2717307B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procede d'isolement de zones actives d'un substrat semi-conducteur par tranchees peu profondes quasi planes, et dispositif correspondant
FR2717306B1 (fr) * 1994-03-11 1996-07-19 Maryse Paoli Procédé d'isolement de zones actives d'un substrat semi-conducteur par tranchées peu profondes, notamment étroites, et dispositif correspondant.
DE69417211T2 (de) * 1994-04-12 1999-07-08 St Microelectronics Srl Planariezierungsverfahren für die Herstellung von integrierten Schaltkreisen, insbesondere für nichtflüssige Halbleiterspeicheranordnungen
WO1996002070A2 (en) * 1994-07-12 1996-01-25 National Semiconductor Corporation Integrated circuit comprising a trench isolation structure and an oxygen barrier layer and method for forming the integrated circuit
US5960300A (en) * 1994-12-20 1999-09-28 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device
US5658816A (en) * 1995-02-27 1997-08-19 International Business Machines Corporation Method of making DRAM cell with trench under device for 256 Mb DRAM and beyond
US5680345A (en) * 1995-06-06 1997-10-21 Advanced Micro Devices, Inc. Nonvolatile memory cell with vertical gate overlap and zero birds beaks
US6919260B1 (en) * 1995-11-21 2005-07-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate having shallow trench isolation
US6489213B1 (en) * 1996-01-05 2002-12-03 Integrated Device Technology, Inc. Method for manufacturing semiconductor device containing a silicon-rich layer
US5904539A (en) * 1996-03-21 1999-05-18 Advanced Micro Devices, Inc. Semiconductor trench isolation process resulting in a silicon mesa having enhanced mechanical and electrical properties
US5888876A (en) * 1996-04-09 1999-03-30 Kabushiki Kaisha Toshiba Deep trench filling method using silicon film deposition and silicon migration
JP2000508474A (ja) * 1996-04-10 2000-07-04 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 改善された平坦化方法を伴う半導体トレンチアイソレーション
US5926713A (en) * 1996-04-17 1999-07-20 Advanced Micro Devices, Inc. Method for achieving global planarization by forming minimum mesas in large field areas
US5899727A (en) 1996-05-02 1999-05-04 Advanced Micro Devices, Inc. Method of making a semiconductor isolation region bounded by a trench and covered with an oxide to improve planarization
EP0851463A1 (en) 1996-12-24 1998-07-01 STMicroelectronics S.r.l. Process for realizing an intermediate dielectric layer for enhancing the planarity in semiconductor electronic devices
JP3904676B2 (ja) * 1997-04-11 2007-04-11 株式会社ルネサステクノロジ トレンチ型素子分離構造の製造方法およびトレンチ型素子分離構造
US6069058A (en) * 1997-05-14 2000-05-30 United Semiconductor Corp. Shallow trench isolation for semiconductor devices
KR100230431B1 (ko) * 1997-07-25 1999-11-15 윤종용 2 종류의 산화막을 사용하는 트렌치 소자 분리 방법
US5976947A (en) * 1997-08-18 1999-11-02 Micron Technology, Inc. Method for forming dielectric within a recess
US5998253A (en) * 1997-09-29 1999-12-07 Siemens Aktiengesellschaft Method of forming a dopant outdiffusion control structure including selectively grown silicon nitride in a trench capacitor of a DRAM cell
US6306725B1 (en) 1997-11-19 2001-10-23 Texas Instruments Incorporated In-situ liner for isolation trench side walls and method
US5970363A (en) * 1997-12-18 1999-10-19 Advanced Micro Devices, Inc. Shallow trench isolation formation with improved trench edge oxide
US6020621A (en) * 1998-01-28 2000-02-01 Texas Instruments - Acer Incorporated Stress-free shallow trench isolation
KR19990074005A (ko) * 1998-03-05 1999-10-05 윤종용 웰 영역으로부터의 불순물 확산을 방지하는 트렌치 소자분리방법
US6069057A (en) * 1998-05-18 2000-05-30 Powerchip Semiconductor Corp. Method for fabricating trench-isolation structure
US5976951A (en) * 1998-06-30 1999-11-02 United Microelectronics Corp. Method for preventing oxide recess formation in a shallow trench isolation
JP2000174113A (ja) * 1998-12-08 2000-06-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100280809B1 (ko) * 1998-12-30 2001-03-02 김영환 반도체 소자의 접합부 형성 방법
US6037238A (en) * 1999-01-04 2000-03-14 Vanguard International Semiconductor Corporation Process to reduce defect formation occurring during shallow trench isolation formation
KR100322531B1 (ko) 1999-01-11 2002-03-18 윤종용 파임방지막을 이용하는 반도체소자의 트랜치 소자분리방법 및이를 이용한 반도체소자
US6140208A (en) * 1999-02-05 2000-10-31 International Business Machines Corporation Shallow trench isolation (STI) with bilayer of oxide-nitride for VLSI applications
US6316815B1 (en) * 1999-03-26 2001-11-13 Vanguard International Semiconductor Corporation Structure for isolating integrated circuits in semiconductor substrate and method for making it
JP2001118919A (ja) * 1999-10-15 2001-04-27 Seiko Epson Corp 半導体装置およびその製造方法
US6830988B1 (en) * 2000-01-06 2004-12-14 National Semiconductor Corporation Method of forming an isolation structure for an integrated circuit utilizing grown and deposited oxide
US6333218B1 (en) 2000-02-11 2001-12-25 Advanced Micro Devices, Inc. Method of etching contacts with reduced oxide stress
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6348394B1 (en) 2000-05-18 2002-02-19 International Business Machines Corporation Method and device for array threshold voltage control by trapped charge in trench isolation
KR100346842B1 (ko) * 2000-12-01 2002-08-03 삼성전자 주식회사 얕은 트렌치 아이솔레이션 구조를 갖는 반도체 디바이스및 그 제조방법
KR100380148B1 (ko) * 2000-12-13 2003-04-11 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US6514882B2 (en) * 2001-02-19 2003-02-04 Applied Materials, Inc. Aggregate dielectric layer to reduce nitride consumption
DE10130934A1 (de) * 2001-06-27 2003-01-16 Infineon Technologies Ag Grabenkondensator und entsprechendes Herstellungsverfahren
US7638161B2 (en) * 2001-07-20 2009-12-29 Applied Materials, Inc. Method and apparatus for controlling dopant concentration during BPSG film deposition to reduce nitride consumption
US7166455B2 (en) * 2002-03-13 2007-01-23 The Brigham And Women's Hospital, Inc. Method for overexpression of zwitterionic polysaccharides
US20040018733A1 (en) * 2002-07-23 2004-01-29 Hak Baek Jae Method of planarizing a surface of a semiconductor wafer
KR100443126B1 (ko) * 2002-08-19 2004-08-04 삼성전자주식회사 트렌치 구조물 및 이의 형성 방법
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
KR100505419B1 (ko) * 2003-04-23 2005-08-04 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
JP2004342960A (ja) * 2003-05-19 2004-12-02 Sony Corp 半導体装置および半導体装置の製造方法
US6887798B2 (en) * 2003-05-30 2005-05-03 International Business Machines Corporation STI stress modification by nitrogen plasma treatment for improving performance in small width devices
US7329923B2 (en) * 2003-06-17 2008-02-12 International Business Machines Corporation High-performance CMOS devices on hybrid crystal oriented substrates
US7279746B2 (en) * 2003-06-30 2007-10-09 International Business Machines Corporation High performance CMOS device structures and method of manufacture
US6974755B2 (en) * 2003-08-15 2005-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Isolation structure with nitrogen-containing liner and methods of manufacture
US7410846B2 (en) 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6890808B2 (en) * 2003-09-10 2005-05-10 International Business Machines Corporation Method and structure for improved MOSFETs using poly/silicide gate height control
US6887751B2 (en) * 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6869866B1 (en) 2003-09-22 2005-03-22 International Business Machines Corporation Silicide proximity structures for CMOS device performance improvements
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7303949B2 (en) * 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7029964B2 (en) * 2003-11-13 2006-04-18 International Business Machines Corporation Method of manufacturing a strained silicon on a SiGe on SOI substrate
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7118999B2 (en) * 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7202132B2 (en) 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
DE102004004942A1 (de) * 2004-01-31 2005-08-18 X-Fab Semiconductor Foundries Ag Passivierung isolierender Trenngräben von integrierten Schaltungen
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7223994B2 (en) 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7037794B2 (en) * 2004-06-09 2006-05-02 International Business Machines Corporation Raised STI process for multiple gate ox and sidewall protection on strained Si/SGOI structure with elevated source/drain
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US7227205B2 (en) * 2004-06-24 2007-06-05 International Business Machines Corporation Strained-silicon CMOS device and method
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7217949B2 (en) * 2004-07-01 2007-05-15 International Business Machines Corporation Strained Si MOSFET on tensile-strained SiGe-on-insulator (SGOI)
US6991998B2 (en) * 2004-07-02 2006-01-31 International Business Machines Corporation Ultra-thin, high quality strained silicon-on-insulator formed by elastic strain transfer
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
US7238565B2 (en) * 2004-12-08 2007-07-03 International Business Machines Corporation Methodology for recovery of hot carrier induced degradation in bipolar devices
US7262087B2 (en) * 2004-12-14 2007-08-28 International Business Machines Corporation Dual stressed SOI substrates
US7173312B2 (en) * 2004-12-15 2007-02-06 International Business Machines Corporation Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US7274084B2 (en) * 2005-01-12 2007-09-25 International Business Machines Corporation Enhanced PFET using shear stress
US20060160317A1 (en) * 2005-01-18 2006-07-20 International Business Machines Corporation Structure and method to enhance stress in a channel of cmos devices using a thin gate
US7432553B2 (en) * 2005-01-19 2008-10-07 International Business Machines Corporation Structure and method to optimize strain in CMOSFETs
US7220626B2 (en) * 2005-01-28 2007-05-22 International Business Machines Corporation Structure and method for manufacturing planar strained Si/SiGe substrate with multiple orientations and different stress levels
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
JP4607613B2 (ja) * 2005-02-09 2011-01-05 株式会社東芝 半導体装置の製造方法
US7224033B2 (en) * 2005-02-15 2007-05-29 International Business Machines Corporation Structure and method for manufacturing strained FINFET
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US7544577B2 (en) * 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
US7202513B1 (en) * 2005-09-29 2007-04-10 International Business Machines Corporation Stress engineering using dual pad nitride with selective SOI device architecture
US20070096170A1 (en) * 2005-11-02 2007-05-03 International Business Machines Corporation Low modulus spacers for channel stress enhancement
US7655511B2 (en) * 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070099360A1 (en) * 2005-11-03 2007-05-03 International Business Machines Corporation Integrated circuits having strained channel field effect transistors and methods of making
US7785950B2 (en) * 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7348638B2 (en) * 2005-11-14 2008-03-25 International Business Machines Corporation Rotational shear stress for charge carrier mobility modification
US7709317B2 (en) * 2005-11-14 2010-05-04 International Business Machines Corporation Method to increase strain enhancement with spacerless FET and dual liner process
US7564081B2 (en) * 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7863197B2 (en) * 2006-01-09 2011-01-04 International Business Machines Corporation Method of forming a cross-section hourglass shaped channel region for charge carrier mobility modification
US7776695B2 (en) * 2006-01-09 2010-08-17 International Business Machines Corporation Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7635620B2 (en) * 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
US8461009B2 (en) * 2006-02-28 2013-06-11 International Business Machines Corporation Spacer and process to enhance the strain in the channel with stress liner
US7358191B1 (en) * 2006-03-24 2008-04-15 Spansion Llc Method for decreasing sheet resistivity variations of an interconnect metal layer
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US8853746B2 (en) * 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
CN100483667C (zh) * 2006-08-10 2009-04-29 中芯国际集成电路制造(上海)有限公司 形成浅沟槽隔离结构的方法和浅沟槽隔离结构
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US8754446B2 (en) * 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
JP2008166526A (ja) * 2006-12-28 2008-07-17 Spansion Llc 半導体装置の製造方法
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8598006B2 (en) * 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102201361A (zh) * 2010-03-25 2011-09-28 上海宏力半导体制造有限公司 一种有效减少位错的方法及一种半导体器件
CN102386132B (zh) * 2010-08-27 2013-10-30 中芯国际集成电路制造(上海)有限公司 减少对准容差的方法及其在热处理工艺中的专用设备
EP2731617A4 (en) 2011-07-12 2015-07-01 Brigham & Womens Hospital LIPID-CONTAINING PSA COMPOSITIONS, METHODS OF ISOLATION AND METHODS OF USING SAME
JP6154582B2 (ja) * 2012-06-14 2017-06-28 ラピスセミコンダクタ株式会社 半導体装置およびその製造方法
JP2014093482A (ja) 2012-11-06 2014-05-19 Toshiba Corp 固体撮像装置の製造方法および固体撮像装置
JP6918365B2 (ja) 2015-08-19 2021-08-11 プレジデント アンド フェローズ オブ ハーバード カレッジ 脂質化psa組成物および方法
CA3030974A1 (en) 2016-07-15 2018-01-18 President And Fellows Of Harvard College Glycolipid compositions and methods of use
CN110676221B (zh) * 2018-07-02 2022-04-19 联华电子股份有限公司 半导体元件及其制作方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5712533A (en) * 1980-06-26 1982-01-22 Fujitsu Ltd Manufacture of semiconductor device
EP0060205B1 (en) * 1981-03-16 1986-10-15 FAIRCHILD CAMERA & INSTRUMENT CORPORATION Low temperature melting binary glasses for leveling surfaces of integrated circuits containing isolation grooves
US4506435A (en) * 1981-07-27 1985-03-26 International Business Machines Corporation Method for forming recessed isolated regions
JPS58143548A (ja) * 1982-02-22 1983-08-26 Toshiba Corp 半導体装置の製造方法
JPS5963739A (ja) * 1982-10-04 1984-04-11 Matsushita Electronics Corp 半導体装置の絶縁分離方法
JPS59106133A (ja) * 1982-12-09 1984-06-19 Nec Corp 集積回路装置
JPS6043843A (ja) * 1983-08-19 1985-03-08 Nec Corp 半導体装置の製造方法
US4543706A (en) * 1984-02-24 1985-10-01 Gte Laboratories Incorporated Fabrication of junction field effect transistor with filled grooves
JPS618944A (ja) * 1984-06-25 1986-01-16 Nec Corp 半導体装置およびその製造方法
JPS6190442A (ja) * 1984-10-09 1986-05-08 Nec Corp 半導体装置及びその製造方法
US4571819A (en) * 1984-11-01 1986-02-25 Ncr Corporation Method for forming trench isolation structures
EP0232748A1 (de) * 1986-01-22 1987-08-19 Siemens Aktiengesellschaft Verfahren zur Auffüllung von Isolationsgräben in integrierten Halbleiterschaltungen
US4729006A (en) * 1986-03-17 1988-03-01 International Business Machines Corporation Sidewall spacers for CMOS circuit stress relief/isolation and method for making
JPS62216261A (ja) * 1986-03-17 1987-09-22 Sony Corp 半導体装置の製造方法
JPS6425434A (en) * 1987-07-21 1989-01-27 Matsushita Electric Ind Co Ltd Manufacture of semiconductor device

Also Published As

Publication number Publication date
EP0396369A3 (en) 1991-01-23
JPH02304947A (ja) 1990-12-18
EP0396369A2 (en) 1990-11-07
DE69032234T2 (de) 1998-08-06
US4952524A (en) 1990-08-28
EP0396369B1 (en) 1998-04-15
ES2114529T3 (es) 1998-06-01
DE69032234D1 (de) 1998-05-20

Similar Documents

Publication Publication Date Title
JPH0779128B2 (ja) 半導体デバイスの製造方法
JP4726273B2 (ja) 絶縁膜形成方法
US4571819A (en) Method for forming trench isolation structures
US5902127A (en) Methods for forming isolation trenches including doped silicon oxide
US6383951B1 (en) Low dielectric constant material for integrated circuit fabrication
US6214698B1 (en) Shallow trench isolation methods employing gap filling doped silicon oxide dielectric layer
US4656497A (en) Trench isolation structures
US7033945B2 (en) Gap filling with a composite layer
US6511888B1 (en) Method of fabricating a semiconductor device using trench isolation method including hydrogen annealing step
JPS62269335A (ja) 半導体デバイスの製造方法
JP2000228442A (ja) 半導体に分離部を形成する方法及び半導体デバイス
JPH08203994A (ja) 半導体装置およびその製造方法
JPH0680724B2 (ja) 絶縁分離のcmos fet集積装置の製造方法
JP3414590B2 (ja) 半導体装置の製造方法
US6448149B1 (en) Method for making shallow trench isolation in semiconductor fabrication
KR100251280B1 (ko) 샐로우 트랜치 아이솔레이션 방법
US5849625A (en) Planar field oxide isolation process for semiconductor integrated circuit devices using liquid phase deposition
US6734108B1 (en) Semiconductor structure and method of making contacts in a semiconductor structure
US6303496B1 (en) Methods of filling constrained spaces with insulating materials and/or of forming contact holes and/or contacts in an integrated circuit
JP4047447B2 (ja) 半導体構造体の隣接するゲート電極の間の間隙の充填方法
TWI240375B (en) Integrated circuit structure and method of fabrication
US20040108524A1 (en) Semiconductor device and method of manufacturing the same
JPH11307625A (ja) 半導体装置およびその製造方法
KR100596277B1 (ko) 반도체 소자 및 그의 절연막 형성 방법
KR20020092682A (ko) 반도체 장치의 절연막 형성 방법

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090823

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090823

Year of fee payment: 14

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100823

Year of fee payment: 15

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100823

Year of fee payment: 15