JPH06140372A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JPH06140372A
JPH06140372A JP4288031A JP28803192A JPH06140372A JP H06140372 A JPH06140372 A JP H06140372A JP 4288031 A JP4288031 A JP 4288031A JP 28803192 A JP28803192 A JP 28803192A JP H06140372 A JPH06140372 A JP H06140372A
Authority
JP
Japan
Prior art keywords
film
titanium nitride
nitride film
semiconductor device
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4288031A
Other languages
English (en)
Other versions
JP3179212B2 (ja
Inventor
Yoshiaki Yamada
義明 山田
Kiyonori Kajihari
喜代儀 鍛冶梁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP28803192A priority Critical patent/JP3179212B2/ja
Priority to EP93117253A priority patent/EP0596364B1/en
Priority to DE69308727T priority patent/DE69308727T2/de
Priority to KR93022272A priority patent/KR0134331B1/ko
Publication of JPH06140372A publication Critical patent/JPH06140372A/ja
Priority to US08/679,489 priority patent/US5804505A/en
Application granted granted Critical
Publication of JP3179212B2 publication Critical patent/JP3179212B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

(57)【要約】 【目的】窒化チタン膜上に成長したタングステンをSF
6 等のガスでエッチングする際、窒化チタンの表面に粒
状のフッ化チタンが形成されるのを防ぎ、配線の短絡等
を防止する。 【構成】ビアホール形成後、チタン膜4,窒化チタン膜
5をスパッタリング法により、そしてタングステン膜6
を化学気相成長法により順次形成する。次にSF6 によ
りビアホール内以外のタングステン膜6をエッチング除
去する。その後、アルゴンガスによりエッチングし、窒
化チタン膜5の表面のフッ素を除去する。次いてアルミ
ニウム合金膜7を被着し、パターニングしてアルミニウ
ム配線を形成する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体装置の製造方法に
関し、特に層間絶縁膜に設けた開口部をタングステン膜
により埋設した半導体装置の製造方法に関する。
【0002】
【従来の技術】半導体装置の高集積化により、配線の微
細化及び多層化が進んできている。そのため、半導体や
配線間を接続するために層間絶縁膜に設けた開口部(以
後ビアホールという)も微細化されてきている。しか
し、ビアホールの幅は小さくなっても深さはほとんど変
化せず、このビアホールの幅に対する深さの比をアスペ
クト比というがアスペクト比は増大するばかりである。
このアスペクト比の増大により、従来より使われてきた
配線金属であるアルミニウム合金膜をスパッタリング法
で被着する際、ビアホール内の被覆性が悪くなり、ビア
ホール内での断線による半導体装置の劣化や信頼性を悪
化させるという問題が発生してきている。
【0003】そこで、ビアホールを化学気相成長法で形
成した高融点金属、特にタングステンにより埋設する方
法が用いられるようになってきている。タングステンに
よるビアホール埋設法としては、2通りの方法がある。
一つは、金属や半導体のみの上に選択的にタングステン
膜を成長させて埋設する方法であるが、この方法では、
選択性がくずれて、絶縁膜上にもタングステンが粒状に
成長してしまい配線間を短絡してしまったり、浅い接合
ではリークが発生しやすい、あるいは接続抵抗、特にP
型拡散層に対する接続抵抗が高く不安定である等の問題
があり、いまだ解決されていない。
【0004】二つめの方法は、タングステン膜を基板表
面全体に成長し、ビアホールを埋設した後、タングステ
ン膜を全面エッチングして、平坦部でのタングステン膜
を除去し、ビアホール内のタングステン膜のみを残し、
埋設する方法である。以下この埋設法の例を、図3を用
いて説明する。
【0005】まず図3(A)に示すように、素子が形成
されたシリコン基板21上に電極や配線等の多結晶シリ
コン層22とシリコン酸化膜23を形成したのち、この
シリコン酸化膜23の所望の位置に素子及び多結晶シリ
コン層22に達するビアホールを形成する。次に図3
(B)に示すように、スパッタリング法によりチタン膜
24を10〜100nm,窒化チタン膜25を50〜2
00nmの厚さに順次形成する。
【0006】次に図3(C)に示すように、六弗化タン
グステン(WF6 )を水素(H2 )で還元してタングス
テン膜26を窒化チタン膜25上に成長する。タングス
テン膜の成長膜厚は、ビアホールの幅とほぼ同等の膜厚
以上が必要である。またWF6 をH2 で還元する前に、
WF6 をシラン(SiH4 )で還元してタングステン膜
を10〜200nmの厚さに成長したほうが良い。これ
は直接H2 でWF6 を還元してタングステン膜を成長す
る際、窒化チタン膜によりWF6 とシリコン基板の反応
を完全に防ぐことができず、接合が破壊されたり、接続
抵抗が高く不安定になったりすることがあるためであ
る。
【0007】次に図3(D)に示すように、六弗化イオ
ウ(SF6 )をエッチングガスとして用い、ドライエッ
チング法によりタングステン膜26を、平坦部での窒化
チタン膜25が露出するまで全面エッチングを行ない、
ビアホール内のみにタングステン膜26を残す。その後
図3(E)に示すように、スパッタリング法にてアルミ
ニウム合金膜27を0.5〜2.0μm程度の厚さに形
成し、通常のフォトリソグラフィ技術とドライエッチン
グ技術によりアルミニウム合金膜27と窒化チタン膜2
5を所望の形状にパターニングし、アルミニウム配線を
完成させる。
【0008】この方法は、たとえばコンファレンス プ
ロシーディングス オブタングステン アンド アザー
アドバンスト メタルズ フォー ULSI アプリ
ケーションズ (Conference Procee
dings of Tungsten and Oth
er Advanced Metals for UL
SI Applications)1990年,P−3
69〜377に記載されている。
【0009】
【発明が解決しようとする課題】この従来の半導体装置
の製造方法では、窒化チタン膜上のタングステン膜をS
6 等のプラズマにより全面エッチングする際、窒化チ
タン膜の表面もSF6 プラズマにさらされるため、窒化
チタン膜の表面にも弗素が被着し残留する。この弗素は
活性であるため、窒化チタン膜と反応して弗化チタンT
iF3 等が窒化チタン膜上に粒状に形成されることがあ
る。窒化チタン膜中に窒化されていない未反応のチタン
が存在すると、特に多くの粒状の弗化物が形成されやす
い。
【0010】また、大気中の水分と弗素が反応し、弗化
水素が形成され、この弗化水素により窒化チタン膜の表
面が弗化され粒状のチタンの弗化物が形成される。これ
は、水分を多く含む雰囲気中において窒化チタン膜の表
面に粒状のチタンの弗化物が形成されやすいことからも
わかる。この粒状のチタンの弗化物により、配線形成の
為のアルミニウム合金膜のエッチングが良好に行なわれ
なくなり、配線間で短絡したり、アルミニウム配線がこ
の粒状物の上を走る場合、アルミニウム合金の被覆性が
悪化し、半導体装置の歩留り及び信頼性を悪化させると
いう問題がある。
【0011】
【課題を解決するための手段】本発明の半導体装置の製
造方法は、層間絶縁膜に開口部を形成した後、窒化チタ
ン膜を全面に形成し、さらにその上に化学気相成長法に
よりタングステン膜を成長し、次に弗素を含むガスを反
応ガスとするエッチング法にてタングステン膜を全面エ
ッチングし、層間絶縁膜の開口部内にのみタングステン
膜を残すことにより、開口部をタングステンで埋設する
半導体装置の製造方法であり、弗素を含むガスによりタ
ングステン膜を全面エッチングした後、露出した窒化チ
タン膜の表面に被着した弗素を除去する工程又は、窒化
チタン膜の表面を酸化する工程を含むという特徴を有し
ている。
【0012】
【実施例】次に本発明について図面を用いて説明する。
図1(A)〜(E)は本発明の第1の実施例を説明する
ための主要工程における半導体チップの断面図である。
【0013】まず図1(A)に示すように、素子が形成
されたシリコン基板1及びその上の多結晶シリコン層2
上にシリコン酸化膜3を形成したのち、このシリコン酸
化膜3の所望の位置に素子に達するビアホールを形成す
る。
【0014】次に図1(B)に示すように、スパッタリ
ング法によりチタン膜4を10〜100nm,窒化チタ
ン膜5を50〜200nmの厚さに順次形成する。チタ
ン膜4は、シリコン基板1や多結晶シリコン層2との接
続抵抗を低く安定にするために必要であり、ビアホール
の底で10nm以上の膜厚があったほうが望ましく、ビ
アホールの深さや径により膜厚は決定される。また窒化
チタン膜5はシリコン基板のシリコンと、タングステン
を成長させる原料ガスであるWF6 との反応を防止する
ために必要である。
【0015】次に図1(C)に示すように、減圧化学気
相成長法によりタングステン膜6を0.5〜1.0μm
の厚さに形成する。ビアホールを完全に平坦化するため
にはタングステン膜6の成長膜厚はビアホールの幅
(径)とほぼ同等以上の膜厚が必要である。またタング
ステン膜6は、被覆性の良好な水素によりWF6 を還元
する方法により主に形成されるが、この前に、SiH4
によりWF6 を還元し若干のタングステン膜を成長させ
ておいたほうが安定にタングステン膜6が形成される。
タングステン膜6の成長は400〜500℃の温度で数
百mTorrの圧力で行なう。
【0016】次に図1(D)に示すように、SF6 とア
ルゴンの混合ガス雰囲気中でドライエッチング法により
タングステン膜6を全面エッチングし平坦部での窒化チ
タン膜5の表面を露出させ、ビアホール内のみにタング
ステン膜6を残す。引き続き、アルゴンガスのみによる
スパッタエッチング法により、窒化チタン膜5の表面を
エッチングし、窒化チタン膜5の表面に被着した弗素を
除去する。このアルゴンによるスパッタエッチングは、
タングステン膜のエッチング後、大気にさらすこと無く
同一真空中で行なったほうが良い。これは大気中の水分
にふれることでチタンの弗化物の粒子が形成される恐れ
があるためである。
【0017】また、窒化チタン膜5の表面に被着した弗
素の除去方法としては、純水にひたすことにより弗素を
水洗してしまう方法、あるいは、150℃以上の高温で
熱処理し、弗素を解離してしまう方法、あるいは、アル
カリ性水溶液につけて窒化チタン膜5の表面を若干エッ
チングしてしまう方法を用いることができる。
【0018】次に図1(E)に示すように、アルミニウ
ム合金膜7を0.5〜2.0μmの厚さにスパッタリン
グ法により形成した後、通常のフォトリソグラフィ技術
及びドライエッチング技術によりアルミニウム合金膜
7,窒化チタン膜5を所望の形状にパターニングしてア
ルミニウム配線を完成させる。
【0019】このように第1の実施例によれば、窒化チ
タン膜5の表面に被着した弗素を除去しているため、粒
子状のチタンの弗化物が形成されることがなくなる。こ
のため、短絡等の恐れのない配線を精度良く形成でき
る。
【0020】図2(A)〜(E)は本発明の第2の実施
例を説明するための半導体チップの断面図である。
【0021】まず図2(A)に示すように、第1の実施
例同様にシリコン基板1上のシリコン酸化膜3の所望の
位置にビアホールを形成した後、スパッタリング法によ
りチタン膜4Aを0.05〜0.2μmの厚さに形成す
る。
【0022】次に図2(B)に示すように、窒素あるい
はアンモニア雰囲気中で600〜800℃の温度でチタ
ン膜4Aを窒化し、窒化チタン膜5Aとする。この時、
ビアホールの底ではシリコンとチタンが反応し、チタン
シリサイド層8が形成され、このチタンシリサイド層に
より接続抵抗は小さく安定したものとなる。
【0023】次に図2(C)に示すように、第1の実施
例同様に減圧化学気相成長法によりタングステン膜を全
面に成長したのち、SF6 とアルゴンの混合ガス雰囲気
中でドライエッチングしてビアホール内のみにタングス
テン膜6を残す。
【0024】次に図2(D)に示すように、酸素あるい
は酸素にアルゴンを加えたプラズマ等、少なくとも酸素
を含むプラズマにより露出した窒化チタン膜5Aの表面
を処理することにより、窒化チタン膜5Aの表面を酸化
し、酸化窒化チタン膜9を形成する。この時タングステ
ン膜表面には酸化タングステン膜10が形成される。
【0025】また、窒化チタン膜5Aの表面の酸化は、
この他、酸素雰囲気中で赤外線ランプによりシリコン基
板を加熱することにより行なう方法もある。これら窒化
チタン膜5Aの表面の酸化は、タングステン膜6のエッ
チング後大気にさらすことなく同一真空中で行なったほ
うが良いのは第1の実施例と同様である。また、この窒
化チタン膜5Aの表面の酸化の時、表面に被着した弗素
は除去する必要は無い。酸化チタンは安定なため、弗素
が表面に被着していても弗化チタン等は形成されにくい
ためである。
【0026】次に図2(E)に示すように、アルミニウ
ム合金膜7を0.5〜2.0μmの厚さにスパッタリン
グ法により形成した後、アルミニウム合金膜7、窒化チ
タン膜5Aを所望の形状にパターニングしてアルミニウ
ム配線を完成させる。アルミニウム合金膜7を形成する
前に、アルゴンガスを用いたスパッタエッチングにより
窒化チタン膜5Aの表面の酸化膜層を除去したほうが良
い。
【0027】第1の実施例においては、窒化チタン膜の
表面から弗素を除去しているだけなので、再び弗素を含
む雰囲気にさらされると、弗化チタン等が粒状に成長す
る恐れがあるが、本第2の実施例では窒化チタン膜の表
面が酸化されているため、再び弗素を含む雰囲気にさら
されても粒状の弗化チタン等が形成されることは無い。
【0028】
【発明の効果】以上説明したように本発明は、窒化チタ
ン膜上のタングステン膜を弗素を含むガスにてドライエ
ッチングした後、露出した窒化チタン膜の表面に被着し
た弗素を除去するか、あるいは窒化チタン膜の表面を酸
化することにより、タングステン膜のエッチング後、長
時間大気に放置しておいても、窒化チタン膜の表面に粒
状の弗化チタン等が形成されることはなくなる。したが
って、タングステン膜で埋設されたビアホールを有する
アルミニウム配線を短絡等もなく精度良く形成できるた
め、半導体装置の歩留り及び信頼性を向上させることが
できるという効果を有する。
【図面の簡単な説明】
【図1】本発明の第1の実施例を説明するための半導体
チップの断面図。
【図2】本発明の第2の実施例を説明するための半導体
チップの断面図。
【図3】従来の半導体装置の製造方法を説明するための
半導体チップの断面図。
【符号の説明】
1,21 シリコン基板 2,22 多結晶シリコン層 3,23 シリコン酸化膜 4,4A,24 チタン膜 5,5A,25 窒化チタン膜 6,26 タングステン膜 7,27 アルミニウム合金膜 8 チタンシリサイド層 9 酸化窒化チタン膜 10 酸化タングステン膜

Claims (10)

    【特許請求の範囲】
  1. 【請求項1】 半導体基板上に形成された層間絶縁膜に
    開口部を形成する工程と、この開口部を含む表面に窒化
    チタン膜を形成したのち化学気相成長法によりタングス
    テン膜を成長させ開口部を埋める工程と、弗素を含むガ
    スを反応ガスとするエッチング法により前記タングステ
    ン膜をエッチングし前記開口部内のみにタングステン膜
    を残したのち露出した前記窒化チタン膜の表面の弗素を
    除去する工程とを含むことを特徴とする半導体装置の製
    造方法。
  2. 【請求項2】 窒化チタン膜の表面の弗素を不活性ガス
    のプラズマによるエッチングにより除去する請求項1記
    載の半導体装置の製造方法。
  3. 【請求項3】 不活性ガスのプラズマによるエッチング
    は、タングステン膜をエッチングする工程と同一真空中
    で行なう請求項2記載の半導体装置の製造方法。
  4. 【請求項4】 窒化チタン膜の表面の弗素を純水での水
    洗により除去する請求項1記載の半導体装置の製造方
    法。
  5. 【請求項5】 窒化チタン膜の表面の弗素を150℃以
    上の高温にさらして除去する請求項1記載の半導体装置
    の製造方法。
  6. 【請求項6】 窒化チタン膜の表面の弗素をアルカリ性
    水溶液につけて除去する請求項1記載の半導体装置の製
    造方法。
  7. 【請求項7】 半導体基板上に形成した層間絶縁膜に開
    口部を形成する工程と、この開口部を含む全面に窒化チ
    タン膜を形成したのち化学気相成長法によりタングステ
    ン膜を成長させ開口部を埋める工程と、弗素を含むガス
    を反応ガスとするエッチング法により前記タングステン
    膜をエッチングし前記開口部内のみにタングステン膜を
    残したのち露出した前記窒化チタン膜の表面を酸化する
    工程とを含むことを特徴とする半導体装置の製造方法。
  8. 【請求項8】 窒化チタン膜の表面を酸素を含むプラズ
    マ処理により酸化する請求項7記載の半導体装置の製造
    方法。
  9. 【請求項9】 窒化チタン膜の表面を酸素を含む雰囲気
    中でのランプアニールにより酸化する請求項7記載の半
    導体装置の製造方法。
  10. 【請求項10】 窒化チタン膜の表面の酸化はタングス
    テン膜をエッチングする工程と同一真空中で行なう請求
    項8または請求項9記載の半導体装置の製造方法。
JP28803192A 1992-10-27 1992-10-27 半導体装置の製造方法 Expired - Lifetime JP3179212B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP28803192A JP3179212B2 (ja) 1992-10-27 1992-10-27 半導体装置の製造方法
EP93117253A EP0596364B1 (en) 1992-10-27 1993-10-25 Method of producing semiconductor device having buried contact structure
DE69308727T DE69308727T2 (de) 1992-10-27 1993-10-25 Herstellungsverfahren von einer Halbleitervorrichtung mit einer begrabenen Kontaktstruktur
KR93022272A KR0134331B1 (en) 1992-10-27 1993-10-26 Manufacturing method of semiconductor device having buried contact structure
US08/679,489 US5804505A (en) 1992-10-27 1996-07-12 Method of producing semiconductor device having buried contact structure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP28803192A JP3179212B2 (ja) 1992-10-27 1992-10-27 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH06140372A true JPH06140372A (ja) 1994-05-20
JP3179212B2 JP3179212B2 (ja) 2001-06-25

Family

ID=17724931

Family Applications (1)

Application Number Title Priority Date Filing Date
JP28803192A Expired - Lifetime JP3179212B2 (ja) 1992-10-27 1992-10-27 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US5804505A (ja)
EP (1) EP0596364B1 (ja)
JP (1) JP3179212B2 (ja)
KR (1) KR0134331B1 (ja)
DE (1) DE69308727T2 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08213344A (ja) * 1995-02-03 1996-08-20 Nec Corp 半導体製造装置および製造方法
EP0740336A2 (en) * 1995-04-27 1996-10-30 Nec Corporation Method for fabricating semiconductor device having buried contact structure
US6538329B2 (en) 1995-01-11 2003-03-25 Hitachi, Ltd. Semiconductor integrated circuit device and method for making the same
KR100414306B1 (ko) * 1996-12-30 2004-03-30 주식회사 하이닉스반도체 반도체장치의금속콘택방법
JP2005136270A (ja) * 2003-10-31 2005-05-26 Nec Kansai Ltd 縦型mosfetを備えた半導体装置
JP2018511935A (ja) * 2015-02-25 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属窒化物を選択的に除去するためにアルキルアミンを使用する方法及び装置

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0571691B1 (en) * 1992-05-27 1996-09-18 STMicroelectronics S.r.l. Metallization over tungsten plugs
US6004887A (en) * 1994-09-01 1999-12-21 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
US5753975A (en) * 1994-09-01 1998-05-19 Kabushiki Kaisha Toshiba Semiconductor device with improved adhesion between titanium-based metal wiring layer and insulation film
GB2307341B (en) * 1995-11-15 2000-06-14 Hyundai Electronics Ind Method of forming a tungsten plug of a semiconductor device
US6440828B1 (en) * 1996-05-30 2002-08-27 Nec Corporation Process of fabricating semiconductor device having low-resistive contact without high temperature heat treatment
US5679605A (en) * 1996-06-05 1997-10-21 Advanced Micro Devices, Inc. Multilevel interconnect structure of an integrated circuit formed by a single via etch and dual fill process
US5641710A (en) * 1996-06-10 1997-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Post tungsten etch back anneal, to improve aluminum step coverage
US6140243A (en) * 1996-12-12 2000-10-31 Texas Instruments Incorporated Low temperature process for post-etch defluoridation of metals
DE19702121C1 (de) * 1997-01-22 1998-06-18 Siemens Ag Verfahren zur Herstellung von vertikalen Chipverbindungen
DE19801095B4 (de) 1998-01-14 2007-12-13 Infineon Technologies Ag Leistungs-MOSFET
US6077771A (en) * 1998-04-20 2000-06-20 United Silicon Incorporated Method for forming a barrier layer
US6197684B1 (en) * 1999-03-19 2001-03-06 United Microelectronics Corp. Method for forming metal/metal nitride layer
JP4534058B2 (ja) * 2000-06-09 2010-09-01 ルネサスエレクトロニクス株式会社 半導体製造方法および半導体装置
US6770566B1 (en) 2002-03-06 2004-08-03 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
CN1444259A (zh) * 2002-03-12 2003-09-24 株式会社东芝 半导体器件的制造方法
CN100580904C (zh) * 2004-12-01 2010-01-13 Nxp股份有限公司 一种集成电路管芯及在其上形成互连结构的方法
JP2006165023A (ja) * 2004-12-02 2006-06-22 Matsushita Electric Ind Co Ltd 電子デバイスの製造方法
KR100874432B1 (ko) 2007-11-01 2008-12-17 주식회사 하이닉스반도체 웨이퍼 세정방법 및 이를 이용한 반도체 소자의 금속배선형성방법
US8388851B2 (en) 2008-01-08 2013-03-05 Micron Technology, Inc. Capacitor forming methods
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8652926B1 (en) * 2012-07-26 2014-02-18 Micron Technology, Inc. Methods of forming capacitors
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
KR20210014577A (ko) * 2019-07-29 2021-02-09 에이에스엠 아이피 홀딩 비.브이. 불소 제거를 이용해서 구조물을 형성하는 방법
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS593927A (ja) * 1982-06-29 1984-01-10 Fujitsu Ltd 薄膜のエツチング方法
US4668335A (en) * 1985-08-30 1987-05-26 Advanced Micro Devices, Inc. Anti-corrosion treatment for patterning of metallic layers
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH01102938A (ja) * 1987-09-25 1989-04-20 American Teleph & Telegr Co <Att> 半導体集積回論の製造方法
GB2212979A (en) * 1987-12-02 1989-08-02 Philips Nv Fabricating electrical connections,particularly in integrated circuit manufacture
US4926237A (en) * 1988-04-04 1990-05-15 Motorola, Inc. Device metallization, device and method
US5232872A (en) * 1989-05-09 1993-08-03 Fujitsu Limited Method for manufacturing semiconductor device
US5035768A (en) * 1989-11-14 1991-07-30 Intel Corporation Novel etch back process for tungsten contact/via filling
US5204285A (en) * 1989-12-01 1993-04-20 Matsushita Electronics Corporation Method for patterning a metal layer
US5182625A (en) * 1990-04-26 1993-01-26 Fuji Xerox Co., Ltd. Image sensor and method of manufacturing the same
JPH0414831A (ja) * 1990-05-08 1992-01-20 Sony Corp 配線形成方法
US5229325A (en) * 1991-01-31 1993-07-20 Samsung Electronics Co., Ltd. Method for forming metal wirings of semiconductor device
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
US5176792A (en) * 1991-10-28 1993-01-05 At&T Bell Laboratories Method for forming patterned tungsten layers
US5223443A (en) * 1992-02-19 1993-06-29 Integrated Device Technology, Inc. Method for determining wafer cleanliness
EP0571691B1 (en) * 1992-05-27 1996-09-18 STMicroelectronics S.r.l. Metallization over tungsten plugs

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6538329B2 (en) 1995-01-11 2003-03-25 Hitachi, Ltd. Semiconductor integrated circuit device and method for making the same
US6583049B2 (en) 1995-01-11 2003-06-24 Hitachi, Ltd. Semiconductor integrated circuit device and method for making the same
US6780757B2 (en) 1995-01-11 2004-08-24 Renesas Technology Corp. Semiconductor integrated circuit device and method for making the same
JPH08213344A (ja) * 1995-02-03 1996-08-20 Nec Corp 半導体製造装置および製造方法
EP0740336A2 (en) * 1995-04-27 1996-10-30 Nec Corporation Method for fabricating semiconductor device having buried contact structure
JPH08306781A (ja) * 1995-04-27 1996-11-22 Nec Corp 半導体装置の製造方法
US5731225A (en) * 1995-04-27 1998-03-24 Nec Corporation Method for fabricating semiconductor device having buried contact structure
EP0740336B1 (en) * 1995-04-27 2002-08-07 Nec Corporation Method for fabricating semiconductor device having buried contact structure
KR100414306B1 (ko) * 1996-12-30 2004-03-30 주식회사 하이닉스반도체 반도체장치의금속콘택방법
JP2005136270A (ja) * 2003-10-31 2005-05-26 Nec Kansai Ltd 縦型mosfetを備えた半導体装置
JP2018511935A (ja) * 2015-02-25 2018-04-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 金属窒化物を選択的に除去するためにアルキルアミンを使用する方法及び装置

Also Published As

Publication number Publication date
JP3179212B2 (ja) 2001-06-25
DE69308727T2 (de) 1997-07-17
EP0596364B1 (en) 1997-03-12
DE69308727D1 (de) 1997-04-17
EP0596364A2 (en) 1994-05-11
EP0596364A3 (en) 1994-06-29
KR0134331B1 (en) 1998-04-20
US5804505A (en) 1998-09-08

Similar Documents

Publication Publication Date Title
JP3179212B2 (ja) 半導体装置の製造方法
JP2978748B2 (ja) 半導体装置の製造方法
JPH0878519A (ja) 半導体装置およびその製造方法
KR900008387B1 (ko) 반도체장치 제조방법
JP2836529B2 (ja) 半導体装置の製造方法
JP2000306997A (ja) バリアメタル層を有する半導体装置及びその製造方法
KR0185230B1 (ko) 금속배선 및 반도체장치
JP2000252278A (ja) 半導体装置およびその製造方法
US6847085B2 (en) High aspect ratio contact surfaces having reduced contaminants
JPH08288390A (ja) 半導体装置およびその製造方法
US6335282B1 (en) Method of forming a titanium comprising layer and method of forming a conductive silicide contact
JP2871943B2 (ja) 半導体装置の製造方法
JPH08139190A (ja) 半導体装置の製造方法
JPH079893B2 (ja) 半導体装置の製造方法
JPH0360126A (ja) 半導体装置の製造方法
JPH0629240A (ja) 半導体装置並びにその製造方法
JP2006179645A (ja) 半導体装置及びその製造方法
JPH11204455A (ja) 半導体装置の製造方法
JPH07297146A (ja) コンタクト形成方法
JPH0353532A (ja) 多層配線形成方法
KR100458589B1 (ko) 반도체 소자 제조 방법
JPH05308056A (ja) 半導体装置の製造方法
JP2000277522A (ja) 半導体装置とその製造方法
JPH0260058B2 (ja)
JPH07111289A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080413

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090413

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100413

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110413

Year of fee payment: 10

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110413

Year of fee payment: 10

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120413

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120413

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130413

Year of fee payment: 12

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130413

Year of fee payment: 12