JPH03185827A - 高純度薄膜の形成方法 - Google Patents

高純度薄膜の形成方法

Info

Publication number
JPH03185827A
JPH03185827A JP2330808A JP33080890A JPH03185827A JP H03185827 A JPH03185827 A JP H03185827A JP 2330808 A JP2330808 A JP 2330808A JP 33080890 A JP33080890 A JP 33080890A JP H03185827 A JPH03185827 A JP H03185827A
Authority
JP
Japan
Prior art keywords
silicon
gas
energized
vacuum vessel
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2330808A
Other languages
English (en)
Other versions
JP2596214B2 (ja
Inventor
Bich-Yen Nguyen
ビッチ・エン・ヌグイェン
Jen-Jiang Lee
ジェン・ジャング・リー
Hoang K Nguyen
ホアング・カック・ヌグイェン
Young Limb
ヤング・リム
Philip J Tobin
フィリップ・ジェイ・トビン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Motorola Solutions Inc
Original Assignee
Motorola Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Motorola Inc filed Critical Motorola Inc
Publication of JPH03185827A publication Critical patent/JPH03185827A/ja
Application granted granted Critical
Publication of JP2596214B2 publication Critical patent/JP2596214B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、半導体装置の製造過程における薄膜の堆積方
法に関するものである。より詳細には、半導体基板上に
高純度な絶縁薄膜または緩衝薄膜を堆積するための方法
に関するものである。
〔従来の技術および解決すべき課題〕
半導体装置の製造プロセスにおいては絶縁膜および緩衝
膜の堆積がしばしば必要とされる。絶縁膜および緩衝膜
は2以上の伝導層を互いに絶縁し、またそれらを伝導性
の基板から絶縁する。集積回路においては伝導ゲートが
薄い絶縁物によって半導体基板から電気的に絶縁された
絶縁ゲート型電界効果トランジスタがよく用いられてい
る。例えばCMO3型半導体装置においては前記伝導ゲ
ートは多結晶シリコン(ポリシリコン)を用いることが
可能であり、当該ゲートは酸化シリコン絶縁体の上に形
成される。
DRAMメモリ等においては絶縁物はキャパシタに用い
られ、また半導体基板上の第1ポリシリコン層と第2ポ
リシリコン層とを分離する。半導体装置の集積度が上が
るのに対応するために半導体回路が縮小されるにつれて
、絶縁膜の厚みも減少させなくてはいけない。これらの
膜の厚さが100オングストロームかそれ以下の段階に
まで減少すると、これらの膜の許容可能な欠陥許容レベ
ルまでも減少させることになる。絶縁膜が機能しなけれ
ばならないVLSIの環境においてこれらの膜は、高い
絶縁性を持つこと、高絶縁破壊特性をもつこと、放射線
遮蔽効果のあること、またナトリウムや隣接する伝導層
の電気伝導性の調整に用いられる不純物などの混入物に
対しての拡散バリアとなること、が求められている。
VLSI  MO3装置の製造の場合においては、誘電
膜および絶縁膜は一般には二酸化シリコンまたはシリコ
ン窒化物からなっており、誘電膜は酸化物−窒化物一酸
化物(ONO)からなる複合構造から構成されてもよい
。シリコン窒化物はその適切な絶縁特性に加えて、ナト
リウムの拡散に対して優れたバリアである。前記シリコ
ン窒化物の拡散バリア特性によって、この物質は半導体
装置の絶縁構造として、単独または二酸化シリコンとの
組み合わせで、その応用範囲が広がっている。絶縁物質
もしくは緩衝物質としての応用においてシリコン窒化物
はCVD (Chemical Vapor Depo
sition)反応装置の雰囲気、気圧中で摂氏700
度から900度の温度範囲で、シランとアンモニアの反
応によって堆積可能である。例えばシリコン窒化物は次
の反応によって形成される。
3SiH4+ 4NH,→Si、N4+ 12H2(1
)基板に対してのより均質な窒化物の堆積は、少ない気
圧(0,25から2.0torr) 、摂氏700度か
ら800度の温度範囲で、ジクロロシランとアンモニア
の反応によって得られる。例えば低圧においてシリコン
窒化物は次の反応によって得られる。
3SiC12H2+4NH,→Si3N4+6HC1+
6H,(:2)CVD法または低圧CVD (LPCV
D)法による高品質な膜の形成には、構成要素がおおよ
そ化学量論的組成に従った形で膜に含まれることが必要
である。しかしながら、シリコン過剰な窒化物膜の形成
を防ぐために通常は過剰な量のアンモニアが用いられる
。過剰なシリコンが窒化物膜に導入されることはシリコ
ン窒化物の電気的抵抗を減少させ、よってその絶縁特性
を損なわせるという悪影響がある。
過剰なアンモニアを反応器中に導入することは、シリコ
ン窒化物膜中に過剰なシリコンが混入するのを防ぐのに
は効果的であるが、しかしながら過剰なアンモニアの使
用は結果として最大8グラム原子パーセントの水素を含
有する可能性のあるシリコン窒化物膜の形成につながる
。水素はシリコン窒化物絶縁体中への、またはこれを通
しての不純物の拡散を増長するという理由で、水素の混
入は好ましいことではない。
同様な混入物の問題がLPGVD反応器において二酸化
シリコン膜を堆積する際にもおこる。例えば二酸化シリ
コン膜は摂氏400度から500度の温度範囲において
シランと酸素の次のような反応によって形成される。
SiH4+ 02→5in2+ 2H2(3)その他の
方法としては、二酸化シリコンはLPGVD反応器内に
おいておおよそ摂氏900度でジクロロシランと亜酸化
窒素との次のような反応によって形成される。
5iC1,H2+2N、0→SiO,+2N2+2HC
1(4)LPGVD堆積法による二酸化シリコン膜の形
成では1から4重量パーセントの範囲において水酸化物
シリコン(SiOH)を含む膜を生ずる。シリコン窒化
物の場合と同様に、二酸化シリコン中の水素の存在は不
純物の透過性を高めるという結果になる。
前述のように前記の方法はすべて水素化された膜を生ず
ることが明らかである。水素の存在は窒化物膜のナトリ
ウムバリア特性を著しく損ない、二酸化シリコン膜にお
ける不純物の含有につながる。不純物の悪影響によって
、半導体プロセス科学において既知の半導体装置に使用
される絶縁体および緩衝膜の欠陥をまねく。界面電荷ト
ラップから生ずる欠陥はMOS)ランジスタのスレッシ
ョルド電圧を変化させ、DRAMのキャパシタの電荷蓄
積能力を減少させる。VLSI装置においては絶縁膜に
おける不純物に起因する界面状態の存在から生ずる重大
な信頼性の問題をかかえてい、る。従って、半導体装置
の製造において用いるための不純物を含まない絶縁体お
よび緩衝膜の製造方法に対する要求が存在する。
本発明の目的は半導体装置に用いるための改良された薄
膜を提供することにある。
本発明の他の目的は半導体基板上に高純度薄膜を形成す
る改良された手段を提供することにある。
〔課題を解決するための手段〕
したがって、本発明のこれらのおよび他の目的、および
効果は、接地電極を含む真空容器を用い、接地電極上に
半導体基板を保持するプロセスにおいて実現される。真
空容器内において電力電極に結合される高周波(RF)
エネルギーによって水素を含まないガスにエネルギーを
与える。エネルギーを与えたシリコン含有ガスを質量分
析して、エネルギーを与えたシリコンイオン・を得、そ
れを真空容器に導く。
エネルギーを与えた水素を含まないガス中の励起された
原子と、シリコンイオンとの間で反応が起こり、半導体
基板上に薄膜を形成する。
〔実施例〕
第1図は、本発明の実施に適した改良型プラズマエンハ
ンストCVD (PECVD)装置の断面図とダイアグ
ラムの複合図である。本装置は、下側接地電極12と上
側電力電極(電力が供給された電極)14を含む真空容
器10から成る。処理すべきウェハはアクセスドアl 
6.を通して真空容器10内に導入され、接地電極12
上に置かれる。処理されたウェハは、再びアクセスドア
16を通して真空容器IOから取り出される。
容器10内において、電極12および14は大体平坦な
表面を有しており、互いに平行である。接地電極12お
よび電力電極14は、容器lOの壁から電気的に絶縁さ
れている。電力電極14は、プロセスガスを電極の下面
に設けられた複数の開口部を通して2つの電極の間の空
間に放出するようになっている。第1ガス供給源20お
よび流量制御装置22が、ガスの種類を選択して容器1
0へのガスの流量を制限するために、電極14に結合さ
れている。真空装置24は圧力制御弁26を介して容器
10に結合されており、容器内の圧力を調整し、過剰な
気体反応生成物を容器から取り除く。
容器10に導入されたガスは、整合回路および阻止コン
デンサユニット30を介して電極14に結合された13
.56MHz電力源28によってエネルギーを与えられ
る。これまでに述べた部品は、当業者にとってはプラズ
マ堆積装置を構成するものとして認識されるであろう。
2つの電極の間に導入されたガスは、電力源28によっ
て供給される高周波電力によって高エネルギー状態に励
起される。
本発明の好適実施例に従って、イオン波導波器32が電
極14の中央部を貫通して、2つの電極間の空間にエネ
ルギーイオンを放出する。イオンは、第2ガス供給源3
6から原ガスを受は取るフリーマン(Freeman)
型のイオン供給源34によって供給される。引出し電極
組立体がイオン源34によって生成されるイオンをイオ
ン源34から引出し、質量分析器40へと推進させる。
質量分析器40は、分析器の磁場強度を変化させること
によって所定の質量のイオンを通過させることが可能で
ある。質量分析器40によって選別された、つまり質量
分析されたイオンは、質量分析器を・出た後、導波器3
2に結合した加速コラム42によって加速される。こう
して質量分析されたイオンは容器10内の2つの電極間
の空間に進められる。質量分析器40および加速コラム
42中の気圧は真空装置43によって制御される。イオ
ンビームゲート44が加速コラム42内に位置し、容器
10に対するイオン流を遮蔽する。
当業者にとっては、前記イオン供給部品はイオンインプ
ランテーション装置の機能部品の一部を構成するものと
理解されよう。部材32,34,36,38.40,4
2.および44はイオン搬送装置45を構成する。加速
コラム42および真空容器lOの内部圧力は、それぞれ
真空装置43.24によって独立に制御される。ビーム
ゲート44はその開放値fIFげおいで−ビームゲート
ALLこ設けられた一連の開孔によって加速コラム42
と真空装置10の間の圧力の違いを制限することを助け
ている。開孔は500から800ミクロンの間の大きさ
であり、堆積条件に応じて選択される。
動作について説明すると、薄膜を受けるための準備をし
た半導体基板46を接地電極12上に置く。
ドア16を閉じ、真空装置24で容器10から気体を取
り除く。内部圧力が最適な操作のために選ばれたレベル
に設定されると、ガス供給源20および流量制御装置2
2を動作させてプロセスガスを容器lOに導入する。電
力源28を最適動作のために選択したレベルで動作させ
、電極14に高周波電力を与えて、両電極間の空間にプ
ラズマを点火する□。イオンビームゲート44を開放し
、エネルギーイオンを加速コラム42によって加速して
、両電極間に閉じ込められたプラズマの中へと導く。化
学反応がプラズマ中、さらに詳細には基板46のごく近
傍で起こり、結果として基板46上に薄膜が堆積する。
所定時間経過後にビームゲート44を閉鎖し、電力源2
0を遮断して、容器10内部に内部圧力が大気圧と同じ
になるまでガス供給源20から不活性ガスを再充填する
。その後半導体基板46をドア16を通して接地電極1
2から取り出される。
本発明の好適実施例において、水素を含まないシリコン
窒化物膜が、約10 m1llitorrの容器圧力下
で窒素とエネルギーシリコンイオンとの反応によって半
導体基板46上に形成される。元素窒素ガスをガス供給
源20から容器10に流量50SCCMで供給し、電力
供給源28を動作させ300ワツトの高周波電力を電極
14に与え窒素にエネルギーを与えることによって、容
器10内の両電極間の空間において窒素プラズマが形成
される。シラン、シリコン4窒化物、シリコン4塩化物
または類似物などの原ガスをガス供給源36からイオン
源34に供給することによって、エネルギーを与えられ
たシリコンイオンがイオン供給装置45によって生成さ
れる。他の方法としては、当分骨で周知なように、固体
シリコンなどの固体の原物質を高真空下で加熱してイオ
ン化可能ガスをイオン源34に供給することも可能であ
る。原子重量単位(AMU)が28である1価のシリコ
ンイオンを、引出し電極組立体38から現われたイオン
ビームの中から、質量分析器40によって選別する。質
量分析器40はエネルギーイオンを分析可能ないかなる
型の質量分析器でも可能であり、例えば90度磁場分析
器などがあげられる。その他には交差極XY分析器など
も使用可能である。本発明の1実施例を説明するために
、質量分析器40は90度磁場分析器で、その磁場強度
H(単位キロガウス)は次のような関係によって決定さ
れる。
H= k(m)””       (5)ここでkは、
与えられたイオンのエネルギー、磁石の積率半径および
イオンの電荷に依存する定数である。式(5)中のmは
、与えられた磁場強度Hにおいて分析器を脱出するイオ
ンの質量(単位AMU)を表す。動作上、90度磁石の
周囲に巻かれた伝導ワイヤ中を電流が流れ、質量28A
MUを持つシリコンの第1イオンの場合に式(5)を満
足するのに適した磁場強度が生みだされる。
質量分析器40から現われたシリコンイオンは、加速コ
ラム42によって5から10KeVのエネルギーにまで
加速され、導波器32を通って容器10内へと推進され
る。イオン供給装置45は50から100ミリアンペア
のイオン流を生みだし、1平方センチメートル当たり約
10′6から1017個の面積密度のイオンを窒素プラ
ズマに対して与える。このプラズマ中、基板46のすぐ
近くで起こる反応は次のように表現される。
3Si” + 4N”→Si、N4(6)ここで*はエ
ネルギーを与えられた原子またはイオンを表している。
式(6)の反応では基板上に毎分5から35オングスト
ロームの割合で線形膜が堆積し、摂氏250度から40
0度の範囲の窒素プラズマ温度で反応が進行する。
前記のおよび式(6)によって示されるシリコン窒化物
の形成方法は、容器10内で起こる反応のために準備す
る反応材料が水素を含まないという点で、従来のシリコ
ン窒化物の形成方法を完全に改善したものである。本発
明の追加的な効果は、従来方法の温度範囲である摂氏7
00度から900度よりも実質的に低い温度で窒化物膜
が形成されることである。
当業者にはその他の種類の膜および他の組成による膜も
本発明の方法によって形成可能であることは明らかであ
ろう。同様にして、容器圧力10 m1llitorr
において酸素とエネルギーシリコンイオンとの反応によ
って、基板46上に水素を含まない二酸化シリコン膜が
形成される。元素酸素ガスがガス供給源20から容器1
0に流率50 SCCMで供給され、質量分析されたシ
リコンイオンがイオン供給装置45によって導波器32
を通って50から100ミリアンペアの割合で供給され
る。両電極間の空間および基板46のすぐそばで起こる
反応は次のように表現される。
Si” + 20”  → SiO(2)ここで*はエ
ネルギーが与えられた原子またはイオンを表す。
式(6)および(7)で表される薄膜形成方法は続けて
実行可能であり、酸化物−窒化物一酸化物(ONO)の
複合膜を基板46に堆積させることもできる。例えば、
式(7)の方法を実行し、第2図に示すように基板46
上に約1〜10nmの厚さを持つ2酸化シリコンの層を
形成する。その反応をイオンゲート44を閉鎖しかつ電
力源28を遮断して中断する。次に、容器10を排気し
て容器10から酸素を取り除く。窒素の導入に続いて、
シリコンイオン流と高周波電力を再開する。次に、反応
式(6)の方法を実行し、二酸化シリコン層48上にシ
リコン窒化物層50を形成する。シリコン窒化物層50
の堆積に続いて、容器10再び排気し、酸素を再導入し
て、反応(7)の方法を実行し、第2図に示すようにシ
リコン窒化物層50上に第2の二酸化シリコン層52を
形成する。変形的には、酸化物層48は在来型のCVD
装置により堆積しても、あるいは基板46からの熱成長
によって形成してもよい。
上記以外の水素を含まないガスもシリコン窒化物膜およ
び二酸化シリコン膜を形成するのに使用可能であり、そ
れらは本発明でも意図しているところである。例えば亜
酸化窒素(N20)はシリコンと反応し、次の反応式に
従って、水素を含まない二酸化シリコン膜を形成する。
Si” + 2N O傘→SiO+ 2N    (8
)2            2      2亜酸化
窒素の代わりに一酸化窒素を用いても同様な反応が起こ
る。また、Si*およびN*が同時にN20と反応する
ことによって、硝酸化絶縁膜が形成される。
三フッ化ホウ素 (BF3)などのドーパントを含んだ
ガスをガス供給源から含有させることによって、ドープ
された二酸化シリコン膜の堆積も実現可能であることは
、当業者にとっては明らかであろう。さらに、他の種類
の緩衝膜も本発明の方法によって形成可能である。例え
ば、窒化アルミニウム窒化物(AIN)は、電極12と
14との間の空間に窒素のプラズマを生威し、質量分析
したアルミニウムイオンをイオン供給装置45から導入
することによって形成可能である。さらに、二酸化チタ
ン(TiO2)および五酸化タンタル(Ta、05)も
質量分析したチタンまたはタンタルのイオンを酸素のプ
ラズマ中に導入することによって形成可能である。チタ
ンイオンは、四塩化チタン(TiC14)をガス供給源
36からイオン源34に導入することによって、イオン
供給装置45で形成することが可能である。タンタルイ
オンは、同様にガス供給源36から塩化タンタル(Ta
CI、)を供給することによって形成可能である。
エネルギーを与えたガスを質量分析してCVD反応に参
加させる元素柱を得るための本発明の方法は、真空容器
10に複数のイオン供給装置を結合して使用する技術を
含む。例えば、ホウ化リンガラス(BPSO)膜は、酸
素プラズマを含むBP、にエネルギーを与え、第1イオ
ン供給装置からエネルギーシリコンを、第2イオン供給
装置からエネルギーリンイオンを導入することによって
、形成可能である。従って本発明に従って前記目的およ
び利点に完全に適合した高純度膜を形成する方法が開示
されたことは明らかであろう。本発明はここで特定の実
施例を参照して説明され、図示されてはいるが、これは
本発明を前記実施例に限定するものではない。当業者で
あれば、これらの変更や変形は本発明の本質から外れる
ことなく実行可能なことは明らかであろう。例えば、ガ
スマニフオールド装置は真空容器内にガスを分配するた
めにも使用可能である。さらに基板の温度は摂氏25度
からプラズマ温度(摂氏250〜400度)の範囲で、
接地電極に設けられた冷却器によって制御可能である。
その他には、四塩化シリコンまたは四フッ化シリコンの
ようなガスを容器内に導入して、窒素イオンをイオン供
給装置で加速しプラズマ中に導入することで基板上に窒
化物膜を形成することも可能である。さらに窒化チタン
(TiN)のような導電性の膜は質量分析したチタンを
窒素のプラズマ中に導入することで形成可能である。し
たがってすべてのそのような変形および変更は本出願に
係る特許請求の範囲に含まれるものである。
【図面の簡単な説明】
第1図は、好適実施例に従った本発明のプロセスを実現
するための装置の組み合わせ図および断面図である。 第2図は、本発明に従い複数のプロセスにより形成した
半導体基板の一部の断面図である。 〔主要符号の説明〕 10・・・真空容器、12・・・接地電極、14・・・
電力電極、28・・・電力源、34・・・イオン供給源
、36・・・ガス供給源、40・・・質量分析器

Claims (3)

    【特許請求の範囲】
  1. (1)半導体基板上に薄膜を形成する方法であって: 真空容器内に設けられ接地された電極上に基板を保持す
    る段階; 酸素または窒素のいずれかを含む第1ガスを前記真空容
    器に導入する段階; 前記真空容器内に設けられた電力電極に結合された高周
    波エネルギーによって前記第1ガスにエネルギーを与え
    る段階; シラン、四塩化シリコン、四フッ化シリコン、およびシ
    リコンから成る群の中から選ばれた第2ガスを質量分析
    して、エネルギーを与えたイオンを得る段階;および 前記のエネルギーを与えたイオンを前記真空容器に導入
    して、前記のエネルギーを与えた第1ガスと反応させ、
    前記基板上に前記薄膜を形成する段階;から成ることを
    特徴とする方法。
  2. (2)半導体基板上に水素を含まない絶縁膜を形成する
    方法において、前記絶縁膜はプラズマ内でエネルギーを
    与えた反応性物質種から得られた第1元素と反応性イオ
    ン種から得られた第2元素とから成り、前記方法は: 真空容器内に設けられ接地された電極上に基板を保持す
    る段階; 前記真空容器中において電力電極に結合される高周波エ
    ネルギーを用いて、水素を含まない反応性気体種にエネ
    ルギーを与え、プラズマを形成する段階;シラン、四塩
    化シリコン、および四フッ化シリコンから成る群の中か
    ら選ばれた、エネルギーを与えたガスを質量分析し、エ
    ネルギーを与えたシリコンイオンを得る段階;および 前記のエネルギーを与えたイオンを前記真空容器に導入
    し、前記プラズマ中でエネルギーを与えた反応性物質種
    と反応させ、前記基板上に前記の水素を含まない絶縁膜
    を形成する段階; から成ることを特徴とする方法。
  3. (3)反応性気体種と反応性イオン種とを用いて、第1
    の二酸化シリコン層、シリコン窒化物層、および第2の
    二酸化シリコン層を有する水素を含まない酸化物・窒化
    物・酸化物型の絶縁膜を、半導体基板上に形成する方法
    であって: 真空容器内に設けられた下方電極上に基板を保持する段
    階; 前記真空容器内に設けられた電力電極に結合される高周
    波エネルギーを用いて酸素ガスにエネルギーを与えて、
    酸素プラズマを形成する段階; エネルギーを与えたシリコン含有ガスを質量分析して、
    エネルギーを与えたシリコンイオンを得る段階; 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、エネルギーを与えた酸素原子と前記酸
    素プラズマ中で反応させ、前記基板上に前記第1の二酸
    化シリコン層を形成する段階;前記酸素ガスを除去し、
    前記真空容器中に窒素ガスを導入する段階; 引き続き前記真空容器中において電力電極に結合される
    高周波エネルギーを用いて前記窒素ガスにエネルギーを
    与え、窒素プラズマを形成する段階;前記のエネルギー
    を与えたシリコン含有ガスを質量分析して、エネルギー
    を与えたシリコンイオンを得る段階; 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、エネルギーを与えた窒素原子と前記窒
    素プラズマ中で反応させ、前記第1の二酸化シリコン層
    上に前記シリコン窒化物層を形成する段階; 前記窒素ガスを除去し、前記真空容器中に前記酸素ガス
    を再び導入する段階; 引き続き前記真空容器中で前記電力電極に結合される高
    周波エネルギーを用いて前記の再び導入された酸素ガス
    にエネルギーを与え、前記酸素プラズマを形成する段階
    ; 前記のエネルギーを与えたシリコン含有ガスを質量分析
    して、前記のエネルギーを与えたシリコンイオンを得る
    段階;および 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、前記のエネルギーを与えた酸素原子と
    前記酸素プラズマ中で反応させ、前記シリコン窒化物層
    上に前記第2の二酸化シリコン層を形成する段階; から成ることを特徴とする方法。
JP2330808A 1989-12-04 1990-11-30 高純度薄膜の形成方法 Expired - Lifetime JP2596214B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/445,220 US4987102A (en) 1989-12-04 1989-12-04 Process for forming high purity thin films
US445,220 1989-12-04

Publications (2)

Publication Number Publication Date
JPH03185827A true JPH03185827A (ja) 1991-08-13
JP2596214B2 JP2596214B2 (ja) 1997-04-02

Family

ID=23768050

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2330808A Expired - Lifetime JP2596214B2 (ja) 1989-12-04 1990-11-30 高純度薄膜の形成方法

Country Status (4)

Country Link
US (1) US4987102A (ja)
EP (1) EP0431878B1 (ja)
JP (1) JP2596214B2 (ja)
DE (1) DE69010857T2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010041740A1 (ja) * 2008-10-10 2010-04-15 トヨタ自動車株式会社 半導体装置の製造方法
JP2017228806A (ja) * 2010-05-21 2017-12-28 株式会社半導体エネルギー研究所 半導体装置の作製方法

Families Citing this family (292)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270263A (en) * 1991-12-20 1993-12-14 Micron Technology, Inc. Process for depositing aluminum nitride (AlN) using nitrogen plasma sputtering
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
GB2291264B (en) * 1994-07-07 1998-07-29 Hyundai Electronics Ind Method for forming a metallic barrier layer in semiconductor device and device made by the method
JPH08170174A (ja) * 1994-12-14 1996-07-02 Nec Corp TiN膜の形成方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5700699A (en) * 1995-03-16 1997-12-23 Lg Electronics Inc. Method for fabricating a polycrystal silicon thin film transistor
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
JP3080867B2 (ja) 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
US5714037A (en) * 1996-05-17 1998-02-03 Microunity Systems Engineering, Inc. Method of improving adhesion between thin films
US6500314B1 (en) 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5841186A (en) * 1997-08-19 1998-11-24 United Microelectronics Corp. Composite dielectric films
US6054206A (en) * 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6368919B2 (en) * 1999-01-19 2002-04-09 Micron Technology, Inc. Method and composite for decreasing charge leakage
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US7214593B2 (en) * 2001-02-01 2007-05-08 International Business Machines Corporation Passivation for improved bipolar yield
US6495436B2 (en) 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6387719B1 (en) 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6511925B1 (en) * 2001-10-19 2003-01-28 Lsi Logic Corporation Process for forming high dielectric constant gate dielectric for integrated circuit structure
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
JP3753994B2 (ja) * 2002-03-11 2006-03-08 松下電器産業株式会社 半導体装置の製造方法
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
US20060043884A1 (en) * 2004-08-25 2006-03-02 Atomic Energy Council - Institute Of Nuclear Energy Research White light-emitting device and method for preparing the same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10424585B2 (en) * 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089079A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 채널형 리프트 핀
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3916034A (en) * 1971-05-21 1975-10-28 Hitachi Ltd Method of transporting substances in a plasma stream to and depositing it on a target
FR2383702A1 (fr) * 1977-03-18 1978-10-13 Anvar Perfectionnements aux procedes et dispositifs de dopage de materiaux semi-conducteurs
JPS57147279A (en) * 1981-03-09 1982-09-11 Stanley Electric Co Ltd Field effect transistor using amorphous silicon and manufacture of insulating film for the same transistor
US4851691A (en) * 1982-11-19 1989-07-25 Varian Associates, Inc. Method for photoresist pretreatment prior to charged particle beam processing
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
JPH0614522B2 (ja) * 1984-03-28 1994-02-23 株式会社日立製作所 表面処理方法及び表面処理装置
WO1987002026A1 (en) * 1984-05-28 1987-04-09 Shuhara Akira Process for producing silicon dioxide film
US4523971A (en) * 1984-06-28 1985-06-18 International Business Machines Corporation Programmable ion beam patterning system
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
DE3810197A1 (de) * 1987-03-27 1988-10-13 Mitsubishi Electric Corp Plasma-bearbeitungseinrichtung
KR910007382B1 (ko) * 1987-08-07 1991-09-25 가부시기가이샤 히다찌세이사꾸쇼 초전도 재료 및 초전도 박막의 제조방법
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH01108381A (ja) * 1987-10-20 1989-04-25 Fujitsu Ltd 薄膜の形成方法
US4800100A (en) * 1987-10-27 1989-01-24 Massachusetts Institute Of Technology Combined ion and molecular beam apparatus and method for depositing materials
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
DE3844630C2 (ja) * 1987-11-25 1990-11-22 Mitsubishi Denki K.K., Tokio/Tokyo, Jp
NL8703110A (nl) * 1987-12-23 1989-07-17 Philips Nv Werkwijze en inrichting voor het verifieren van een wiskundig bewijs.
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010041740A1 (ja) * 2008-10-10 2010-04-15 トヨタ自動車株式会社 半導体装置の製造方法
JP2010093170A (ja) * 2008-10-10 2010-04-22 Toyota Motor Corp 半導体装置の製造方法
JP2017228806A (ja) * 2010-05-21 2017-12-28 株式会社半導体エネルギー研究所 半導体装置の作製方法

Also Published As

Publication number Publication date
EP0431878A2 (en) 1991-06-12
DE69010857T2 (de) 1995-01-19
EP0431878A3 (en) 1992-03-04
US4987102A (en) 1991-01-22
JP2596214B2 (ja) 1997-04-02
EP0431878B1 (en) 1994-07-20
DE69010857D1 (de) 1994-08-25

Similar Documents

Publication Publication Date Title
JPH03185827A (ja) 高純度薄膜の形成方法
US4298629A (en) Method for forming a nitride insulating film on a silicon semiconductor substrate surface by direct nitridation
JP3937892B2 (ja) 薄膜形成方法および半導体装置の製造方法
JP4850871B2 (ja) 絶縁膜の形成方法
US4683838A (en) Plasma treatment system
EP0201380B1 (en) Low temperature plasma nitridation process and applications of nitride films formed thereby
US7217659B2 (en) Process for producing materials for electronic device
KR100924055B1 (ko) 반도체 디바이스의 제조 방법 및 기판 처리 장치
US5314839A (en) Solid state device fabrication method including a surface treatment step with a neutral particle beam with an energy between 10ev and 100ev
JP3746478B2 (ja) 半導体装置の製造方法
US6419985B1 (en) Method for producing insulator film
US20050227500A1 (en) Method for producing material of electronic device
KR20000062563A (ko) 원자 가스로 재료층을 형성하기 위한 방법 및 장치
US7535047B2 (en) Semiconductor device containing an ultra thin dielectric film or dielectric layer
Nakashima et al. Low-temperature deposition of high-quality silicon dioxide films by sputtering-type electron cyclotron resonance plasma
JP2002540628A (ja) 低バッファ酸化膜を有する高誘電率の誘電スタックの製作方法
KR100266021B1 (ko) 플라즈마 열처리장치 및 이를 이용한 캐패시터 형성방법
GB2179679A (en) Forming a dielectric film and semiconductor device including said film
JP2002280382A (ja) 半導体装置の製造方法及び製造装置
JP4167645B2 (ja) 半導体装置及びその製造方法
JP4416354B2 (ja) 半導体装置の製造方法とその製造装置
JPS5968921A (ja) 薄膜の形成方法
JPH07235530A (ja) 絶縁膜の形成方法
JPH03276723A (ja) 絶縁薄膜およびその形成方法
CA1292966C (en) Low temperature plasma nitridation process and applications of nitride films formed thereby