JP2596214B2 - 高純度薄膜の形成方法 - Google Patents

高純度薄膜の形成方法

Info

Publication number
JP2596214B2
JP2596214B2 JP2330808A JP33080890A JP2596214B2 JP 2596214 B2 JP2596214 B2 JP 2596214B2 JP 2330808 A JP2330808 A JP 2330808A JP 33080890 A JP33080890 A JP 33080890A JP 2596214 B2 JP2596214 B2 JP 2596214B2
Authority
JP
Japan
Prior art keywords
energized
silicon
gas
vacuum vessel
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2330808A
Other languages
English (en)
Other versions
JPH03185827A (ja
Inventor
ビッチ・エン・ヌグイェン
ジェン・ジャング・リー
ホアング・カック・ヌグイェン
ヤング・リム
フィリップ・ジェイ・トビン
Original Assignee
モトローラ・インコーポレーテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by モトローラ・インコーポレーテッド filed Critical モトローラ・インコーポレーテッド
Publication of JPH03185827A publication Critical patent/JPH03185827A/ja
Application granted granted Critical
Publication of JP2596214B2 publication Critical patent/JP2596214B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Formation Of Insulating Films (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、半導体装置の製造過程における薄膜の堆積
方法に関するものである。より詳細には、半導体基板上
に高純度な絶縁薄膜または緩衝薄膜を堆積するための方
法に関するものである。
〔従来の技術および解決すべき課題〕
半導体装置の製造プロセスにおいては絶縁膜および緩
衝膜の堆積がしばしば必要とされる。絶縁膜および緩衝
膜は2以上の伝導層を互いに絶縁し、またそれらを伝導
性の基板から絶縁する。集積回路においては伝導ゲート
が薄い絶縁物によって半導体基板から電気的に絶縁され
た絶縁ゲート型電界効果トランジスタがよく用いられて
いる。例えばCMOS型半導体装置においては前記伝導ゲー
トは多結晶シリコン(ポリシリコン)を用いることが可
能であり、当該ゲートは酸化シリコン絶縁体の上に形成
される。DRAMメモリ等においては絶縁物はキャパシタに
用いられ、また半導体基板上の第1ポリシリコン層と第
2ポリシリコン層とを分離する。半導体装置の集積度が
上がるのに対応するために半導体回路が縮小されるにつ
れて、絶縁膜の厚みも減少させなくてはいけない。こら
の膜の厚さが100オングストロームかそれ以下の段階に
まで減少すると、これらの膜の許容可能な欠陥許容レベ
ルまでも減少させることになる。絶縁膜が機能しなけれ
ばならないVLSIの環境においてこれらの膜は、高い絶縁
性を持つこと、高絶縁破壊特性をもつこと、放射線遮蔽
効果のあること、またナトリウムや隣接する伝導層の電
気伝導性の調整に用いられる不純物などの混入物に対し
ての拡散バリアとなること、が求められている。
VLSI MOS装置の製造の場合においては、誘電膜およ
び絶縁膜は一般には二酸化シリコンまたはシリコン窒化
物からなっており、誘電膜は酸化物−窒化物−酸化物
(ONO)からなる複合構造から構成されてもよい。シリ
コン窒化物はその適切な絶縁特性に加えて、ナトリウム
の拡散に対して優れたバリアである。前記シリコン窒化
物の拡散バリア特性によって、この物質は半導体装置の
絶縁構造として、単独または二酸化シリコンとの組み合
わせで、その応用範囲が広がっている。絶縁物質もしく
は緩衝物質としての応用においてシリコン窒化物はCVD
(Chemical Vapor Deposition)反応装置の雰囲気、気
圧中で摂氏700度から900度の温度範囲で、シランとアン
モニアの反応によって堆積可能である。例えばシリコン
窒化物は次の反応によって形成される。
3SiH4+4NH3→Si3N4+12H2 (1) 基板に対してのより均質な窒化物の堆積は、少ない気
圧(0.25から2.0torr)、摂氏700度から800度の温度範
囲で、ジクロロシランとアンモニアの反応によって得ら
れる。例えば低圧においてシリコン窒化物は次の反応に
よって得られる。
3SiCl2H2+4NH3→3Si3N4+6HCl+6H2 (2) CVD法または低圧CVD(LPCVD)法による高品質な膜の
形成には、構成要素がおおよそ化学量論的組成に従った
形で膜に含まれることが必要である。しかしながら、シ
リコン過剰な窒化物膜の形成を防ぐために通常は過剰な
量のアンモニアが用いられる。過剰なシリコンが窒化物
膜を導入されることはシリコン窒化物の電気的抵抗を減
少させ、よってその絶縁特性を損なわせるという悪影響
がある。過剰なアンモニアを反応器中に導入すること
は、シリコン窒化物膜中に過剰なシリコンが混入するの
を防ぐのには効果的であるが、しかしながら過剰なアン
モニアの使用は結果として最大8グラム原子パーセント
の水素を含有する可能性のあるシリコン窒化物膜の形成
につながる。水素はシリコン窒化物絶縁体中への、また
はこれを通しての不純物の拡散を増長するという理由
で、水素の混入は好ましいことではない。
同様な混入物の問題がLPCVD反応器において二酸化シ
リコン膜を堆積する際にもおこる。例えば二酸化シリコ
ン膜は摂氏400度から500度の温度範囲においてシランと
酸素の次のような反応によって形成される。
SiH4+O2→SiO2+2H2 (3) その他の方法としては、二酸化シリコンはLPCVD反応
器内においておおよそ摂氏900度でジクロロシランと亜
酸化窒素との次のような反応によって形成される。
SiCl2H2+2N2O→SiO2+2N2+2HCl (4) LPCVD堆積法による二酸化シリコン膜の形成では1か
ら4重量パーセントの範囲において水酸化物シリコン
(SiOH)を含む膜を生ずる。シリコン窒化物の場合と同
様に、二酸化シリコン中の水素の存在は不純物の透過性
を高めるという結果になる。
前述のように前記の方法はすべて水素化された膜を生
ずることが明らかである。水素の存在は窒化物膜のナト
リウムバリア特性を著しく損ない、二酸化シリコン膜に
おける不純物の含有につながる。不純物の悪影響によっ
て、半導体プロセス科学において既知の半導体装置に使
用される絶縁体および緩衝膜の欠陥をまねく。界面電荷
トラップから生ずる欠陥はMOSトランジスタのスレッシ
ョルド電圧を変化させ、DRAMのキャパシタの電荷蓄積能
力を減少させる。VLSI装置においては絶縁膜における不
純物に起因する界面状態の存在から生ずる重大な信頼性
の問題をかかえている。従って、半導体装置の製造にお
いて用いるための不純物を含まない絶縁体および緩衝膜
の製造方法に対する要求が存在する。
本発明の目的は半導体装置に用いるための改良された
薄膜を提供することにある。
本発明の他の目的は半導体基板上に高純度薄膜を形成
する改良された手段を提供することにある。
〔課題を解決するための手段〕
したがって、本発明のこれらのおよび他の目的、およ
び効果は、接地電極を含む真空容器を用い、接地電極上
に半導体基板を保持するプロセスにおいて実現される。
真空容器内において電力電極に結合される高周波(RF)
エネルギーによって水素を含まないガスにエネルギーを
与える。エネルギーを与えたシリコン含有ガスを質量分
析して、エネルギーを与えたシリコンイオンを得、それ
を真空容器に導く。エネルギーを与えた水素を含まない
ガス中の励起された原子と、シリコンイオンとの間で反
応が起こり、半導体基板上に薄膜を形成する。
〔実施例〕
第1図は、本発明の実施に適した改良型プラズマエン
ハンストCVD(PECVD)装置の断面図とダイアグラムの複
合図である。本装置は、下側接地電極12と上側電力電極
(電力が供給された電極)14を含む真空容器10から成
る。処理すべきウエハはアクセスドア16を通して真空容
器10内に導入され、接地電極12上に置かれる。処理され
たウエハは、再びアクセスドア16を通して真空容器10か
ら取り出される。
容器10内において、電極12および14は大体平坦な表面
を有しており、互いに平行である。接地電極12および電
力電極14は、容器10の壁から電気的に絶縁されている。
電力電極14は、プロセスガスを電極の下面に設けられた
複数の開口部を通して2つの電極の間の空間に放出する
ようになっている。第1ガス供給源20および流量制御装
置22が、ガスの種類を選択して容器10へのガスの流量を
制限するために、電極14に結合されている。真空装置24
は圧力制御弁26を介して容器10に結合されており、容器
内の圧力を調整し、過剰な気体反応生成物を容器から取
り除く。
容器10に導入されたガスは、整合回路および阻止コン
デンサユニット30を介して電極14に結合された13.56MHz
電力源28によってエネルギーを与えられる。これまでに
述べた部品は、当業者にとってはプラズマ堆積装置を構
成するものとして認識されるであろう。2つの電極の間
に導入されたガスは、電力源28によって供給される高周
波電力によって高エネルギー状態に励起される。
本発明の好適実施例に従って、イオン波導波器32が電
極14の中央部を貫通して、2つの電極間の空間にエネル
ギーイオンを放出する。イオンは、第2ガス供給源36か
ら原ガスを受け取るフリーマン(Freeman)型のイオン
供給源34によって供給される。引出し電極組立体がイオ
ン源34によって生成されるイオンをイオン源34から引出
し、質量分析器40へと推進させる。質量分析器40は、分
析器の磁場強度を変化させることによって所定の質量の
イオンを通過させることが可能である。質量分析器40に
よって選別された、つまり質量分析されたイオンは、質
量分析器を出た後、導波器32に結合した加速コラム42に
よって加速される。こうして質量分析されたイオンは容
器10内の2つの電極間の空間に進められる。質量分析器
40および加速コラム42中の気圧は真空装置43によって制
御される。イオンビームゲート44が加速コラム42内に位
置し、容器10に対するイオン流を遮蔽する。当業者にと
っては、前記イオン供給部品はイオンインプランテーシ
ョン装置の機能部品の一部を構成するものと理解されよ
う。部材32,34,36,38,40,42,および44はイオン搬送装置
45を構成する。加速コラム42および真空容器10の内部圧
力は、それぞれ真空装置43,24によって独立に制御され
る。ビームゲート44はその開放位置において、ビームゲ
ート44に設けられた一連の開孔によって加速コラム42と
真空装置10の間の圧力の違いを制限することを助けてい
る。開孔は500から800ミクロンの間の大きさであり、堆
積条件に応じて選択される。
動作について説明すると、薄膜を受けるための準備を
した半導体基板46を接地電極12上に置く。ドア16を閉
じ、真空装置24で容器10から気体を取り除く。内部圧力
が最適な操作のために選ばれたレベルに設定されると、
ガス供給源20および流量制御装置22を動作させてプロセ
スガスを容器10に導入する。電力源28を最適動作のため
に選択したレベルで動作させ、電極14に高周波電力を与
えて、両電極間の空間にプラズマを点火する。イオンビ
ームゲート44を開放し、エネルギーイオンを加速コラム
42によって加速して、両電極間に閉じ込められたプラズ
マの中へと導く。化学反応がプラズマ中、さらに詳細に
は基板46のごく近傍で起こり、結果として基板46上に薄
膜が堆積する。所定時間経過後にビームゲート44を閉鎖
し、電力源20を遮断して、容器10内部に内部圧力が大気
圧と同じになるまでガス供給源20から不活性ガスを再充
填する。その後半導体基板46をドア16を通して接地電極
12から取り出される。
本発明の好適実施例において、水素を含まないシリコ
ン窒化物膜が、約10millitorrの容器圧力下で窒素とエ
ネルギーシリコンイオンとの反応によって半導体基板46
上に形成される。元素窒素ガスをガス供給源20から容器
10に流量50SCCMで供給し、電力供給源28を動作させ300
ワットの高周波電力を電極14に与え窒素にエネルギーを
与えることによって、容器10内の両電極間の空間におい
て窒素プラズマが形成される。シラン,シリコン4窒化
物,シリコン4塩化物または類似物などの原ガスをガス
供給源36からイオン源4に供給することによって、エネ
ルギーを与えられたシリコンイオンがイオン供給装置45
によって生成される。他の方法としては、当分野で周知
なように、固体シリコンなどの固体の原物質を高真空下
で加熱してイオン化可能ガスをイオン源34に供給するこ
とも可能である。原子重量単位(AMU)が28である1価
のシリコンイオンを、引出し電極組立体38から現われた
イオンビームの中から、質量分析器40によって選別す
る。質量分析器40はエネルギーイオンを分析可能ないか
なる型の質量分析器でも可能であり、例えば90度磁場分
析器などがあげられる。その他には交差極XY分析器など
も使用可能である。本発明の1実施例を説明するため
に、質量分析器40は90度磁場分析器で、その磁場強度H
(単位キロガウス)は次のような関係によって決定され
る。
H=k(m)1/2 (5) ここでkは、与えられたイオンのエネルギー、磁石の
極率半径およびイオンの電荷に依存する定数である。式
(5)中のmは、与えられた磁場強度Hにおいて分析器
を脱出するイオンの質量(単位AMU)を表す。動作上、9
0度磁石の周囲に巻かれた伝導ワイヤ中を電流が流れ、
質量28AMUを持つシリコンの第1イオンの場合に式
(5)を満足するのに適した磁場強度が生みだされる。
質量分析器40から現われたシリコンイオンは、加速コ
ラム42によって5から10KeVのエネルギーにまで加速さ
れ、導波器32を通って容器10内へと推進される。イオン
供給装置45は50から100ミリアンペアのイオン流を生み
だし、1平方センチメートル当たり約1016から1017個の
面積密度のイオンを窒素プラズマに対して与える。この
プラズマ中、基板46のすぐ近くで起こる反応は次のよう
に表現される。
3Si+4N→Si3N4 (6) ここで*はエネルギーを与えられた原子またはイオン
を表している。式(6)の反応では基板上に毎分5から
35オングストロームの割合で線形膜が堆積し、摂氏250
度から400度の範囲の窒素プラズマ温度で反応が進行す
る。
前記のおよび式(6)によって示されるシリコン窒化
物の形成方法は、容器10内で起こる反応のために準備す
る反応材料が水素を含まないという点で、従来のシリコ
ン窒化物の形成方法を完全に改善したものである。本発
明の追加的な効果は、従来方法の温度範囲である摂氏70
0度から900度よりも実質的に低い温度で窒化物膜が形成
されることである。当業者にはその他の種類の膜および
他の組成による膜も本発明の方法によって形成可能であ
ることは明らかであろう。同様にして、容器圧力10mill
itorrにおいて酸素とエネルギーシリコンイオンとの反
応によって、基板46上に水素を含まない二酸化シリコン
膜が形成される。元素酸素ガスがガス供給源20から容器
10に流率50SCCMで供給され、質量分析されたシリコンイ
オンがイオン供給装置45によって導波器32を通って50か
ら100ミリアンペアの割合で供給される。両電極間の空
間および基板46のすぐそばで起こる反応は次のように表
現される。
Si+2O→SiO2 (2) ここで*はエネルギーが与えられた原子またはイオン
を表す。
式(6)および(7)で表される薄膜形成方法は続け
て実行可能であり、酸化物−窒化物−酸化物(ONO)の
複合膜を基板46に堆積させることもできる。例えば、式
(7)の方法を実行し、第2図に示すように基板46上に
約1〜10mmの厚さを持つ2酸化シリコンの層を形成す
る。その反応をイオンゲート44を閉鎖しかつ電力源28を
遮断して中断する。次に容器10を排気して容器10から酸
素を取り除く。窒素の導入に続いて、シリコンイオン流
と高周波電力を再開する。次に、反応式(6)の方法を
実行し、二酸化シリコン層48上にシリコン窒化物層50を
形成する。シリコン窒化物層50の堆積に続いて、容器10
再び排気し、酸素を再導入して、反応(7)の方法を実
行し、第2図に示すようにシリコン窒化物層50上に第2
の二酸化シリコン層52を形成する。変形的には、酸化物
層48は在来型のCVD装置により堆積しても、あるいは基
板46からの熱成長によって形成してもよい。
上記以外の水素を含まないガスもシリコン窒化物膜お
よび二酸化シリコン膜を形成するのに使用可能であり、
それらは本発明でも意図しているところである。例えば
亜酸化窒素(N2O)はシリコンと反応し、次の反応式に
従って、水素を含まない二酸化シリコン膜を形成する。
Si+2N2O→SiO2+2N2 (8) 亜酸化窒素の代わりに一酸化窒素を用いても同様な反
応が起こる。また、SiおよびNが同時にN2Oと反応
することによって、硝酸化絶縁膜が形成される。
三フッ化ホウ素(BF3)などのドーパントを含んだガ
スをガス供給源から含有させることによって、ドープさ
れた二酸化シリコン膜の堆積も実現可能であることは、
当業者にとっては明らかであろう。さらに、他の種類の
緩衝膜も本発明の方法によって形成可能である。例え
ば、窒化アルミニウム窒化物(AlN)は、電極12と14と
の間の空間に窒素のプラズマを生成し、質量分析したア
ルミニウムイオンをイオン供給装置45から導入すること
によって形成可能である。さらに、二酸化チタン(Ti
O2)および五酸化タンタル(Ta2O5)も質量分析したチ
タンまたはタンタルのイオンを酸素のプラズマ中に導入
することによって形成可能である。チタンイオンは、四
塩化チタン(TiCl4)をガス供給源36からイオン源34に
導入することによって、イオン供給装置45で形成するこ
とが可能である。タンタルイオンは、同様にガス供給源
36から塩化タンタル(TaCl5)を供給することによって
形成可能である。
エネルギーを与えたガスを質量分析してCVD反応に参
加させる元素種を得るための本発明の方法は、真空容器
10に複数のイオン供給装置を結合して使用する技術を含
む。例えば、ホウ化リンガラス(BPSG)膜は、酸素プラ
ズマを含むBF3にエネルギーを与え、第1イオン供給装
置からエネルギーシリコンを、第2イオン供給装置から
エネルギーリンイオンを導入することによって、形成可
能である。従って本発明に従って前記目的および利点に
完全に適合した高純度膜を形成する方法が開示されたこ
とは明らかであろう。本発明はここで特定の実施例を参
照して説明され、図示されてはいるが、これは本発明を
前記実施例に限定するものではない。当業者であれば、
これらの変更や変形は本発明の本質から外れることなく
実行可能なことは明らかであろう。例えば、ガスマニフ
ォールド装置は真空容器内にガスを分配するためにも使
用可能である。さらに基板の温度は摂氏25度からプラズ
マ温度(摂氏250〜400度)の範囲で、接地電極に設けら
れた冷却器によって制御可能である。その他には、四塩
化シリコンまたは四フッ化シリコンのようなガスを容器
内に導入して、窒素イオンをイオン供給装置で加速しプ
ラズマ中に導入することで基板上に窒化物膜を形成する
ことも可能である。さらに窒化チタン(TiN)のような
導電性の膜は質量分析したチタンを窒素のプラズマ中に
導入することで形成可能である。したがってすべてのそ
のような変形および変更は本出願に係る特許請求の範囲
に含まれるものである。
【図面の簡単な説明】
第1図は、好適実施例に従った本発明のプロセスを実現
するための装置の組み合わせ図および断面図である。 第2図は、本発明に従い複数のプロセスにより形成した
半導体基板の一部の断面図である。 〔主要符号の説明〕 10……真空容器、12……接地電極、14……電力電極、28
……電力源、34……イオン供給源、36……ガス供給源、
40……質量分析器
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ヤング・リム アメリカ合衆国テキサス州オースチン、 パレード・リッジ5626 (72)発明者 フィリップ・ジェイ・トビン アメリカ合衆国テキサス州オースチン、 ウィンダーメア・メドウ 11410 (56)参考文献 特開 平3−47970(JP,A) 特開 昭61−222534(JP,A)

Claims (3)

    (57)【特許請求の範囲】
  1. 【請求項1】半導体基板上に薄膜を形成する方法であっ
    て: 真空容器内に設けられ接地された電極上に基板を保持す
    る段階; 酸素または窒素のいずれかを含む第1ガスを前記真空容
    器に導入する段階; 前記真空容器内に設けられた電力電極に結合された高周
    波エネルギーによって前記第1ガスにエネルギーを与え
    る段階; シラン,四塩化シリコン,四フッ化シリコン,およびシ
    リコンから成る群の中から選ばれた第2ガスを質量分析
    して、エネルギーを与えたイオンを得る段階;および 前記のエネルギーを与えたイオンを前記真空容器に導入
    して、前記のエネルギーを与えた第1ガスと反応させ、
    前記基板上に前記薄膜を形成する段階; から成ることを特徴とする方法。
  2. 【請求項2】半導体基板上に水素を含まない絶縁膜を形
    成する方法において、前記絶縁膜はプラズマ内でエネル
    ギーを与えた反応性物質種から得られた第1元素と反応
    性イオン種から得られた第2元素とから成り、前記方法
    は: 真空容器内に設けられ接地された電極上に基板を保持す
    る段階; 前記真空容器中において電力電極に結合される高周波エ
    ネルギーを用いて、水素を含まない反応性気体種にエネ
    ルギーを与え、プラズマを形成する段階; シラン,四塩化シリコン,および四フッ化シリコンから
    成る群の中から選ばれた、エネルギーを与えたガスを質
    量分析し、エネルギーを与えたシリコンイオンを得る段
    階;および 前記のエネルギーを与えたイオンを前記真空容器に導入
    し、前記プラズマ中でエネルギーを与えた反応性物質種
    と反応させ、前記基板上に前記の水素を含まない絶縁膜
    を形成する段階; から成ることを特徴とする方法。
  3. 【請求項3】反応性気体種と反応性イオン種とを用い
    て、第1の二酸化シリコン層、シリコン窒化物層、およ
    び第2の二酸化シリコン層を有する水素を含まない酸化
    物・窒化物・酸化物型の絶縁膜を、半導体基板上に形成
    する方法であって: 真空容器内に設けられた下方電極上に基板を保持する段
    階; 前記真空容器内に設けられた電力電極に結合される高周
    波エネルギーを用いて酸素ガスにエネルギーを与えて、
    酸素プラズマを形成する段階; エネルギーを与えたシリコン含有ガスを質量分析して、
    エネルギーを与えたシリコンイオンを得る段階; 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、エネルギーを与えた酸素原子と前記酸
    素プラズマ中で反応させ、前記基板上に前記第1の二酸
    化シリコン層を形成する段階; 前記酸素ガスを除去し、前記真空容器中に窒素ガスを導
    入する段階; 引き続き前記真空容器中において電力電極に結合される
    高周波エネルギーを用いて前記窒素ガスにエネルギーを
    与え、窒素プラズマを形成する段階; 前記のエネルギーを与えたシリコン含有ガスを質量分析
    して、エネルギーを与えたシリコンイオンを得る段階; 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、エネルギーを与えた窒素原子と前記窒
    素プラズマ中で反応させ、前記第1の二酸化シリコン層
    上に前記シリコン窒化物層を形成する段階; 前記窒素ガスを除去し、前記真空容器中に前記酸素ガス
    を再び導入する段階; 引き続き前記真空容器中で前記電力電極に結合される高
    周波エネルギーを用いて前記の再び導入された酸素ガス
    にエネルギーを与え、前記酸素プラズマを形成する段
    階; 前記のエネルギーを与えたシリコン含有ガスを質量分析
    して、前記のエネルギーを与えたシリコンイオンを得る
    段階;および 前記のエネルギーを与えたシリコンイオンを前記真空容
    器中に導入して、前記のエネルギーを与えた酸素原子と
    前記酸素プラズマ中で反応させ、前記シリコン窒化物層
    上に前記第2の二酸化シリコン層を形成する段階; から成ることを特徴とする方法。
JP2330808A 1989-12-04 1990-11-30 高純度薄膜の形成方法 Expired - Lifetime JP2596214B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/445,220 US4987102A (en) 1989-12-04 1989-12-04 Process for forming high purity thin films
US445,220 1989-12-04

Publications (2)

Publication Number Publication Date
JPH03185827A JPH03185827A (ja) 1991-08-13
JP2596214B2 true JP2596214B2 (ja) 1997-04-02

Family

ID=23768050

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2330808A Expired - Lifetime JP2596214B2 (ja) 1989-12-04 1990-11-30 高純度薄膜の形成方法

Country Status (4)

Country Link
US (1) US4987102A (ja)
EP (1) EP0431878B1 (ja)
JP (1) JP2596214B2 (ja)
DE (1) DE69010857T2 (ja)

Families Citing this family (279)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5270263A (en) * 1991-12-20 1993-12-14 Micron Technology, Inc. Process for depositing aluminum nitride (AlN) using nitrogen plasma sputtering
WO1995034092A1 (en) * 1994-06-03 1995-12-14 Materials Research Corporation A method of nitridization of titanium thin films
CN1062978C (zh) * 1994-07-07 2001-03-07 现代电子产业株式会社 半导体器件中制作金属阻挡层的方法
JPH08170174A (ja) * 1994-12-14 1996-07-02 Nec Corp TiN膜の形成方法
US5571576A (en) * 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US5700699A (en) * 1995-03-16 1997-12-23 Lg Electronics Inc. Method for fabricating a polycrystal silicon thin film transistor
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
JP3080867B2 (ja) 1995-09-25 2000-08-28 日本電気株式会社 Soi基板の製造方法
US5714037A (en) * 1996-05-17 1998-02-03 Microunity Systems Engineering, Inc. Method of improving adhesion between thin films
US6500314B1 (en) * 1996-07-03 2002-12-31 Tegal Corporation Plasma etch reactor and method
US5841186A (en) * 1997-08-19 1998-11-24 United Microelectronics Corp. Composite dielectric films
US6054206A (en) 1998-06-22 2000-04-25 Novellus Systems, Inc. Chemical vapor deposition of low density silicon dioxide films
US6368919B2 (en) * 1999-01-19 2002-04-09 Micron Technology, Inc. Method and composite for decreasing charge leakage
US6337289B1 (en) * 1999-09-24 2002-01-08 Applied Materials. Inc Method and apparatus for integrating a metal nitride film in a semiconductor device
US7214593B2 (en) * 2001-02-01 2007-05-08 International Business Machines Corporation Passivation for improved bipolar yield
US6495436B2 (en) * 2001-02-09 2002-12-17 Micron Technology, Inc. Formation of metal oxide gate dielectric
US6387719B1 (en) 2001-02-28 2002-05-14 Lexmark International, Inc. Method for improving adhesion
US6511925B1 (en) * 2001-10-19 2003-01-28 Lsi Logic Corporation Process for forming high dielectric constant gate dielectric for integrated circuit structure
US6620713B2 (en) 2002-01-02 2003-09-16 Intel Corporation Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
JP3753994B2 (ja) 2002-03-11 2006-03-08 松下電器産業株式会社 半導体装置の製造方法
CN1313640C (zh) * 2003-09-18 2007-05-02 中芯国际集成电路制造(上海)有限公司 等离子体增强式化学气相沉积处理方法
US20060043884A1 (en) * 2004-08-25 2006-03-02 Atomic Energy Council - Institute Of Nuclear Energy Research White light-emitting device and method for preparing the same
JP2010093170A (ja) * 2008-10-10 2010-04-22 Toyota Motor Corp 半導体装置の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2011145484A1 (en) * 2010-05-21 2011-11-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10424585B2 (en) * 2016-01-21 2019-09-24 International Business Machines Corporation Decoupling capacitor on strain relaxation buffer layer
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3916034A (en) * 1971-05-21 1975-10-28 Hitachi Ltd Method of transporting substances in a plasma stream to and depositing it on a target
FR2383702A1 (fr) * 1977-03-18 1978-10-13 Anvar Perfectionnements aux procedes et dispositifs de dopage de materiaux semi-conducteurs
JPS57147279A (en) * 1981-03-09 1982-09-11 Stanley Electric Co Ltd Field effect transistor using amorphous silicon and manufacture of insulating film for the same transistor
US4851691A (en) * 1982-11-19 1989-07-25 Varian Associates, Inc. Method for photoresist pretreatment prior to charged particle beam processing
JPS59207631A (ja) * 1983-05-11 1984-11-24 Semiconductor Res Found 光化学を用いたドライプロセス装置
US4599135A (en) * 1983-09-30 1986-07-08 Hitachi, Ltd. Thin film deposition
JPH0614522B2 (ja) * 1984-03-28 1994-02-23 株式会社日立製作所 表面処理方法及び表面処理装置
WO1987002026A1 (en) * 1984-05-28 1987-04-09 Shuhara Akira Process for producing silicon dioxide film
US4523971A (en) * 1984-06-28 1985-06-18 International Business Machines Corporation Programmable ion beam patterning system
JPS61222534A (ja) * 1985-03-28 1986-10-03 Anelva Corp 表面処理方法および装置
KR900007687B1 (ko) * 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4947085A (en) * 1987-03-27 1990-08-07 Mitsubishi Denki Kabushiki Kaisha Plasma processor
KR910007382B1 (ko) * 1987-08-07 1991-09-25 가부시기가이샤 히다찌세이사꾸쇼 초전도 재료 및 초전도 박막의 제조방법
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH01108381A (ja) * 1987-10-20 1989-04-25 Fujitsu Ltd 薄膜の形成方法
US4800100A (en) * 1987-10-27 1989-01-24 Massachusetts Institute Of Technology Combined ion and molecular beam apparatus and method for depositing materials
US4916091A (en) * 1987-11-05 1990-04-10 Texas Instruments Incorporated Plasma and plasma UV deposition of SiO2
DE3839903A1 (de) * 1987-11-25 1989-06-08 Mitsubishi Electric Corp Verfahren und vorrichtung zum aufdampfen von duennschichten
NL8703110A (nl) * 1987-12-23 1989-07-17 Philips Nv Werkwijze en inrichting voor het verifieren van een wiskundig bewijs.
US4952273A (en) * 1988-09-21 1990-08-28 Microscience, Inc. Plasma generation in electron cyclotron resonance

Also Published As

Publication number Publication date
EP0431878A2 (en) 1991-06-12
EP0431878A3 (en) 1992-03-04
US4987102A (en) 1991-01-22
DE69010857T2 (de) 1995-01-19
JPH03185827A (ja) 1991-08-13
DE69010857D1 (de) 1994-08-25
EP0431878B1 (en) 1994-07-20

Similar Documents

Publication Publication Date Title
JP2596214B2 (ja) 高純度薄膜の形成方法
EP0201380B1 (en) Low temperature plasma nitridation process and applications of nitride films formed thereby
KR101058882B1 (ko) 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
US4298629A (en) Method for forming a nitride insulating film on a silicon semiconductor substrate surface by direct nitridation
US7405453B2 (en) Incorporation of nitrogen into high k dielectric film
US6620713B2 (en) Interfacial layer for gate electrode and high-k dielectric layer and methods of fabrication
US7759598B2 (en) Substrate treating method and production method for semiconductor device
JP4850871B2 (ja) 絶縁膜の形成方法
US6524918B2 (en) Method for manufacturing a gate structure incorporating therein aluminum oxide as a gate dielectric
US5382550A (en) Method of depositing SiO2 on a semiconductor substrate
US6825081B2 (en) Cell nitride nucleation on insulative layers and reduced corner leakage of container capacitors
EP0481706A1 (en) Method of producing CVD silicon oxynitride film
US7535047B2 (en) Semiconductor device containing an ultra thin dielectric film or dielectric layer
US6734119B2 (en) Electro-optical apparatus and method for fabricating a film, semiconductor device and memory device at near atmospheric pressure
KR100266021B1 (ko) 플라즈마 열처리장치 및 이를 이용한 캐패시터 형성방법
JPH0823095A (ja) 半導体装置及びその製造方法
US20020043695A1 (en) Method for forming an ultra thin dielectric film and a semiconductor device incorporating the same
JP4167645B2 (ja) 半導体装置及びその製造方法
CA1292966C (en) Low temperature plasma nitridation process and applications of nitride films formed thereby
KR20000005609A (ko) 반도체소자제조방법및반도체소자용절연막형성방법
JPH03276723A (ja) 絶縁薄膜およびその形成方法
JP3422960B2 (ja) 半導体装置の製造方法
CA2029518A1 (en) Method for deposition and etching of thin films for microelectronic applications
JPS625641A (ja) 低温プラズマ窒化方法及びその際に形成される窒化膜の適用