JP4575647B2 - 炉内でウェハをバッチ処理するための方法および装置 - Google Patents

炉内でウェハをバッチ処理するための方法および装置 Download PDF

Info

Publication number
JP4575647B2
JP4575647B2 JP2003072732A JP2003072732A JP4575647B2 JP 4575647 B2 JP4575647 B2 JP 4575647B2 JP 2003072732 A JP2003072732 A JP 2003072732A JP 2003072732 A JP2003072732 A JP 2003072732A JP 4575647 B2 JP4575647 B2 JP 4575647B2
Authority
JP
Japan
Prior art keywords
wafer
support mechanism
substrate support
substrate
cassette
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003072732A
Other languages
English (en)
Other versions
JP2003332250A (ja
JP2003332250A5 (ja
Inventor
レムコ ファン デン ベルグ ヤンス
デン ハートッグ エドウィン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM International NV
Original Assignee
ASM International NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM International NV filed Critical ASM International NV
Publication of JP2003332250A publication Critical patent/JP2003332250A/ja
Publication of JP2003332250A5 publication Critical patent/JP2003332250A5/ja
Application granted granted Critical
Publication of JP4575647B2 publication Critical patent/JP4575647B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/67346Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders characterized by being specially adapted for supporting a single substrate or by comprising a stack of such individual supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6838Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping with gripping and holding devices using a vacuum; Bernoulli devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/136Associated with semiconductor wafer handling including wafer orienting means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、一般に半導体製造に関し、より詳細には、炉内でのバッチ処理のためにウェハを移送して支持するための方法および装置に関する。
【0002】
【従来の技術】
熱に関連する複雑さが、炉内でウェハのバッチを非常に高い温度で扱う、または処理する、例えば最大約1350℃の温度で処理するために一般的なウェハボートを使用することを妨げる可能性がある。例えば、一般的なウェハボートでは、縁部でのみウェハを支持することができる。そのような支持スキームでは、非常に高い温度ではウェハの機械的強度が低減することがあるため、ウェハ自体の重量によって、非常に高い温度でウェハを塑性変形する可能性がある。
【0003】
他の利用可能なウェハボートウェハ支持機構が特許文献1および特許文献2に記載されている。特許文献1は、複数の内側位置でウェハを支持するために複数の内側延在アームを有するウェハ支持機構を有するウェハボートに関するものである。特許文献2は、リング支持機構の円周全体を使用して、中心位置でウェハを支持するウェハボートに関するものである。しかし、これらのウェハボードでの支持機構は、依然として、ウェハの塑性変形および結果として生じる結晶学的すべりを防止するのに十分な支持性を有しない。すべりを防止するために、ウェハを、底面領域の実質的な部分にわたって支持する必要がある。
【0004】
この基準に見合うウェハ支持機構が、単一ウェハシステムに関して知られている。そのようなシステムでは、底面領域全体にわたってウェハを支持するサセプタと、周縁でウェハを支持するために完全な円を形成する支持リングとが従来技術において知られている。しかし、そのようなサセプタ上にウェハを配置するために、またはサセプタからウェハを分離するために特別の措置が必要である。
【0005】
特に、そのような単一ウェハシステムでは、従来技術によるロボットエンドエフェクタを使用するとき、ウェハへのアクセスは通常、底部からなされ、サセプタはプロセスチャンバ内部で定位に留まり、ウェハは直列に装填され、装填解除される。一般的には、ロボットエンドエフェクタは、サセプタの上のレベルで可動ピン上にウェハを配置し、ウェハはサセプタの十分上方に間隔を空けて配置されて、ウェハまたはサセプタにロボットエンドエフェクタが接触することなくロボットエンドエフェクタを引き抜くための十分なクリアランスを可能にする。引抜き後、ピンは下方向に移動して、ウェハをサセプタまで下げる。ウェハを装填解除するためには、これらのステップの逆が行われる。そのようなウェハ装填および支持システムは、単一ウェハ処理に適している一方で、バッチ処理システムに簡単には適用可能でない。これは、可能であったとしても、とりわけ、あらゆる処理位置が可動ピンと、これらのピンを移動するための関連ハードウェアおよび制御システムとを備える必要があるので、そのようなシステムは受け入れられないほど複雑で、扱いにくくなるためである。
【0006】
【特許文献1】
米国特許第5865321号明細書
【特許文献2】
米国特許第5820367号明細書
【0007】
【特許文献3】
米国特許第4407654号明細書
【特許文献4】
米国特許第4770590号明細書
【特許文献5】
米国特許第5162047号明細書
【特許文献6】
米国特許第5192371号明細書
【特許文献7】
米国特許第5219079号明細書
【特許文献8】
米国特許第5310339号明細書
【特許文献9】
米国特許第5316472号明細書
【特許文献10】
米国特許第5334257号明細書
【特許文献11】
米国特許第5407449号明細書
【特許文献12】
米国特許第5482558号明細書
【特許文献13】
米国特許第5482559号明細書
【特許文献14】
米国特許第5492229号明細書
【特許文献15】
米国特許第5556147号明細書
【特許文献16】
米国特許第5556275号明細書
【特許文献17】
米国特許第5858103号明細書
【特許文献18】
米国特許第5897311号明細書
【特許文献19】
米国特許第5931666号明細書
【特許文献20】
米国特許第6099302号明細書
【特許文献21】
米国特許第6203617号明細書
【特許文献22】
米国特許第6287112号明細書
【特許文献23】
米国特許第6321680号明細書
【特許文献24】
米国特許第6341935号明細書
【特許文献25】
米国特許第6361313号明細書
【特許文献26】
米国特許第6390753号明細書
【特許文献27】
米国特許第6464445号明細書
【特許文献28】
欧州特許出願公開第86308980.1号明細書
【特許文献29】
国際公開第00/68977号パンフレット
【特許文献30】
特開昭63−10225号公報
【0008】
【発明が解決しようとする課題】
したがって、本発明の目的は、とりわけ、底面領域の実質的な部分にわたるウェハに関する支持機構を提供し、プロセスチャンバで処理するためのウェハの効率の良い装填および装填解除を可能にするウェハ支持システムをウェハボートに提供することである。
【0009】
【課題を解決するための手段】
本発明の1つの好ましい実施形態によれば、半導体処理のための方法が提供される。この方法は、ウェハ支持機構上にウェハを配置するステップと、その後、ウェハ支持機構上に配置された状態で、ウェハをウェハボート内に輸送するステップと、ウェハボート内で、ウェハがウェハ支持機構上に配置された状態でウェハに半導体製造プロセスを施すステップとを含む。
【0010】
他の好ましい実施形態によれば、処理のためにウェハおよびウェハ支持機構を取り扱うための方法が提供される。この方法は、移送ステーション内でウェハ支持機構上にウェハを装填するステップと、ウェハを、移送ステーションからプロセスチャンバ内に輸送するステップと、プロセスチャンバ内でウェハに半導体製造プロセスを施すステップとを含む。ウェハをプロセスチャンバ内に輸送し、ウェハに半導体製造プロセスを施す間、ウェハはウェハ支持機構上に配置されている。
【0011】
さらに別の好ましい実施形態によれば、基板を処理するためのシステムが提供される。システムは、複数の基板を支持するための複数の基板支持機構を含む。
基板支持機構は、基板の周縁全体を支持し、基板支持ホルダ内に保持され、基板支持ホルダから取り外すこともできる。プロセスチャンバは、基板処理中に基板支持ホルダを収容する。システムはまた、基板支持機構上に基板を装填するように構成された、基板支持ホルダとは異なる基板装填ステーションを備える。
【0012】
別の好ましい実施形態によれば、半導体ウェハカセットが提供される。カセットは、ウェハ支持機構上にウェハを装填するように構成されたウェハ装填ステーションを収容する。
【0013】
本発明は、本発明を例示するためのものであり、制限するためのものではない好ましい実施形態の詳細な説明、および添付図面からより良く理解されよう。
【0014】
【発明の実施の形態】
本発明の好ましい実施形態について以下に図面を参照して説明する。
【0015】
本発明の好ましい実施形態によれば、半導体製造プロセス中にウェハを支持するためのウェハ支持機構が提供される。ウェハ支持機構は、ウェハ支持ホルダの格納収容部に取外し可能に格納することができる。ウェハ支持ホルダは、半導体処理に使用される標準的なウェハボートと同様であり、炉内での処理中にウェハおよびウェハ支持機構を保持する。ウェハ支持機構はまた、ウェハとはわずかに異なる寸法のウェハ支持機構を収容するように適合されている前開き一体形ポッド(FOUP)などウェハ処理カセットの格納収容部内に取外し可能に格納することができる。ウェハ支持機構は、ウェハの周縁全体にわたってウェハを支持することが好ましく、この場合、望ましいことに、ウェハ支持機構は、ウェハの周縁全体の周りに延在しない支持機構に比べてフープ強度が高くなる。ウェハ支持機構がウェハの実質的な部分を支持することがより好ましい。一実施形態では、支持機構が、ウェハの底面全体を支持することができる材料のプレートを備えることができる。他の実施形態では、ウェハ支持機構はリング形状にすることができる。ウェハの「実質的な部分」を支持する際、ウェハ支持機構は、ウェハの底面の約10%よりも多く、好ましくは約50%よりも多く、より好ましくは約90%よりも多くをカバーするようにウェハの下に延在する。
【0016】
本発明の好ましい実施形態によるウェハ支持機構に加えて、ウェハ支持機構上にウェハを配置するため、およびウェハ支持機構からウェハを取り外すために、移送または装填ステーションも提供される。移送ステーションは、第1のレベルにあるウェハ支持機構用の収容部と、第1のレベルよりも上の第2のレベルにあるウェハ用の収容部とを備える。装填中、はじめはそれぞれ第2および第1のレベルにあるウェハおよびウェハ支持機構が接触される。接触時、ウェハはウェハ支持機構上に配置される。装填解除中、はじめは同じレベルにあるウェハとウェハ支持機構が分離され、それぞれ第2および第1のレベルに位置するようになる。
【0017】
本発明の好ましい実施形態によるウェハのバッチを装填して処理するための例示処置の流れ図が、図1に概略的に与えられている。参照番号10によって示されるように、ウェハ支持機構は、ウェハ支持機構格納位置から移送ステーションに輸送される。この移送は、ウェハ輸送のために設計されたロボットエンドエフェクタを使用して行うことができる。ロボットエンドエフェクタは、底部からウェハ支持機構に接触し、ウェハ支持機構を移送ステーションに輸送する。移送ステーションで、ロボットは、ウェハ支持機構を第1のレベルに配置する。
【0018】
参照番号12によって示されるように、ウェハも移送ステーションに輸送される。ウェハは、第1のレベルよりも上にある移送ステーション内の第2のレベルを占める。
【0019】
次いで、参照番号14によって示されるように、依然として移送ステーション内にある状態で、ウェハがウェハ支持機構上に配置される。次いで、参照番号16によって示されるように、ウェハが、そのウェハが配置されているウェハ支持機構と共に、ウェハ支持ホルダまたは「ボート」に輸送される。
【0020】
参照番号18によって示されるステップで、システムが、ウェハのバッチの装填が完了したかどうかチェックする。システムが、示したステップをロボットおよび他のシステム機構を制御することによって行うようにプログラムされたプロセッサおよびメモリを含むことを理解されたい。装填が完了していない場合、ループ24によって示されるように、前の手順が繰り返される。ウェハは、順次に、例えば最上部スロットから最下部スロットに、ウェハ支持ホルダ内に装填されることが好ましい。装填が完了したとき、例えば、好ましくはウェハ支持機構上に支持された完全なウェハのバッチがウェハ支持ホルダ内に装填されたとき、参照番号20によって示されるように、ウェハ支持ホルダが、炉などプロセスチャンバ内に装填される。あるいは、ウェハ/支持機構組合せの装填を、ウェハ支持ホルダがプロセスチャンバ内部に配置された状態でドアを通して行うことができる。
【0021】
参照番号22によって示されるように、プロセスチャンバ内でウェハに処理が施される。有利には、処理は非常に高い温度で、好ましくは約1000℃よりも高い温度で、より好ましくは約1200℃よりも高い温度で、最も好ましくは約1300℃よりも高い温度で行うことができる。処理後、ウェハは逆順で装填解除される(図示せず)。
【0022】
移送ステーション内外へのウェハ支持機構とウェハの移送は、同じロボットおよび同じエンドエフェクタを使用して行われることが好ましい。しかし、ウェハ支持機構およびウェハを移動させるために、様々なロボットおよび/または様々なエンドエフェクタを他の構成で使用することもできることを理解されたい。特に、使用されるウェハ支持機構に応じて、ロボットエンドエフェクタを、縁部でウェハ支持機構とウェハの両方に接触する縁部支持エンドエフェクタにすることも、底面の中心に向けてウェハ支持機構とウェハの両方に接触するより従来型のエンドエフェクタにすることも、これら2つのエンドエフェクタの組合せ、例えば、縁部でウェハ支持機構に接触し、底面の中心に向けてウェハに接触するエンドエフェクタにすることもできる。より一般には、エンドエフェクタは、ウェハ支持機構およびウェハを保持し、本明細書で述べる作用を行うのに適した任意のエンドエフェクタにすることができる。例えば、縁部支持エンドエフェクタはリング形状ウェハ支持機構に特に適しており、一方、プレートであるウェハ支持機構は、ウェハ支持機構の縁部、またはウェハ支持機構の底面のより中心の領域でウェハ支持機構に接触するエンドエフェクタを用いて保持することができる。例示的なエンドエフェクタは、2002年5月2日に出願の米国仮特許出願60/377904号、およびそれに対応する、den Hartogによって2003年2月5日に出願された「TWO LEVEL END EFFECTOR」という名称の米国実用新案出願10/361480号に開示されており、それらの開示を参照により本明細書に組み込むものとする。
【0023】
ウェハを支持するウェハ支持機構は、円形プレート、すなわちその上に配置されるウェハの底面領域全体を支持する構造を備えることが好ましい(図5Aおよび6A、および以下のそれに対応する本文を参照のこと)。ウェハ支持機構は、リングの形状、すなわち中心が空いた構造にすることもできる(図5Bおよび6B、および以下のそれに対応する本文を参照のこと)。支持「リング」は円形状を有することができるが、円形である必要はなく、関連する装置がその形状を受け入れるように構成されている限り、例えば六角形、さらには正方形にすることもできる。
【0024】
一実施形態では、ウェハ支持機構は、処理システム内に永久的に留まることができる。例えば、処理前後にウェハ支持ホルダ内に格納することができる。別の実施形態では、ウェハ支持機構は、カセット内、より詳細には、ウェハ支持機構を収容するように適合されたFOUP内で、処理システム内に格納され、供給される。この適合は、FOUP内部でウェハ支持機構を保持するための支持フレームがFOUPの標準的な構成から変化することのみを必要とする。したがって、FOUPの外面は、その標準的な構成を保つことができる。ウェハ支持機構は、300mmウェハを支持するように構成され、したがって、300mmウェハの直径よりもわずかに大きな直径を有することが好ましい。
【0025】
さらに、1つの好ましい実施形態では、移送ステーションが、ウェハ支持機構を保持するFOUPの一部として提供される。これらの適合されたFOUPは、FOUPドアオープナを備える市販のFOUP受取りステーションを介して処理システムと連結することができる。したがって、この実施形態は、既存のシステムを後付けするように特に良く適合されている。さらに、移送ステーションを、FOUPの上端部と下端部の間の任意の点に、または上端部または下端部のどちらかに位置付けることができる。移送ステーションがFOUPの下端部に位置付けられることが好ましい。
【0026】
好ましくは、ウェハも、カセット内で処理システムに供給される。300mmの直径を有するウェハに関しては、標準的なFOUPが、ウェハを処理システムに提供するために使用されるカセットとして働くことが好ましい。FOUPは、処理システム内に提供されたFOUPドアオープナを備えるFOUPステーションと連結する。したがって、別の実施形態では、ウェハ支持機構を格納するFOUPが移送ステーションを含むことができるのと同様に、ウェハを格納するFOUPも移送ステーションを含むことができる。有利には、処理システム内にウェハ支持機構が永久的に留まる処理システム内で使用されるとき、そのようなFOUPは、例えば個別の移送ステーションを処理システムに有意に後付けする必要性を含まない。さらに別の実施形態では、FOUPがウェハを格納するか、ウェハ支持機構を格納するかに関係なく、移送ステーションが構造内に存在することもできる。
【0027】
移送ステーション内部で、移送ステーション内のウェハおよびウェハ支持機構収容部が、ウェハ支持機構上にウェハを装填し、装填解除するために使用される様々なタイプの支持構造を備えることができる。したがって、ウェハ支持機構上へのウェハの配置は、いくつかの方法で行うことができる。例えば、一実施形態では、ウェハは静止したままで、ウェハ支持機構用の支持構造を垂直方向で可動にすることができる。別の実施形態では、ウェハ用の支持構造を垂直方向で可動にすることができ、ウェハ支持機構は静止したままである。さらに別の実施形態では、ウェハ用の支持機構とウェハ支持機構用の支持構造との両方が可動である。例えば、ウェハ支持機構およびウェハを格納収容部内に配置した後、ウェハ用の支持構造は、ウェハがウェハ支持機構上に位置するまでウェハ支持機構に向けて移動されるように移動することができる(あるいはその逆であり、またはウェハとウェハ支持機構の両方が移動することができる)。ウェハ支持機構が、好ましくは切欠き(例えばスルーホール)を備えて、ウェハ支持機構自体とは関係なく、それら支持構造およびウェハの垂直移動を可能にする。
【0028】
特に単純な他の実施形態では、移送ステーション内の全ての支持構造が静止している。ウェハをウェハ支持機構上に配置するための垂直移動は、ウェハに接触するようにウェハ支持機構を上に向けて輸送するロボットによって行われる。したがって、好ましい実施形態は、有利には、ウェハの周縁全体を含めたウェハの底面領域の実質的な部分を支持するウェハ支持機構上で、ウェハをプロセスチャンバに提供することができる。
【0029】
次に図面を参照する。図面を通して、同じ参照番号が同じ部分を指す。
【0030】
図2および3を参照すると、プロセスチャンバを含む例示的なウェハ処理システムが図示され、一般に参照番号30によって示されている。図2および3の例示システム30は、ハウジング32を備え、一般にはいわゆる「クリーンルーム」内に設置されている。ハウジング32に加えて、隔壁33、34、および35(図を見やすくするために図2からは省かれている)も存在する。ハウジング32は、隔壁33と共に処理チャンバ51の範囲を定める。処理領域51は反応器を備え、反応器はこの場合、垂直炉36、37である。ハウジング32と隔壁33および34とが、ウェハ取扱いセクションまたはチャンバ52を画定する。カセット移送セクションまたはチャンバ53が、ハウジング32と隔壁34および35との間に画定される。システム30内外にカセットを移送するための入出力ステーションが参照番号63によって示されている。
【0031】
ウェハが、入出力ステーション63に配置されているカセット40内に供給される。好ましい実施形態によるFOUPが、好ましくはカセット40として働く。カセット取扱いデバイス61が、入出力ステーション63から閉鎖可能開口64を通して、カセット移送セクション53内に位置付けられたカセットストア38内にカセット40を移送する。カセットストア38は、カセット40が格納される、垂直方向に位置合わせされたいくつかのロータリプラットフォーム57を備える。カセット取扱いデバイス61は、様々なプラットフォーム57に到達することができるように、エレベータ65によって垂直方向に可動である。カセット取扱いデバイス61はカセットエンドエフェクタ62を備え、カセットエンドエフェクタ62は、ロータリプラットフォーム57の一連の切欠き56の寸法よりもわずかに小さい寸法を有する。カセット取扱いデバイス61がストア38内にカセット40を移送するとき、カセット取扱いデバイス61のエンドエフェクタ62を、プラットフォーム57の1つの切欠き56の1つを通して下げて、プラットフォーム57上にカセット40を配置することができる。その後、カセット取扱いデバイス61をカセットストア38から引き抜くことができる。カセット取扱いデバイス61は、入出力ステーション63とストア38の間でカセット40を移送することができるように取り付けられている。デバイス61は、ストア38と回転可能カセット移送プラットフォーム60との間で、または入出力ステーション63と回転可能カセット移送プラットフォーム60との間でカセット40を移送することもできる。
【0032】
回転可能カセット移送プラットフォーム60は、回転時に、カセット移送セクション53とウェハ取扱いセクション52の間の隔壁34に対してカセット40が配置されるように構成されている。隔壁34は、クロージャとクロージャ機構を備え、これらが、参照番号67によって概略的に示される境界面を形成する。
隔壁34での境界面67に対してカセットを配置した後、クロージャ機構が、カセットのクロージャを把持し、ロック解除し、隔壁34のクロージャとカセットのクロージャとを同時に開く。
【0033】
ウェハ取扱いセクション52内部のウェハ取扱いデバイス54が、当該のカセットとウェハ支持ホルダ42との間でウェハを移送する。ウェハ支持ホルダ42は、好ましい実施形態のカセット内に保持することができるウェハよりも多くのウェハ、すなわち、現在標準的なFOUPを使用するときには25枚よりも多くのウェハ、好ましくは50枚よりも多くのウェハ、より好ましくは70枚よりも多くのウェハを収容する。本明細書で述べるように、ウェハ取扱いデバイス54は、個々のウェハを取り扱うように構成されたロボットエンドエフェクタ59を備えることが好ましい。ウェハをウェハ支持ホルダ42内に装填するために、ウェハ取扱いデバイス54はまず、カセット40から、例えばカセット40内に含まれる移送ステーションにウェハを移送し、次いで、例えばウェハ支持ホルダ42内に含まれる格納位置から移送ステーションにウェハ支持機構を移送する。ウェハをウェハ支持機構上に配置した後、ウェハ支持機構上に設置されたウェハが、ウェハ支持ホルダ42内に装填される。ウェハ支持ホルダ42はそれぞれ、ペデスタル上に支持されたウェハボートまたはラックを備えることが好ましい。ドアプレートが、各ペデスタルの下に提供されることが好ましい。
【0034】
ウェハを含むFOUPまたはカセット40内部に移送ステーションが含まれていない場合、個別の移送ステーション(図示せず)がウェハ取扱いセクション52内に提供されることは明らかである。また、別の実施形態では、好ましくはウェハとウェハ支持機構との両方が、各FOUPごとに境界面67にクロージャ(図示せず)を有して、FOUP内で処理システム30に提供されていてもよい。
そのような実施形態では、2つの回転可能なカセットプラットフォーム60が両方のFOUP(図示せず)に向き、それにより前記FOUPがウェハ取扱いセクション52に対して同時に開かれる。
【0035】
ウェハ支持ホルダ42内へのウェハの装填が完了した後、移送アーム46が、好ましくは、ウェハ取扱いチャンバ52から処理チャンバ51内に隔壁33の開放可能クロージャ49を通して、ウェハ支持ホルダ42を支持表面47上に移動する。例示した処理セクション51は、複数のウェハ支持ホルダ42を支持するロータリ移送プラットフォーム41を備える。この場合は炉36、37を備える2つの反応器が処理チャンバ51内に配置されている。炉36、37は、垂直に配置され、ウェハ/ウェハ支持組合せ43を充填されたウェハ支持ホルダ42が下から炉36、37内に垂直に導入される。このために、各炉36、37は、垂直方向で可動な挿入アーム44を有する。ウェハ支持ホルダ42の下のドアプレートは、ウェハ支持ホルダ42が反応器内に持ち上げられたときに、外側処理セクションから反応器を封止する働きをする。
【0036】
多数のウェハの処理は以下のように行うことができる。図2に概略的に示される操作者が、入出力ステーション63にいくつかのカセット40を導入し、制御パネル66で制御操作を行うことによってストア38に装填する。各カセット40が、入出力ステーション63から、カセット取扱いデバイス61を使って、ストア38内にこれらのカセットのために作成された格納コンパートメント39内に、具体的にはスタックロータリプラットフォーム57上に移送される。ストア38の回転およびエレベータ65の使用により、様々なコンパートメントにカセット40を充填することができる。ストア38に充填した後は、この例示自動設置により、さらなる人の干渉は必要ない。
【0037】
次いで、当該のカセット40が、カセット取扱いデバイス61によってストア38から取り外されて、カセット移送プラットフォーム60上に配置される。カセット移送プラットフォーム60は、図2に概略的に示される2つのレベルを備え、各レベルがカセットを受け取ることができ、2つのレベルは、互いに関係なく回転することができる。カセット移送プラットフォーム60の回転後、カセットは隔壁34に対して配置される。有利には、移送ステーションがFOUP内に配置されてプロセスチャンバ51にウェハまたはウェハ支持機構を提供する実施形態では、1つのプラットフォーム60が、ウェハを提供するためのFOUPを収容することができ、他のプラットフォームが、ウェハ支持機構を提供するためのFOUPを収容する。次いで、両方のレベルでのFOUPを、取扱いチャンバ52に同時に開くことができる。任意の場合に、隔壁34のクロージャ67と共にカセットのクロージャを開いた後、ウェハがウェハハンドラ54によって取り外され、移送ステーションに輸送され、ウェハ支持機構上に配置され、ウェハ/支持機構組合せ43がウェハ支持ホルダ42内に配置される。ウェハ支持ホルダ42が充填され、反応器36、37の1つに関して利用可能になった後、隔壁33のクロージャ49が開かれ、ウェハボートまたは支持ホルダ42が、移送アーム46によって移送プラットフォーム41上に配置される。次いで、移送プラットフォーム41は、プロセスチャンバ51内部のウェハ支持ホルダ42を、装填する反応器の下の位置に移動する。次いで、挿入機構またはエレベータ44が、ウェハ支持ホルダ42を反応器36または37内に移動させる。処理されたウェハは、プロセスチャンバ51内部で下げられて冷却された後に、上述したコースを逆順に移動する。
【0038】
上述したように、ウェハ支持機構は、閉鎖可能FOUPなどカセット内で処理システムに提供することができる。ここで、図4を参照すると、本発明の好ましい実施形態によるFOUPが、参照番号100によってその全体を示されている。FOUP100は、ドア110(図5Aおよび5B)を受け取るために一端にフランジ104を備えるハウジング102を備える。FOUP100の底部に、支持テーブル上にFOUP100を支持するための機械的境界面106が提供されることが好ましい。ハウジング102および底部境界面106を含めたFOUP100の外面は、SEMIスタンダードに従って構成されていることが好ましい。
【0039】
ハウジング102内部に支持構造120が提供される。支持構造120は、ボルト122を用いてハウジングの下側に取り付けられる。
【0040】
ウェハ支持機構140を保持するために表面132および138を備える垂直方向延在支持ビーム130および136が支持構造120に取り付けられている。各表面132が、傾斜付き側面を有する支持リッジ134を備える。支持リッジ134は、ウェハ支持機構140の穴142内に嵌合して、ウェハ支持機構140に関する中心合わせおよび位置決め能力を提供する。ウェハ支持機構140を一体となって収容するための表面132および138、支持リッジ134、ならびにFOUP内部の体積が、格納収容部141を構成する。合計で、ウェハ支持機構140に関する23箇所の格納収容部141が例示されており、その最下部の収容部141は空の状態で示されている。
【0041】
例示したFOUP100とは適合していない形態においては、25枚のウェハ160を収容することができることを理解されたい。しかし、例示したように、25枚のウェハ160を収容するように設計されたカセット内に2箇所の追加の格納収容部141が元々見られるFOUP100の下端部には、代わりに移送ステーション143が提供されることが好ましい。移送ステーション143は、好ましくは3つのピン150を備え、各ピンは、ウェハ支持機構140を支持することができる円錐下端部152と、上にウェハ160を支持することができる円柱形上部153とを備える。好ましくは、ピン150の材料は、PEEK(商標)(ポリエーテルエーテルケトン)、またはTeflon(商標)やポリプロピレンなどウェハに損傷を加えない別の材料である。ピン150の円柱形上部153の通過を可能にするように、各ウェハ支持機構140が3つの穴142を備えることが好ましい。
【0042】
ウェハ支持機構140上にウェハ160を配置するために、ウェハ支持機構140が、FOUP100内の格納収容部141から移送ステーション143に移送される。格納収容部141は、支持機構140の意図していない接触を伴わずに、隣接するウェハ支持機構140間でロボットエンドエフェクタ154が移動することができる十分な高さを有する。ウェハ支持機構140を移送するために、ロボットエンドエフェクタ154は、ウェハ支持機構140にその底部から接触し、ウェハ支持機構140を表面132および138から、かつ支持リッジ134から離す。次いで、ウェハ支持機構140は、エンドエフェクタ154上にある状態で、その格納収容部141から、FOUP100の下端部に提供される移送ステーション143にロボットによって移動される。ロボットは、支持機構の穴142が支持ピンの円柱形上部153にわたって通り、ウェハ支持機構が支持ピン150の円錐部152上に位置するようにウェハ支持機構140を配置する。
【0043】
上述したように、ウェハ支持機構140の位置を、支持リッジ134によって格納収容部141内でロックすることができる。有利には、このロックは、移送ステーション143に輸送されるようにウェハ支持機構140が正確に向けられ、それによりウェハ支持機構140が移送ステーション143に移動されるときにウェハ支持機構140の穴142の位置がピン150の位置と位置合わせされることを保証する。
【0044】
ウェハ支持機構140が移送ステーション143に移送された後、ウェハ160も移送ステーション143に移送され、ピン150の上部に配置される。エンドエフェクタ154が、ウェハ160と接触しないように下がり、次いでウェハ160またはウェハ支持機構140の意図してない接触を伴わずに、ピン150上に支持されたウェハ160の下面とピン150の円錐部152上に支持されたウェハ支持機構140の上面との間で引き抜かれて移動されるのに十分な空間が存在するように、ピン150の上端部は十分に高いことを理解されたい。
【0045】
ウェハ160を下げて、ウェハ160をピン150の上部に配置した後、ロボットがエンドエフェクタ154を引き抜き、ウェハ支持機構140の下面よりも下のレベルに垂直にエンドエフェクタ154を移動させ、次いで再び延ばし、それにより、上述したように、ピン150の円錐部152に配置されているウェハ支持機構140の下にエンドエフェクタ154がこのとき配置される。次に、エンドエフェクタ154は上方向に移動する。その際、エンドエフェクタ154は、ウェハ支持機構140に接触して持ち上げて、ウェハ支持機構140をウェハ160に接触させて持ち上げる。そのように移動することによって、ウェハ支持機構140とウェハ160の両方が、ピン150の上に持ち上げられて、ウェハ160はウェハ支持機構140上に位置する。次いで、ロボットは、ウェハ160と共にウェハ支持機構140をボートまたはウェハ支持ホルダ42(図2)に輸送することができ、ここでウェハ160に半導体製造プロセスが施される。
【0046】
図5Aおよび5Bは、FOUP100の断面上面図を例示し、各図においてFOUP100のドア110が閉じた位置で示されている。図5Aでは、ウェハ支持機構140は円形プレートであり、図5Bでは、ウェハ支持機構140が、円形状および内周または境界146を有するリングである。図5Aおよび5Bそれぞれにおいて、ウェハ支持機構140が、ドア110と反対側で、支持リッジ134によって格納向きでロックされていることは注目に値する。さらに、ウェハ支持機構140は、好ましくは、処理中の余剰熱放射からウェハ160の縁部を遮蔽することができる隆起縁部144(図9)を有する。
【0047】
図6Aおよび6Bは、FOUP100内の移送ステーション143の断面上面図を例示し、図6Aでのウェハ支持機構140は円形プレートであり、図6Bでのウェハ支持機構140は円形リングである。図6Aに例示されるように、エンドエフェクタ154は、ウェハ支持機構140および/またはウェハ160(図示せず)にその底面で、典型的にはその中心で接触することができる。そのような例示エンドエフェクタは、2002年5月2日に出願の米国仮特許出願60/377904号、およびそれに対応する、den Hartogによって2003年2月5日に出願された「TWO LEVEL END EFFECTOR」という名称の米国実用新案出願10/361480号に記載されており、それらの開示を参照により本明細書に組み込む。図6Bで、エンドエフェクタ154は、リングの直径にわたって延在して、ウェハ支持機構140および/またはウェハ160(図示せず)の反対側に接触し、例えば縁部支持エンドエフェクタにすることができる(図6Bおよび7を参照のこと)。そのような例示縁部支持エンドエフェクタも、米国仮特許出願60/377904号、およびden Hartogによって2003年2月5日に出願された「TWO LEVEL ENDEFFECTOR」という名称の米国実用新案出願10/361480号に記述されている。縁部支持エンドエフェクタは、ウェハ支持機構140がプレートであれリングであれ、ウェハ支持機構140と共に使用するのに適していることを理解されたい。
【0048】
図6Aおよび6Bそれぞれにおいて、ウェハ支持機構140はピン150上に位置し、エンドエフェクタ154はウェハ支持機構140の下に存在する。FOUP100の機械側境界面が参照番号108で示されている。ウェハ支持機構140は、移送ステーション143でピン150上に位置した状態で、好ましくは、格納収容部141内に収容されたウェハ支持機構140に対して外方向に移動される。このようにすると、ウェハ支持機構140は、支持ビーム136から十分に離れ、それによりウェハ支持機構140を、ウェハ支持機構140およびウェハ160の装填および装填解除に十分な程度まで垂直に移動することができる。
【0049】
ウェハ支持機構および/またはウェハを輸送するための特に単純な縁部支持エンドエフェクタが図7に例示されている。例示されたエンドエフェクタ154は、縁部でウェハ支持機構140またはウェハ(図示せず)に接触して支持する傾斜付き接触面167を備える。
【0050】
ウェハ支持機構140がリングである場合、ウェハ支持機構140の縁部に沿ってウェハ160および/またはウェハ支持機構140に接触するエンドエフェクタ154に対する代替形態として、別の実施形態では、ウェハ160の底面で、典型的には中心領域でウェハ160に接触するエンドエフェクタ154を使用することができる。この実施形態では、エンドエフェクタ154の長さは、好ましくは、環状ウェハ支持機構140がリングの2つの対向する部分で支持されるのに十分な長さである。そのようなエンドエフェクタの一例が図8に示されている。ウェハ160を支持する接触面は参照番号166によって示され、環状ウェハ支持機構を支持する接触面は参照番号168によって示される。好ましくは、接触面168は、ウェハ160が表面166上に支持された状態でウェハ160に接触することなくウェハ160(図示せず)を収容するのに十分大きな半径を有する。
【0051】
図9Aおよび9Bは、別個に、本発明の1つの好ましい実施形態でウェハ支持機構140上に支持されたウェハ160のそれぞれ斜視図および断面図を示す。
図は必ずしもスケールを合わせて描かれておらず、そのため、例えばウェハ160とウェハ支持機構140の相対厚さ、およびウェハ160とウェハ支持機構140のギャップのサイズは、例示されているものと異なる場合があることを理解されたい。それにもかかわらず、例示されているように、ウェハ支持機構140は、好ましくは、ウェハ160の縁部145が加熱中に余剰熱放射を受け取るのを遮蔽することができる隆起ショルダまたは縁部144を有し、縁部145の過熱を防止する。さらに、ウェハ160を取り囲むことによって、隆起縁部144は、有利には、上にウェハ160を有するウェハ支持機構140の輸送中にウェハ160の水平方向移動を最小限に抑える。
【0052】
移送ステーション143は、好ましくはFOUPの下端部に提供されるが、他の実施形態では、FOUPの上端部に、またはFOUPの上端部と下端部の間の選択された点に配置することができることを理解されたい。さらに別の実施形態では、移送ステーション143は、処理システム内の別の位置に、例えばFOUP内ではなく固定位置に配置することができる。例えば、図10A〜10Cは、2つの例示代替構成と共に、FOUP100に関する上述の構成を概略的に例示する。
【0053】
図10Aは、図4〜6に関して上述した構成を例示している。参照番号601は、FOUP100内のウェハ支持機構および/またはウェハに関する全ての格納収容部141を示す。総計23本のストライプ603が示されており、各ストライプ603のすぐ上の空間が、23箇所の格納収納部141の1つを表す。参照番号143が移送ステーションを示す。2つのライン602が、ウェハ支持機構140およびウェハ160のロボット移送に必要な支持ピン150の上の空間を示す。格納収容部141の数を最大にするために、FOUP100の底部での移送ステーション143のピン150は、わずかに外方向にシフトされ、それにより移送ステーション143は、ピン150上にウェハ支持機構140およびウェハ160を収容することができる一方、下側壁604に沿って下方向にシフトすることができる(図6Aおよび6B)。したがって、支持機構140が格納収容部141(図4)内に配置されたときにウェハ支持機構140の穴142と同軸に位置合わせされたピン150に対して、この構成でのピン150は、FOUP100の開口606の方向で外方向にシフトされる。そのような構成では、移送ステーション143でピン150に位置するウェハ支持機構160が、FOUP100から突き出る(図4)。
【0054】
図10Bでは、ウェハ支持機構収容部141の数が21に減り、各ストライプ603のすぐ上の空間が、21箇所の格納収容部141の1つを表す。格納収容部141の数を低減することにより、移送ステーション143を、図10Aに例示される構成に関して内側にシフトすることができ、それにより格納収容部141と同軸に位置合わせして配置することができる。この構成では、ウェハ支持機構140が移送ステーション143に配置されたとき、FOUP100のドア(図示せず)を閉じることができる。
【0055】
図10Cでは、格納機能と移送機能が完全に分離されている。格納収容部141は、1つのFOUP100内に含まれ、移送ステーション143は、FOUP100から完全に離れた個別ステーション100’内に形成される。
【0056】
移送ステーション143を含むFOUPの内部空間内に入る格納収容部141の総数は、利用可能な空間および補助移送ステーション機器によってのみ制限されることを理解されたい。図10A〜10Cに例示される構成では、この数は、0(図10Cのステーション100’の構成)から約23(図10AのFOUP100の構成)の範囲にすることができる。しかし、FOUPの内部空間が増減する場合、例えばFOUPの高さが増減する場合、FOUPは、より多数の、またはより少数の格納収容部141に適合することができる。その結果、例示されたFOUPがウェハ支持機構140に関する特定数の収容部141を提供する一方で、本明細書における教示に従って形成されたカセット内に装填されるウェハ160およびウェハ支持機構140の総数は、本発明の教示によって制限されず、変えることができることを理解されたい。他の実施形態では、ウェハ160およびウェハ支持機構140の数は、25または50、あるいは処理システムによって収容することができる任意の数にすることができる。さらに、他の実施形態では、必要であれば複数のウェハ支持機構140をFOUP内で提供することができる。
【0057】
移送ステーション143内でウェハ160を支持するために支持ピン150が穴を通過することができるように、かつ格納収容部141内で支持ピン150が(典型的には支持リッジ134によって)表面132上にウェハ支持機構140をロックすることができるように同じ穴142(図4)が例示されているが、これらの各位置で、これらの各機能に個別の穴および/または溝を提供することができることも理解されたい。さらに、他の実施形態では、移送ステーション143での支持構造の様々なセットを使用して、それぞれウェハ支持機構140およびウェハ160を支持することができる。例えば、ピン150を使用してウェハ160のみを支持することができ、その一方で、ウェハ支持機構140を、他の支持構造、例えばピン150とは異なる一組のピンによって支持することができる。
【0058】
さらに、ウェハ支持機構140に穴142などの穴を設ける必要はない。例えば、別の実施形態では、ウェハ支持機構140を下面で支持することができ、エンドエフェクタ154の移動が妨げられない限り、ウェハ160を、環状ウェハ支持機構140(例えば図6Bを参照のこと)の中心の開いた領域でピンなどの持上げ構造の上に支持することができる。そのような場合、ウェハ支持機構140に穴142を設ける必要がない。
【0059】
好ましくは、ウェハ支持機構140は石英からなる。別の好ましい実施形態では、高温でのウェハ処理に関連して使用される場合、ウェハ支持機構140は、好ましくは、高温抵抗性をもち、高純度で利用可能な材料から形成される。炭化珪素(SiC)が、そのような材料の一例である。非常に高温での処理の場合、ウェハ支持機構に関する好ましいSiC材料は、いわゆる「フリー・スタンディング」CVD SiCである。これは、はじめは支持材料上に付着され、しかし支持材料の除去を可能にするのに十分な厚さを有するSiCコーティングである。当技術分野で知られているように、支持材料は例えばグラファイトであってよい。「フリー・スタンディング」CVD SiCを有する構造を形成する例示的な方法は、1990年12月18日にMillerに付与された米国特許第4978567号に開示されており、その開示を参照により本明細書に組み込む。
【0060】
有利には、上述したように、好ましい実施形態で、ウェハ160およびウェハ支持機構140を、標準的な炉と共に使用することができるFOUP100などカセット内に格納することができる。したがって、標準のウェハ取扱いロボットを備える標準的な炉は、ハードウェアの大幅な変化を必要とせず、これらの好ましい実施形態に関連して処理を行うように簡単に適合させることができる。さらに、有利には、同時に移送ステーション143を収容する特別なFOUP100内にウェハ支持機構140を提供することは、装填および装填解除中のウェハ支持機構140の移動を最小限に抑えるので特に効率が良い。さらに、ウェハ支持機構140の格納および輸送のためにFOUP100を使用することは、洗浄機器など、FOUPインターフェースを備える他の機器への簡単なアクセスを可能にする。
【0061】
さらに、ウェハ支持機構140を取外し可能にして標準的な設計のスロット付きカセット内に配置することは、ウェハ支持機構140の形状を簡単にし、製造を容易にする。
【0062】
本発明の範囲を逸脱することなく上述したプロセスおよび装置に様々な省略、追加、および修正を加えることができることを当業者は理解されよう。例えば、本明細書で説明した移送ステーションの態様は、開いたカセット内で提供することができ、またはカセットに関連付けられていない個別ステーション内で提供することができる。さらに、様々な構成で、装填解除中にウェハ支持機構からウェハを分離するため、または装填中にウェハ支持機構にウェハを接合するための機構は、例えば、ピン、様々なエンドエフェクトなどの様々なセットまたは向きを使用して、様々な構成を取ることができる。そのような修正および変形は全て、頭記の特許請求の範囲によって定義された本発明の範囲に入るものと意図されている。
【図面の簡単な説明】
【図1】本発明の好ましい実施形態によるウェハのバッチの処理を例示する流れ図である。
【図2】本発明の好ましい実施形態と共に使用するための例示ウェハ処理システムの斜視図である。
【図3】図2のシステムの概略平面図である。
【図4】移送ステーションを備え、本発明の好ましい実施形態に従って構成されたウェハ支持機構格納前開き一体形ポッド(FOUP)の断面図である。
【図5A】FOUPドアが閉じた状態で示された図4の線5−5に沿って取られた図4のウェハ支持機構格納FOUPと、本発明の1つの好ましい実施形態によるウェハ支持機構との断面上面図である。
【図5B】FOUPドアが閉じた状態で示された図4の線5−5に沿って取られた図4のウェハ支持機構格納FOUPと、本発明の別の好ましい実施形態によるウェハ支持機構との断面上面図である。
【図6A】図5Aのウェハ支持機構を保持する移送ステーションを示す、図4の線6−6に沿って取られた図4のウェハ支持機構格納FOUPの断面上面図である。
【図6B】図5Bのウェハ支持機構を保持する移送ステーションを示す、図4の線6−6に沿って取られた図4のウェハ支持機構格納FOUPの断面上面図である。
【図7】本発明の好ましい実施形態と共に使用するための例示縁部支持エンドエフェクタの断面図である。
【図8】本発明の好ましい実施形態と共に使用される例示接触エンドエフェクタの上面図である。
【図9A】本発明の好ましい実施形態によるウェハ支持機構上に支持されたウェハの斜視図である。
【図9B】図9Aのウェハおよびウェハ支持機構の断面図である。
【図10A】本発明の好ましい実施形態によるFOUPに関する例示的な構成を示す概略図である。
【図10B】本発明の好ましい実施形態によるFOUPに関する別の例示的な構成を示す概略図である。
【図10C】本発明の好ましい実施形態によるFOUPに関するさらに別の例示的な構成を示す概略図である。
【符号の説明】
30 ウェハ処理システム
32 ハウジング
33、34、35 隔壁
36、37 垂直炉
38 カセットストア
40 カセット
42 ウェハ支持ホルダ
44 挿入アーム
46 移送アーム
47 支持表面
51 処理領域
52 ウェハ取扱いセクション
53 カセット移送セクション
54 ウェハ取扱いデバイス
100 FOUP
140、160 ウェハ支持機構
141 格納収容部
142 穴
143 移送ステーション
150 ピン
160 ウェハ

Claims (27)

  1. 基板を処理するためのシステムであって、
    複数の基板を支持するための複数の基板支持機構であって、基板の周縁全体を支持する基板支持機構と、
    前記複数の基板支持機構を保持するように構成された基板支持ホルダであって、前記複数の基板支持機構が、基板が前記基板支持機構上に配置されている状態で前記基板支持ホルダから取外し可能である基板支持ホルダと、
    基板処理中に前記基板支持ホルダを収容するように構成された反応器と、
    前記基板支持機構上に基板を装填するように構成された基板装填ステーションであって、前記基板支持ホルダから離隔されている基板装填ステーションとを備え、
    前記基板装填ステーションはウェハカセットに収容されるシステム。
  2. 上に基板が配置された前記基板支持機構を前記基板装填ステーションから前記基板支持ホルダに移送するように構成されたエンドエフェクタを備えるロボットをさらに備える請求項1に記載のシステム。
  3. 前記各基板支持機構が、ウェハの底面全体を支持することができるプレートである請求項1に記載のシステム。
  4. 上面から見た前記基板支持機構の形状がリングである請求項1に記載のシステム。
  5. 前記基板支持機構が石英を備える請求項1に記載のシステム。
  6. 前記基板支持機構が炭化珪素を備える請求項1に記載のシステム。
  7. 前記ウェハカセットが前開き一体形ポッドである請求項1に記載のシステム。
  8. 前記基板支持ホルダに前記基板支持機構を提供するための前開き一体形ポッドをさらに備える請求項7に記載のシステム。
  9. 前記反応器がバッチ処理炉である請求項1に記載のシステム。
  10. 前記基板支持ホルダが、25個よりも多くの前記基板支持機構を支持するウェハボートである請求項1に記載のシステム。
  11. ウェハ、及び円板状又は環状の基板支持機構を収容し、且つ前記基板支持機構上に前記ウェハを装填するように構成された基板装填ステーションを内部に備えるカセット。
  12. 前記カセットの前記内部に複数の基板支持機構格納スロットを備え、
    前記各基板支持機構格納スロットが、前記基板支持機構を支持するための複数の横方向延在面を備える請求項11に記載のカセット。
  13. 前記各基板支持機構格納スロットがさらに突出部を備え、
    当該突出部、前記複数の横方向延在面の1つから垂直に延在し、当該横方向延在面まで傾斜して下がる側面を有し、
    前記突出部、前記基板支持機構の穴内に延在し、前記基板支持機構の回転を伴わないように、前記基板装填ステーション内で複数の支持ピンと位置合わせされるように前記基板支持機構の複数の穴に向かう請求項12に記載のカセット。
  14. 前記基板装填ステーション、第1のレベルで前記基板支持機構を支持し、前記第1のレベルよりも上にある第2のレベルでウェハを支持するように構成されている請求項11に記載のカセット。
  15. 複数の基板支持機構支持構造が、前記第1のレベルで前記基板支持機構を支持する請求項14に記載のカセット
  16. 複数のウェハ支持ピンが、前記第2のレベルで前記ウェハを支持する請求項15に記載のカセット
  17. 前記複数の基板支持機構支持構造が、前記複数のウェハ支持ピンの少なくともいくつかを備え、前記ウェハ支持ピンが、前記基板支持機構の複数の穴を通って延在するように構成されている請求項16に記載のカセット
  18. 前記基板支持機構の前記複数の穴、前記基板支持機構を通って前記複数のウェハ支持ピンが通過することができるようにサイズを取られ、位置決めされ、
    前記基板支持機構は、前記ウェハ支持ピンの複数のフレア付きベース上に位置し、
    前記ウェハ支持ピンの上側延在部が、前記穴を通って前記基板支持機構の上に延在する請求項17に記載のカセット。
  19. 前記複数のウェハ支持ピンそれぞれの前記上側延在部、前記複数のフレア付きベースに位置する前記基板支持機構と前記複数の上側延在部に位置するウェハとの間にエンドエフェクタが延在することができるようにするのに十分な高さを有し、
    前記エンドエフェクタが、前記基板支持機構または前記ウェハに接触せずに延在する請求項18に記載のカセット。
  20. 前記カセットの外面が、前開き一体形ポッドに関するSEMIスタンダードに適合する請求項11に記載のカセット。
  21. 半導体を処理するための方法であって、
    基板支持機構上にウェハを配置するステップと、
    前記基板支持機構上に前記ウェハを配置するステップがウェハカセットの内部で実行され、その後、前記ウェハが前記基板支持機構上に配置された状態で、前記基板支持機構のための1つ以上の収容部を備えるウェハボート内に前記基板支持機構を輸送するステップと、
    前記ウェハボート内で、前記ウェハが前記基板支持機構上に配置された状態で前記ウェハに半導体製造プロセスを施すステップとを含む方法。
  22. 前記ウェハに半導体プロセスを施すステップ、前記ウェハおよび前記基板支持機構を前記ウェハボート内に輸送した後に、当該ウェハボートをプロセスチャンバ内に装填するステップを含む請求項21に記載の方法。
  23. 前記基板支持機構上に前記ウェハを配置するステップ、移送ステーション内の第1のレベルに前記基板支持機構を配置し、当該第1のレベルよりも上にある前記移送ステーション内の第2のレベルに前記ウェハを配置するステップを含む請求項21に記載の方法。
  24. 前記基板支持機構上に前記ウェハを配置するステップ、前記ウェハに接触するように前記基板支持機構を持ち上げるステップを含む請求項23に記載の方法。
  25. 前記ウェハに前記半導体製造プロセスを施した後に、前記移送ステーション内で前記基板支持機構から前記ウェハを装填解除するステップをさらに含む請求項23に記載の方法。
  26. 前記第1のレベルに前記基板支持機構を位置決めするステップ、前記第2のレベルに前記ウェハを位置決めするステップ、前記基板支持機構上に前記ウェハを配置するステップ、および前記ウェハを装填解除するステップが、単一のロボットエンドエフェクタを使用して行われる請求項23に記載の方法。
  27. 前記ウェハカセットの外面が、前開き一体形ポッド用のSEMIスタンダードに適合する請求項23に記載の方法。
JP2003072732A 2002-03-15 2003-03-17 炉内でウェハをバッチ処理するための方法および装置 Expired - Lifetime JP4575647B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36512202P 2002-03-15 2002-03-15
US60/365122 2002-03-15
US37988502P 2002-05-10 2002-05-10
US60/379885 2002-05-10

Publications (3)

Publication Number Publication Date
JP2003332250A JP2003332250A (ja) 2003-11-21
JP2003332250A5 JP2003332250A5 (ja) 2006-05-11
JP4575647B2 true JP4575647B2 (ja) 2010-11-04

Family

ID=27767605

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003072732A Expired - Lifetime JP4575647B2 (ja) 2002-03-15 2003-03-17 炉内でウェハをバッチ処理するための方法および装置

Country Status (4)

Country Link
US (1) US6835039B2 (ja)
EP (1) EP1345256B1 (ja)
JP (1) JP4575647B2 (ja)
KR (1) KR100972346B1 (ja)

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
DE602004025366D1 (de) * 2003-07-02 2010-03-18 Cook Inc Koaxialen Katheter
US7181132B2 (en) 2003-08-20 2007-02-20 Asm International N.V. Method and system for loading substrate supports into a substrate holder
US20060060145A1 (en) * 2004-09-17 2006-03-23 Van Den Berg Jannes R Susceptor with surface roughness for high temperature substrate processing
US20060065634A1 (en) * 2004-09-17 2006-03-30 Van Den Berg Jannes R Low temperature susceptor cleaning
US8118535B2 (en) * 2005-05-18 2012-02-21 International Business Machines Corporation Pod swapping internal to tool run time
US7748542B2 (en) * 2005-08-31 2010-07-06 Applied Materials, Inc. Batch deposition tool and compressed boat
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US8180594B2 (en) * 2007-09-06 2012-05-15 Asm International, N.V. System and method for automated customizable error diagnostics
JP2009087972A (ja) * 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
US8016592B2 (en) * 2008-01-01 2011-09-13 Dongguan Anwell Digital Machinery Ltd. Method and system for thermal processing of objects in chambers
JP5338335B2 (ja) * 2008-08-13 2013-11-13 東京エレクトロン株式会社 搬送容器の開閉装置及びプローブ装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8641350B2 (en) * 2011-02-18 2014-02-04 Asm International N.V. Wafer boat assembly, loading apparatus comprising such a wafer boat assembly and method for loading a vertical furnace
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102254849A (zh) * 2011-08-08 2011-11-23 上海宏力半导体制造有限公司 半导体器件制备过程中的加载互锁装置
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR102091892B1 (ko) * 2012-04-16 2020-03-20 로제 가부시키가이샤 수납 용기, 수납 용기의 셔터 개폐 유닛 및 이들을 사용한 웨이퍼 스토커
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9349643B2 (en) * 2013-04-01 2016-05-24 Brewer Science Inc. Apparatus and method for thin wafer transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104037110B (zh) * 2014-05-20 2019-12-06 上海申和热磁电子有限公司 半导体硅片剥离铲刀
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
TWI506702B (zh) * 2014-07-28 2015-11-01 Powerchip Technology Corp 爐管製程的派工控制方法
US9543180B2 (en) * 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN106469671A (zh) * 2015-08-19 2017-03-01 英属开曼群岛商精曜有限公司 机械承载臂以及晶圆的搬运方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7003905B2 (ja) * 2018-12-27 2022-01-21 株式会社Sumco 気相成長装置
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN116134596A (zh) * 2020-07-31 2023-05-16 应用材料公司 多重基板处置系统及方法
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220143222A (ko) 2021-04-15 2022-10-25 삼성전자주식회사 박막 증착 장치 및 박막 증착 방법
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330397A (ja) * 1995-06-02 1996-12-13 Toshiba Ceramics Co Ltd 熱処理用基板保持具、熱処理方法および熱処理装置
JPH09199438A (ja) * 1996-01-12 1997-07-31 Tokyo Electron Ltd 熱処理用治具
JPH09237781A (ja) * 1996-02-29 1997-09-09 Tokyo Electron Ltd 熱処理用ボ−ト
JPH11195694A (ja) * 1997-12-26 1999-07-21 Kokusai Electric Co Ltd 基板枚数計測方法及び半導体製造装置
WO2000002239A1 (fr) * 1998-07-03 2000-01-13 Nikon Corporation Systeme d'exposition, procede de production de ce dernier, procede de transfert de tranches, dispositif et procede de fabrication du dispositif
WO2000033376A1 (fr) * 1998-12-02 2000-06-08 Dainichi Shoji K.K. Contenant
JP2000243804A (ja) * 1999-02-17 2000-09-08 Sumitomo Metal Ind Ltd 半導体ウェーハの移載方法と装置
JP2000269150A (ja) * 1999-03-19 2000-09-29 Toshiba Ceramics Co Ltd 半導体ウエハ加熱処理用治具及びこれを用いた半導体ウエハ加熱処理用装置
WO2001018856A1 (fr) * 1999-09-03 2001-03-15 Mitsubishi Materials Silicon Corporation Support de tranche
JP2001210595A (ja) * 2000-01-26 2001-08-03 Nec Corp 半導体薄膜の作製方法および成膜装置
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
JP2001267394A (ja) * 2000-03-23 2001-09-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2002043398A (ja) * 2000-07-27 2002-02-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4468259A (en) 1981-12-04 1984-08-28 Ushio Denki Kabushiki Kaisha Uniform wafer heating by controlling light source and circumferential heating of wafer
US4407654A (en) 1982-01-21 1983-10-04 The Potters Supply Company Handling and support system for kiln fired ware
JPS61247678A (ja) 1985-04-23 1986-11-04 関西ペイント株式会社 施肥材
JPS62222625A (ja) * 1986-03-25 1987-09-30 Shimizu Constr Co Ltd 半導体製造装置
US4770590A (en) 1986-05-16 1988-09-13 Silicon Valley Group, Inc. Method and apparatus for transferring wafers between cassettes and a boat
US4867629A (en) 1986-11-20 1989-09-19 Shimizu Construction Co., Ltd. Dusttight storage cabinet apparatus for use in clean rooms
US4781411A (en) * 1987-04-23 1988-11-01 Kolb James A Portable sun shield
JP2683675B2 (ja) * 1989-01-26 1997-12-03 東京エレクトロン株式会社 搬送装置
DE3919611A1 (de) * 1989-06-15 1990-12-20 Wacker Chemitronic Haltevorrichtung zur aufnahme von scheibenfoermigen gegenstaenden, insbesondere halbleiterscheiben, und verfahren zu deren behandlung
DE69021952T2 (de) 1989-06-29 1996-05-15 Applied Materials Inc Vorrichtung zur Handhabung von Halbleiterplättchen.
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
US5162047A (en) 1989-08-28 1992-11-10 Tokyo Electron Sagami Limited Vertical heat treatment apparatus having wafer transfer mechanism and method for transferring wafers
KR0153250B1 (ko) * 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5310339A (en) 1990-09-26 1994-05-10 Tokyo Electron Limited Heat treatment apparatus having a wafer boat
US5192371A (en) 1991-05-21 1993-03-09 Asm Japan K.K. Substrate supporting apparatus for a CVD apparatus
JPH05102056A (ja) 1991-10-11 1993-04-23 Rohm Co Ltd ウエハー支持具
JP3234617B2 (ja) 1991-12-16 2001-12-04 東京エレクトロン株式会社 熱処理装置用基板支持具
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
JP3100252B2 (ja) 1992-05-26 2000-10-16 東京エレクトロン株式会社 被処理体用ボート及びそれを用いた被処理体の移し換え方法ならびに熱処理装置
US5492229A (en) 1992-11-27 1996-02-20 Toshiba Ceramics Co., Ltd. Vertical boat and a method for making the same
JP3125199B2 (ja) 1993-03-18 2001-01-15 東京エレクトロン株式会社 縦型熱処理装置
JP3348936B2 (ja) 1993-10-21 2002-11-20 東京エレクトロン株式会社 縦型熱処理装置
DE69402918T2 (de) 1993-07-15 1997-08-14 Applied Materials Inc Substratfangvorrichtung und Keramikblatt für Halbleiterbearbeitungseinrichtung
US5556275A (en) 1993-09-30 1996-09-17 Tokyo Electron Limited Heat treatment apparatus
ATE275759T1 (de) * 1995-03-28 2004-09-15 Brooks Automation Gmbh Be- und entladestation für halbleiterbearbeitungsanlagen
JPH10510680A (ja) 1995-05-05 1998-10-13 サン−ゴバン インダストリアル セラミックス,インコーポレイティド 滑りのない垂直架台構造
JP3218164B2 (ja) 1995-05-31 2001-10-15 東京エレクトロン株式会社 被処理体の支持ボート、熱処理装置及び熱処理方法
JPH0992625A (ja) 1995-09-20 1997-04-04 Tokyo Electron Ltd 熱処理用ボ−ト
WO1997031389A1 (fr) 1996-02-23 1997-08-28 Tokyo Electron Limited Dispositif de traitement thermique
JPH09306980A (ja) 1996-05-17 1997-11-28 Asahi Glass Co Ltd 縦型ウエハボート
JPH1053489A (ja) * 1996-08-06 1998-02-24 Super Silicon Kenkyusho:Kk エピタキシャル成長炉用サセプタ及びエピタキシャル成長炉
US5848889A (en) 1996-07-24 1998-12-15 Applied Materials Inc. Semiconductor wafer support with graded thermal mass
US5983906A (en) 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JPH10233426A (ja) * 1997-02-20 1998-09-02 Tokyo Electron Ltd 自動ティ−チング方法
NL1005410C2 (nl) * 1997-02-28 1998-08-31 Advanced Semiconductor Mat Stelsel voor het laden, behandelen en ontladen van op een drager aangebrachte substraten.
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6321680B2 (en) 1997-08-11 2001-11-27 Torrex Equipment Corporation Vertical plasma enhanced process apparatus and method
US6257827B1 (en) * 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US5931666A (en) 1998-02-27 1999-08-03 Saint-Gobain Industrial Ceramics, Inc. Slip free vertical rack design having rounded horizontal arms
US6203617B1 (en) 1998-03-26 2001-03-20 Tokyo Electron Limited Conveying unit and substrate processing unit
US6280183B1 (en) 1998-04-01 2001-08-28 Applied Materials, Inc. Substrate support for a thermal processing chamber
KR20000002833A (ko) 1998-06-23 2000-01-15 윤종용 반도체 웨이퍼 보트
US6228173B1 (en) 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
US6168668B1 (en) 1998-11-25 2001-01-02 Applied Materials, Inc. Shadow ring and guide for supporting the shadow ring in a chamber
NL1012004C2 (nl) 1999-05-07 2000-11-13 Asm Int Werkwijze voor het verplaatsen van wafers alsmede ring.
TWI250604B (en) 1999-07-29 2006-03-01 Ibm Improved ladder boat for supporting wafers
US6347919B1 (en) 1999-12-17 2002-02-19 Eaton Corporation Wafer processing chamber having separable upper and lower halves
JP2001176808A (ja) * 1999-12-21 2001-06-29 Toshiba Ceramics Co Ltd 気相薄膜成長装置におけるウエハ搬送方法およびそれに用いるウエハ支持部材
US6287112B1 (en) 2000-03-30 2001-09-11 Asm International, N.V. Wafer boat
US6341935B1 (en) 2000-06-14 2002-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer boat having improved wafer holding capability
JP2002033284A (ja) 2000-07-14 2002-01-31 Mitsui Eng & Shipbuild Co Ltd 縦型cvd用ウェハホルダー
US6464445B2 (en) 2000-12-19 2002-10-15 Infineon Technologies Richmond, Lp System and method for improved throughput of semiconductor wafer processing
US6559039B2 (en) 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber

Patent Citations (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08330397A (ja) * 1995-06-02 1996-12-13 Toshiba Ceramics Co Ltd 熱処理用基板保持具、熱処理方法および熱処理装置
JPH09199438A (ja) * 1996-01-12 1997-07-31 Tokyo Electron Ltd 熱処理用治具
JPH09237781A (ja) * 1996-02-29 1997-09-09 Tokyo Electron Ltd 熱処理用ボ−ト
JPH11195694A (ja) * 1997-12-26 1999-07-21 Kokusai Electric Co Ltd 基板枚数計測方法及び半導体製造装置
WO2000002239A1 (fr) * 1998-07-03 2000-01-13 Nikon Corporation Systeme d'exposition, procede de production de ce dernier, procede de transfert de tranches, dispositif et procede de fabrication du dispositif
WO2000033376A1 (fr) * 1998-12-02 2000-06-08 Dainichi Shoji K.K. Contenant
JP2000243804A (ja) * 1999-02-17 2000-09-08 Sumitomo Metal Ind Ltd 半導体ウェーハの移載方法と装置
JP2000269150A (ja) * 1999-03-19 2000-09-29 Toshiba Ceramics Co Ltd 半導体ウエハ加熱処理用治具及びこれを用いた半導体ウエハ加熱処理用装置
WO2001018856A1 (fr) * 1999-09-03 2001-03-15 Mitsubishi Materials Silicon Corporation Support de tranche
JP2001210595A (ja) * 2000-01-26 2001-08-03 Nec Corp 半導体薄膜の作製方法および成膜装置
JP2001210597A (ja) * 2000-01-28 2001-08-03 Hitachi Kokusai Electric Inc 半導体製造装置及び半導体装置の製造方法
JP2001267394A (ja) * 2000-03-23 2001-09-28 Hitachi Kokusai Electric Inc 基板処理装置
JP2002043398A (ja) * 2000-07-27 2002-02-08 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Also Published As

Publication number Publication date
EP1345256B1 (en) 2014-10-01
EP1345256A3 (en) 2005-07-06
US20030180125A1 (en) 2003-09-25
JP2003332250A (ja) 2003-11-21
US6835039B2 (en) 2004-12-28
KR100972346B1 (ko) 2010-07-26
EP1345256A2 (en) 2003-09-17
KR20030074486A (ko) 2003-09-19

Similar Documents

Publication Publication Date Title
JP4575647B2 (ja) 炉内でウェハをバッチ処理するための方法および装置
US20210050231A1 (en) Apparatus and method to process wafers
US7033126B2 (en) Method and apparatus for loading a batch of wafers into a wafer boat
US7570876B2 (en) Method and system for loading substrate supports into a substrate holder
US20030136515A1 (en) Processing apparatus
JP2000150400A (ja) 縦型熱処理装置およびボート搬送方法
US6158951A (en) Wafer carrier and method for handling of wafers with minimal contact
KR101530024B1 (ko) 기판 처리 모듈, 이를 포함하는 기판 처리 장치 및 기판 전달 방법
US6573198B2 (en) Earthquake protection for semiconductor processing equipment
EP1084509A1 (en) Batch end effector for semiconductor wafer handling
KR101372333B1 (ko) 기판 처리 모듈 및 이를 포함하는 기판 처리 장치
JP2008159793A (ja) 縦型熱処理装置及び縦型熱処理方法
JP3665571B2 (ja) 対象物を貯蔵するための、特にウェハ、フラットパネル又はcd等のディスク状の対象物を貯蔵するための装置
US11211269B2 (en) Multi-object capable loadlock system
US7134826B2 (en) Substrate transfer apparatus, substrate processing apparatus and holding table
JPS6317521A (ja) ウエ−ハボ−トの搬送方法
KR102669815B1 (ko) 기상 성장 장치
KR100515775B1 (ko) 고온 공정용 반도체 제조장치
WO2021016115A1 (en) Multi-object capable loadlock system
KR20150089924A (ko) 기판 열처리 장치, 기판 열처리 장치의 설치 방법
JP2002184771A (ja) 熱処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060315

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060315

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090204

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090604

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091210

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100608

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100712

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100803

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100820

R150 Certificate of patent or registration of utility model

Ref document number: 4575647

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130827

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term