JP2014013874A - 基板支持装置 - Google Patents

基板支持装置 Download PDF

Info

Publication number
JP2014013874A
JP2014013874A JP2012249591A JP2012249591A JP2014013874A JP 2014013874 A JP2014013874 A JP 2014013874A JP 2012249591 A JP2012249591 A JP 2012249591A JP 2012249591 A JP2012249591 A JP 2012249591A JP 2014013874 A JP2014013874 A JP 2014013874A
Authority
JP
Japan
Prior art keywords
plate portion
insulating film
substrate support
plate
support apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012249591A
Other languages
English (en)
Other versions
JP6027407B2 (ja
Inventor
Toshihiro Tachikawa
俊洋 立川
Junichi Miyahara
淳一 宮原
Kazuhiro Yonekura
一博 米倉
Toshihiko Hanamachi
年彦 花待
Takeshi Takahara
剛 高原
Atsushi Futakuchidani
淳 二口谷
Daisuke Hashimoto
大輔 橋本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NHK Spring Co Ltd
Original Assignee
NHK Spring Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US13/304,493 external-priority patent/US9153463B2/en
Application filed by NHK Spring Co Ltd filed Critical NHK Spring Co Ltd
Priority to JP2012249591A priority Critical patent/JP6027407B2/ja
Publication of JP2014013874A publication Critical patent/JP2014013874A/ja
Application granted granted Critical
Publication of JP6027407B2 publication Critical patent/JP6027407B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Resistance Heating (AREA)
  • Coating By Spraying Or Casting (AREA)

Abstract

【課題】高い耐電圧と高い耐熱性を有する金属製の基板支持装置を提供すること。
【解決手段】本発明の基板支持装置は、金属で形成されたプレート部と、プレート部に接続し、金属で形成されたシャフト部と、プレート部の内部に配設された発熱体と、シャフト部と対向するプレート部の第1の面にセラミック溶射により形成された絶縁膜と、を備える。プレート部の第1の面と概略直交する第2の面に形成された絶縁膜をさらに備えてもよい。
【選択図】 図1

Description

本発明は半導体装置の製造に用いる基板支持装置に関する。特に発熱体を内蔵した金属製の基板支持装置に関する。
半導体装置の製造において、化学気相成長(CVD)、表面改質等の処理工程では、基板支持装置が半導体製造装置内に配設される。また、基板支持装置を発熱させて使用する場合には、発熱体を内蔵した基板支持装置が半導体製造装置内に配設される。このような基板支持装置は、金属やセラミックで形成されたプレートをシャフトで支持する構造を備える。また、プレートの内部にプラズマ電極や発熱体を配設して、シャフト内部に配設された配線を介して、半導体製造装置の外部に設置された制御装置に接続する場合もある。
基板支持装置のプレートとして、窒化アルミニウム(AlN)や酸化アルミニウム(Al2O3)等のバルクセラミックスがよく用いられている。しかし、基板支持装置にプラズマ電極や発熱体を配設するためには、プレートの内部を複雑な形状にする必要があり、バルクセラミックスでは加工が難しい。一方、金属製のプレートは、内部に複雑な構造を形成しやすく、バルクセラミックスに比べて安価に製造することが可能である。金属製のプレートを用いる場合には、基板を搭載する面を絶縁被覆したり、接触による基板への金属のコンタミネーションを低減したりする必要があり、例えば、特許文献1には、アルマイト処理(陽極酸化処理)した金属製のプレートが記載されている。
特開2007−184289号公報
しかし、金属製のプレートにアルマイト処理した場合、アルマイトの膜厚は50〜75μm程度であり、耐電圧は0.8〜1 kV程度であり、更に高い耐電圧を実現するのは困難である。また、25nmプロセスの半導体装置のような精密な半導体装置の製造においては、金属のコンタミを防止する被覆ため、高い耐熱性を有する被覆が必要である。
本発明は、上述の問題を解決するものであって、高い耐電圧と高い耐熱性を有する金属製の基板支持装置を提供する。
本発明の一実施形態によると、金属で形成されたプレート部と、前記プレート部に接続し、金属で形成されたシャフト部と、前記プレート部の内部に配設された発熱体と、前記シャフト部と対向する前記プレート部の第1の面にセラミック溶射により形成された絶縁膜と、を備えることを特徴とする基板支持装置が提供される。
前記基板支持装置において、前記プレート部の第1の面と概略直交する第2の面に形成された絶縁膜をさらに備えてもよい。
前記基板支持装置において、前記プレート部の前記第1の面と前記第2の面との角部にC面取りまたは曲率を有する、および/または、前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、C面取りまたは曲率を有してもよい。
前記基板支持装置において、前記プレート部の前記第1の面と前記第2の面との角部に0.5mm以上のC面取りまたは曲率半径を有し、および/または、前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、0.5mm以上のC面取りまたは曲率半径を有してもよい。
前記基板支持装置において、前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、前記プレート部の板厚に概略等しい値の曲率半径を有してもよい。
前記基板支持装置において、前記第3の面は絶縁膜をさらに備えてもよい。
前記基板支持装置において、前記プレート部の第1の面は凹部を備え、前記第1の面の凹部の角部は、0.5mm以上のC面取りまたは曲率半径を有してもよい。
前記絶縁膜が、前記基板支持装置の実使用温度において割れが発生しない残留応力となるワーク温度で前記プレート部に溶射されることにより、形成されてもよい。
本発明によると、高い耐電圧と高い耐熱性を有する金属製の基板支持装置を提供することができる。
本発明の一実施形態に係る基板支持装置100の斜視図である。 本発明の一実施形態に係る基板支持装置100の図1のAA’における断面図である。 本発明の一実施形態に係る基板支持装置200の図1のAA’に相当する位置おける断面図である。 本発明の一実施形態に係る基板支持装置300の図1のAA’における断面図である。 本発明の一実施形態に係る基板支持装置400の図1のAA’に相当する位置おける断面図である。
以下に一実施形態に係る本発明の基板支持装置について、図を参照して説明する。なお、以下の実施形態は本発明の基板支持装置の一例であり、本発明の基板支持装置は以下の実施形態に限定されるわけではない。
アルマイト処理での膜厚では金属製のプレートに十分な耐電圧を付与することが困難であることから、本発明者らは、より高い耐電圧を実現可能な金属製のプレートにセラミックスの膜を形成する方法を検討した。金属製のプレートにセラミックスの絶縁層を形成する方法としてセラミック溶射が挙げられるが、高い耐電圧を実現可能であるものの、高温条件下ではセラミックス層がひび割れて、結果として、プレートの腐食や、プレートと基板との間のアーク放電が生じ、半導体装置の歩留まりを向上させることは困難である。したがって、単純にセラミック溶射を低溶しただけでは、高い耐電圧と高い耐熱性と同時に実現するのは困難である。
これらの問題点について鋭意検討した結果、本発明者らは所望の耐電圧を実現可能な範囲で、可能な限り薄い絶縁層を形成することにより、高温条件下でもひび割れが生じない基板支持装置を実現することが可能であることを見出した。
(実施形態1)
図1は、本発明の一実施形態に係る基板支持装置100の斜視図である。また、図2は図1のAA’における断面図である。本実施形態に係る基板支持装置100は、プレート部110及びシャフト部150を備え、プレート部110の内部に発熱体120が配設される。プレート部110の上面には基板を支持するための凹部113が形成され、凹部113とは反対側のプレート部110の中央部にシャフト部150が接続する。シャフト部150は中空構造170を有する。シャフト部150の中空構造170には、発熱体120に接続し、外部の制御装置(図示せず)に接続する配線160が配設される。
基板支持装置100において、プレート部110の基板を支持する面113及びプレート部110の側面には絶縁膜119が形成される。プレート部110において、上面と側面とは概略直交しており、プレート部110の上面と側面との角部に凸の曲率を有する。また、プレート部110の側面は、裏面のシャフト部150との接続部分方向へ向かって外側に凸の曲率を有する。プレート部110の凹部113の角部は外側に向かって凸の曲率を有するR加工が施されている。
本実施形態に係るプレート部110及びシャフト部150は、金属を用いて形成される。利用可能な金属としては、基板支持装置の製造に用いられる公知の材料から選択可能であり、例えば、アルミニウム、ステンレス鋼、銅、ニッケル、チタン等が挙げられる。また、プレート部110は、2つの部材110a及び110bで構成され、部材110aまたは110bに溝を形成して、発熱体120を配設する。部材110aと110bとは、ろう付けや溶接により接合される。
プレート部110及びシャフト部150に金属を材料として用いることにより、図2に示した冷媒流路190をシャフト部150に形成することも可能である。冷媒流路190は、空気などの気体、あるいはオイル、エチレングリコール水溶液等の液体を還流させて、シャフト部150をヒータ温度の調整を助けるための機構である。このような複雑な構造は、セラミックスを材料とした場合は製造が困難であり、金属を材料として用いることにより、シャフト部150にろう付けや溶接により形成することができる。この機構によれば、より高度な温度調整の手段が提供される。また、上述したR加工も金属を用いた場合には容易に可能である。
本発明において、絶縁膜119はセラミック溶射により形成する。セラミック溶射を用いると、アルマイト処理よりも膜厚を厚くすることが可能である。しかし、セラミック溶射により絶縁膜119を厚くした場合、高温条件下では絶縁膜119はひび割れてしまう。本発明に係る絶縁膜119は、所望の耐電圧を実現可能な範囲で、可能な限り薄く形成する。したがって、絶縁膜119の膜厚は、要求される耐電圧に応じて任意に設定可能である。プレート部110は上述したようなR加工を施すことにより、絶縁膜119の角部での応力集中を抑制し、高温条件下でも割れにくくすることができる。
本実施形態に係る絶縁膜119は、所望の耐電圧を満たし、セラミック溶射可能な材料であれば公知の材料を用いることができ、例えば、アルカリ土類金属、希土類金属、Al、TaおよびSiの1種類以上の酸化物を選択することができる。具体的には、酸化アルミニウム(Al2O3)、酸化マグネシウム(MgO)、酸化イットリウム(Y2O3)等が挙げられる。本発明においては、上述した金属と絶縁膜との熱膨張係数の差異が小さい材料の組み合わせを用いる。プレート部110と絶縁膜119との熱膨張係数の差が大きいと、高温条件下では絶縁膜119が割れ易くなる。熱膨張係数の差異が小さな材料の組み合わせとしては、例えば、アルミニウム(Al)と酸化アルミニウムの組み合わせが挙げられる。アルミニウムをプレート部110に用い、酸化アルミニウムを絶縁膜119に用いることで、絶縁膜119は割れにくくなる。一般にセラミックスは引張応力に弱い物性を有し、熱膨張するアルミニウムをプレート部110に用いることにより、高温条件下では絶縁膜119に追従してプレート部110が膨張することにより絶縁膜119が割れにくくなるものと考えられる。
また、本実施形態に係る絶縁膜119においては、セラミック溶射により形成されるセラミックス被膜の組成が化学量論組成またはそれに近い組成であることが好ましい。化学量論組成またはそれに近い組成で形成された絶縁膜119は、高温条件下でも割れにくくなる。セラミックス被膜の酸素量が化学量論組成より有意に低いと、絶縁膜119は割れ易くなり、十分な耐電圧を発揮できない。一方、セラミックス被膜の酸素量が化学量論組成より有意に高いと、プレート部110への絶縁膜119の密着性が低下して好ましくない。
また、本実施形態に係る絶縁膜119のセラミック溶射は、プラズマ作動ガスが、酸素ガスまたは酸素を含むガスを用いる。プラズマ作動ガスとして、酸素ガスまたは酸素を含むガスを用いることにより、セラミック溶射により形成される絶縁膜119の組成を従来の溶射膜の組成に比してより化学量論組成に近づけることができ、優れた電気絶縁性と耐食性とを同時に実現することができる。
本実施形態に係る絶縁膜119の形成においては、所定の耐電圧を実現可能な膜厚よりも厚い溶射膜をセラミック溶射により形成する。その後、表面研磨加工を施すことにより、所望の膜厚とすることができる。また、本実施形態において、セラミック溶射は、プレート部110の上面方向と、側面方向の少なくとも二方向に対して行うことが好ましい。プレート部110表面の絶縁性を確保し、金属のコンタミネーションを防ぐためには、上面方向と、側面方向の少なくとも二方向に絶縁膜119を形成する。また、上述したように、本実施形態においては、プレート部110の側面は、裏面のシャフト部150との接続部分方向へ向かって外側に凸の曲率を有する。このため、プレート部110の側面では、裏面のシャフト部150との接続部分方向へ向かって絶縁膜119が徐々に薄く形成され、プレート部110から絶縁膜119が剥がれにくくなる。
本実施形態においては、応力集中を低減する目的から、プレート部110の上面と側面との角部に0.5mm以上の曲率半径(R)を有することが好ましい。また、プレート部110の凹部113の角部も、同様の理由から、0.5mm以上の曲率半径(R)を有することが好ましい。一方、プレート部110の側面に形成する、シャフト部150との接続部分方向へ向かう外側に凸の曲率(1/R値)を有し、その曲率半径(R)は大きくすることが望ましい。本実施形態においては、この曲率半径(R値)は、プレート部110の板厚に概略等しい値とすることによって、プレート部110の側方からの溶射によって、プレート部110の側面から裏面のシャフト部150との接続方向に向かって絶縁膜119を徐々に薄く形成することができる。
本実施形態においては、プレート部110の上面と側面との角部に凸の曲率を有することにより、基板支持装置100は、使用時の加熱や冷却による応力集中を緩和して、絶縁膜119にひび割れが発生するのを防止することができる。また、プレート部110の側面から裏面のシャフト部150との接続方向に向かって絶縁膜119を徐々に薄く形成することにより、プレート部110から絶縁膜119が剥がれるのを防止することができる。
また、本実施形態に係る基板支持装置100において、プレート部110と絶縁膜119との間に耐圧の差を緩衝するバッファー層を形成してもよい。本実施形態に係るバッファー層には、例えば、酸化マグネシウム(MgO)を用いることができる。
プレート部110の熱膨張率をα、絶縁膜119の熱膨張率をα、溶射中のワーク温度をT、室温をT、ヒータ使用温度をT、絶縁膜119のヤング率をEとするとき、絶縁膜119に生じる熱応力σは、プレート部110の厚さが絶縁膜119の膜厚よりはるかに大きい場合には次式で表される。
冷間時:σ=(α−α)・E・(T−T
熱間時:σ=(α−α)・E・(T−T
冷間時(T−T)は正であり、α>αのためσは正で圧縮応力を受けている。しかし、溶射中のプレート部110の温度を超える温度で基板支持装置100を加熱使用すれば、σは負となり絶縁膜119には引張応力がかかってクラックが発生する要因になる。
そこで、本実施形態においては、絶縁膜119が、基板支持装置100の実使用温度において割れが発生しない残留応力となるワーク温度でプレート部110に溶射されることにより、形成されことが好ましい。本実施形態に係るワーク温度は、基板支持装置100の実使用温度、プレート部110の材料と絶縁膜119の材料のそれぞれの熱膨張係数、プレート部110の大きさ(径)、及び絶縁膜119の厚み等を考慮して決定することができる。本実施形態においては、例えば、溶射中のワーク温度を150℃以上250℃以下とすることで、その温度帯近傍での引張応力の発生を抑えることができる。
以上説明したように、本発明に係る基板支持装置は、プレート部及びシャフト部に金属を用いることで、複雑な加工が可能な上、セラミック溶射によりプレート部に薄い絶縁膜を形成することにより、高温条件下でも割れにくく、高い耐電圧を実現することができる。
(実施形態2)
図3は、本発明の一実施形態に係る基板支持装置200の図1のAA’に相当する位置における断面図である。本実施形態に係る基板支持装置200は、プレート部110に代えて、3つの部材210a、210b及び210cで構成されるプレート部210を備える。発熱体120は、部材210aまたは210bに溝を形成して配設する。また、部材210bまたは210cに溝を形成して、冷媒流路290を配設している。プレート部210の上面には基板を支持するための凹部213が形成され、凹部213とは反対側のプレート部210の中央部にシャフト部150が接続する。シャフト部150は実施形態1に説明したものと同様であるため、詳細な説明は省略する。
基板支持装置200において、プレート部210の基板を支持する面213、プレート部210の側面及び、シャフト部150に接続するプレート部210の背面には絶縁膜219が形成される。プレート部210において、上面と側面、側面と背面とは概略直交しており、上面と側面との角部、側面と背面との角部は、外側に凸の曲率を有するR加工が施されている。また、プレート部210の凹部213の角部は、外側に向かって凸の曲率を有するR加工が施されている。
本実施形態においては、応力集中を低減する目的から、プレート部210の上面と側面との角部に0.5mm以上の曲率半径(R)を有することが好ましい。プレート部210の凹部213の角部も、同様の理由から、0.5mm以上の曲率半径(R)を有することが好ましい。本実施形態においては、側面と背面との角部も、同様の理由から、0.5mm以上の曲率半径(R)を有することが好ましい。したがって、本実施形態においては、RとRとは、等しくてもよく、異なっていてもよい。
本実施形態に係るプレート部210及びシャフト部150は、金属を用いて形成される。利用可能な金属は、実施形態1において説明したため、詳細な説明は省略する。金属を用いることにより、部材210a、210b及び210cの接合と、プレート部210とシャフト部150との接合は、ろう付けや溶接により行うことができる。
冷媒流路290はヒータ温度の調整を助けるための機構であり、プレート部210においては、ヒータ温度の調整を効率よく行えれば、発熱体120と同様の配置でもよく、渦巻き状の配置でもよい。このような複雑の構造は、セラミックスを材料とした場合は製造が困難であり、金属を材料として用いることにより実現することができる。この機構によれば、より高度な温度調整の手段が提供される。また、上述したR加工も金属を用いた場合には容易に可能である。
本発明において、絶縁膜219はセラミック溶射により形成する。本発明に係る絶縁膜219は、所望の耐電圧を実現可能な範囲で、可能な限り薄く形成する。したがって、絶縁膜219の膜厚は、要求される耐電圧に応じて任意に設定可能である。プレート部210は上述したようなR加工を施すことにより、絶縁膜219の角部での応力集中を抑制し、高温条件下でも割れにくくすることができる。
本実施形態に係る絶縁膜219は、所望の耐電圧を満たし、セラミック溶射可能な材料であればよく、実施形態1において詳細に説明したため、ここでは省略する。また、上述したように、本実施形態に係る絶縁膜219においては、セラミック溶射により形成されるセラミックス被膜の組成が化学量論組成またはそれに近い組成であることが好ましい。化学量論組成またはそれに近い組成で形成された絶縁膜219は、高温条件下でも割れにくくなる。
また、本実施形態に係る絶縁膜219のセラミック溶射は、プラズマ作動ガスが、酸素ガスまたは酸素を含むガスを用いる。プラズマ作動ガスとして、酸素ガスまたは酸素を含むガスを用いることにより、セラミック溶射により形成される絶縁膜219の組成を従来の溶射膜の組成に比してより化学量論組成に近づけることができ、優れた電気絶縁性と耐食性とを同時に実現することができる。
本実施形態に係る絶縁膜219の形成においては、所定の耐電圧を実現可能な膜厚よりも厚い溶射膜をセラミック溶射により形成する。その後、表面研磨加工を施すことにより、所望の膜厚とすることができる。また、本実施形態において、セラミック溶射は、プレート部210の上面方向、側面方向及び背面方向の三方向に対して行う。また、本実施形態に係るプレート部210の角部の形状は、実施形態1で説明したような、プレート部210の側面が裏面のシャフト部150との接続部分方向へ向かって外側に凸の曲率を有するように加工してもよく、この場合、プレート部210の背面に対する絶縁膜219の形成を省略して、製造工程を簡略化してもよい。
また、本実施形態に係る基板支持装置200において、プレート部210と絶縁膜219との間に耐圧の差を緩衝するバッファー層を形成してもよい。本実施形態に係るバッファー層には、例えば、酸化マグネシウム(MgO)を用いることができる。実施形態1において説明したように、本実施形態においては、絶縁膜219が、基板支持装置200の実使用温度において割れが発生しない残留応力となるワーク温度でプレート部210に溶射されることにより、形成されことが好ましい。
以上説明したように、本発明に係る基板支持装置は、プレート部及びシャフト部に金属を用いることで、複雑な加工が可能な上、セラミック溶射によりプレート部に薄い絶縁膜を形成することにより、高温条件下でも割れにくく、高い耐電圧を実現することができる。
(実施形態3)
図4は、本発明の一実施形態に係る基板支持装置300の図1のAA’に相当する位置における断面図である。本実施形態に係る基板支持装置300は、プレート部110に代えて、角部をC面取りしたプレート部310を備える。発熱体120は、部材310aまたは310bに溝を形成して配設する。プレート部310の上面には基板を支持するための凹部313が形成され、凹部313とは反対側のプレート部310の中央部にシャフト部150が接続する。シャフト部150は実施形態1に説明したものと同様であるため、詳細な説明は省略する。なお、実施形態2で説明したように、部材310bまたは310cに溝を形成して、冷媒流路を配設してもよい。
基板支持装置300において、プレート部310の基板を支持する面313及びプレート部310の側面には絶縁膜319が形成される。プレート部310において、上面と側面とは概略直交しており、プレート部310の上面と側面との角部はC面取りされる。また、プレート部310の側面は、実施形態1と同様に、裏面のシャフト部150との接続部分方向へ向かって外側に凸の曲率を有する。プレート部310の凹部313の角部はC面取りされる。
本実施形態においては、応力集中を低減する目的から、プレート部310の上面と側面との角部に0.5mm以上のC面取り(C)を施すことが好ましい。プレート部310の凹部313の角部も、同様の理由から、0.5mm以上のC面取り(C)を施すことが好ましい。一方、本実施形態においては、実施形態1で説明したように、プレート部310の側面に形成する、シャフト部150との接続部分方向へ向かう外側に凸の曲率(1/R値)を有し、その曲率半径(R)は大きくすることが望ましい。本実施形態においては、この曲率半径(R値)は、プレート部310の板厚に概略等しい値とすることによって、プレート部310の側方からの溶射によって、プレート部310の側面から裏面のシャフト部150との接続方向に向かって絶縁膜319を徐々に薄く形成することができる。
本実施形態においては、プレート部310の上面と側面との角部にC面取りを施すことにより、基板支持装置300は、使用時の加熱や冷却による応力集中を緩和して、絶縁膜319にひび割れが発生するのを防止することができる。また、プレート部310の側面から裏面のシャフト部150との接続方向に向かって絶縁膜319を徐々に薄く形成することにより、プレート部310から絶縁膜319が剥がれるのを防止することができる。
なお、本実施形態に係るプレート部310及びシャフト部150の材料及び製造方法は、実施形態1と同様であるため、詳細な説明は省略する。
以上説明したように、本発明に係る基板支持装置は、プレート部及びシャフト部に金属を用いることで、複雑な加工が可能な上、セラミック溶射によりプレート部に薄い絶縁膜を形成することにより、高温条件下でも割れにくく、高い耐電圧を実現することができる。
(実施形態4)
図5は、本発明の一実施形態に係る基板支持装置400の図1のAA’に相当する位置における断面図である。本実施形態に係る基板支持装置400は、プレート部210と同様に、3つの部材410a、410b及び410cで構成されるプレート部410を備える。発熱体120は、部材410aまたは410bに溝を形成して配設する。また、部材410bまたは410cに溝を形成して、冷媒流路290を配設している。プレート部410の上面には基板を支持するための凹部413が形成され、凹部413とは反対側のプレート部410の中央部にシャフト部150が接続する。シャフト部150は実施形態1に説明したものと同様であるため、詳細な説明は省略する。
基板支持装置400において、プレート部410の基板を支持する面413、プレート部410の側面及び、シャフト部150に接続するプレート部410の背面には絶縁膜419が形成される。プレート部410において、上面と側面、側面と背面とは概略直交しており、上面と側面との角部、側面と背面との角部はC面取りされる。また、プレート部410の凹部413の角部はC面取りされる。
本実施形態においては、応力集中を低減する目的から、プレート部410の上面と側面との角部に0.5mm以上のC面取り(C)を施すことが好ましい。プレート部410の凹部413の角部も、同様の理由から、0.5mm以上のC面取り(C)を施すことが好ましい。本実施形態においては、側面と背面との角部も、同様の理由から、0.5mm以上のC面取り(C)を施すことが好ましい。したがって、本実施形態においては、CとCとは、等しくてもよく、異なっていてもよい。
なお、本実施形態に係るプレート部410及びシャフト部150の材料及び製造方法は、実施形態2と同様であるため、詳細な説明は省略する。
以上説明したように、本発明に係る基板支持装置は、プレート部及びシャフト部に金属を用いることで、複雑な加工が可能な上、セラミック溶射によりプレート部に薄い絶縁膜を形成することにより、高温条件下でも割れにくく、高い耐電圧を実現することができる。
上述した本発明に係る基板支持装置100について、以下に実施例を示し、詳細に説明する。
実施例として、プレート部110にアルミニウムを用い、絶縁膜119に酸化アルミニウムを用いた。絶縁膜119は、セラミック溶射により250μm〜300μmの溶射膜を形成し、研磨加工により100μm〜150μmの膜厚とした。
製造した基板支持装置100を120℃、150℃、200℃及び250℃で5時間、オーブンで加熱し、絶縁膜119にクラックが生じるかを検証した。いずれの場合にもクラックは生じなかった。表1に検証結果をまとめる。
Figure 2014013874
一方、研磨加工後の絶縁膜119の膜厚を300μm以上とした場合は、クラックが生じた。また、2kVの直流電圧(DC)を印加した絶縁耐圧試験においては、90μm未満の膜厚では絶縁膜119が破壊された。この結果から、100μm以上200μm以下が好ましいことが明らかとなった。
(熱サイクル試験)
半導体装置の製造において、基板支持装置は、熱サイクルをかけて使用されることがある。したがって、熱サイクルをかけたときに、絶縁膜119にクラックが生じないようにする必要がある。本実施例においては、常温(40℃)から250℃に昇温し、250℃から40℃に降温する1サイクルを1時間で行い、合計60サイクルの熱サイクル試験により、絶縁膜119を評価した。評価には、溶射中のワーク温度を80℃、150℃及び250℃でプレート部110に形成した絶縁膜119を用いた。絶縁膜119は、セラミック溶射により250μm〜300μmの溶射膜を形成し、研磨加工により100μm〜150μmの膜厚とした。
表2は、溶射中のワーク温度を80℃で形成した絶縁膜119の試験結果を示し、表3は150℃での結果を示し、表4は250℃での結果を示す。
Figure 2014013874

Figure 2014013874

Figure 2014013874
80℃で溶射した基材は、250℃のサイクル試験下では、熱応力の影響でプレート部110の角部を起点としたクラックが発生した。一方、150℃で溶射したプレート部110では、250℃に昇温した時に熱応力が生じると推測されるが、クラックの発生には至っていない。250℃で溶射したプレート部110では、150℃で溶射したプレート部110と同様に、クラックは発生しなかった。プレート部110の角部のR値は大きい方が応力緩和となるので、R>0.5mmの場合にもクラックは発生しないと推察される。よって、300mmウエハ基材(φ330mm程度)のプレート部110では、プレート部110のR値が0.5mm以上、溶射時のプレート部110のワーク温度が150℃以上で施工すれば、250〜40℃ × 60サイクルの範囲ではクラックは発生しない。
100 基板支持装置、110 プレート部、113 凹部、119 絶縁膜、120 発熱体、150 シャフト部、170 中空構造、190 冷媒流路、200 基板支持装置、210 プレート部、213 凹部、219 絶縁膜、290 冷媒流路、300 基板支持装置、310 プレート部、313 凹部、319 絶縁膜、400 基板支持装置、410 プレート部、413 凹部、419 絶縁膜

Claims (8)

  1. 金属で形成されたプレート部と、
    前記プレート部に接続し、金属で形成されたシャフト部と、
    前記プレート部の内部に配設された発熱体と、
    前記シャフト部と対向する前記プレート部の第1の面にセラミック溶射により形成された絶縁膜と、を備えることを特徴とする基板支持装置。
  2. 前記プレート部の第1の面と概略直交する第2の面に形成された絶縁膜をさらに備えることを特徴とする請求項1に記載の基板支持装置。
  3. 前記プレート部の前記第1の面と前記第2の面との角部にC面取りまたは曲率を有する、および/または、前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、C面取りまたは曲率を有することを特徴とする請求項2に記載の基板支持装置。
  4. 前記プレート部の前記第1の面と前記第2の面との角部に0.5mm以上のC面取りまたは曲率半径を有し、および/または、前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、0.5mm以上のC面取りまたは曲率半径を有することを特徴とする請求項3に記載の基板支持装置。
  5. 前記プレート部の第2の面から、前記シャフト部と接続する前記プレート部の第3の面に向かって、前記プレート部の板厚に概略等しい値の曲率半径を有することを特徴とする請求項3に記載の基板支持装置。
  6. 前記第3の面は絶縁膜をさらに備えることを特徴とする請求項2乃至4の何れか一に記載の基板支持装置。
  7. 前記プレート部の第1の面は凹部を備え、
    前記第1の面の凹部の角部は、0.5mm以上のC面取りまたは曲率半径を有することを特徴とする請求項2乃至6の何れか一に記載の基板支持装置。
  8. 前記絶縁膜が、前記基板支持装置の実使用温度において割れが発生しない残留応力となるワーク温度で前記プレート部に溶射されることにより、形成されることを特徴とする請求項1乃至7の何れか一に記載の基板支持装置。
JP2012249591A 2011-11-25 2012-11-13 基板支持装置 Active JP6027407B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012249591A JP6027407B2 (ja) 2011-11-25 2012-11-13 基板支持装置

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US13/304,493 US9153463B2 (en) 2011-11-25 2011-11-25 Substrate support device
US13/304,493 2011-11-25
JP2012127130 2012-06-04
JP2012127130 2012-06-04
US13/667,512 US10276410B2 (en) 2011-11-25 2012-11-02 Substrate support device
US13/667,512 2012-11-02
JP2012249591A JP6027407B2 (ja) 2011-11-25 2012-11-13 基板支持装置

Publications (2)

Publication Number Publication Date
JP2014013874A true JP2014013874A (ja) 2014-01-23
JP6027407B2 JP6027407B2 (ja) 2016-11-16

Family

ID=48465875

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012249591A Active JP6027407B2 (ja) 2011-11-25 2012-11-13 基板支持装置

Country Status (5)

Country Link
US (1) US10276410B2 (ja)
JP (1) JP6027407B2 (ja)
KR (1) KR102056949B1 (ja)
CN (1) CN103137534B (ja)
TW (1) TWI621204B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016000849A (ja) * 2014-06-11 2016-01-07 日本発條株式会社 積層体の製造方法及び積層体
JP2017226923A (ja) * 2017-09-20 2017-12-28 日本発條株式会社 積層体及び積層体の製造方法
WO2020117421A1 (en) * 2018-12-03 2020-06-11 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
WO2020118090A1 (en) * 2018-12-06 2020-06-11 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
CN113454761A (zh) * 2019-03-01 2021-09-28 日本发条株式会社 载物台以及载物台的制造方法
US11584994B2 (en) 2019-01-15 2023-02-21 Applied Materials, Inc. Pedestal for substrate processing chambers
WO2023106131A1 (ja) 2021-12-10 2023-06-15 日本発條株式会社 メタルマスク
WO2023127573A1 (ja) 2021-12-29 2023-07-06 日本発條株式会社 マスキング治具

Families Citing this family (350)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014093420A (ja) * 2012-11-02 2014-05-19 Toyota Motor Corp ウェハを支持ディスクに接着する治具、および、それを用いた半導体装置の製造方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP5980147B2 (ja) * 2013-03-08 2016-08-31 日本発條株式会社 基板支持装置
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
USD725168S1 (en) * 2014-02-04 2015-03-24 Asm Ip Holding B.V. Heater block
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN203807555U (zh) * 2014-03-31 2014-09-03 上海理想万里晖薄膜设备有限公司 一种防氟气腐蚀的高温加热装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN105304463A (zh) * 2014-07-10 2016-02-03 英属开曼群岛商精曜有限公司 垂直式平板式加热器
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
USD799646S1 (en) 2016-08-30 2017-10-10 Asm Ip Holding B.V. Heater block
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10679873B2 (en) * 2016-09-30 2020-06-09 Ngk Spark Plug Co., Ltd. Ceramic heater
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
CN111788862B (zh) * 2018-12-20 2022-09-13 日本碍子株式会社 陶瓷加热器
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
TW202142733A (zh) 2020-01-06 2021-11-16 荷蘭商Asm Ip私人控股有限公司 反應器系統、抬升銷、及處理方法
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
EP4213183A4 (en) 2020-09-08 2024-06-12 NHK Spring Co., Ltd. TRAY AND ITS MANUFACTURING METHOD
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0531239U (ja) * 1991-10-02 1993-04-23 住友金属工業株式会社 静電チヤツク
JPH08191096A (ja) * 1995-01-09 1996-07-23 Sumitomo Metal Ind Ltd 半導体用治具
JP2000114354A (ja) * 1998-09-30 2000-04-21 Kyocera Corp ウエハ支持加熱用ヒータ
JP2007173828A (ja) * 2005-12-21 2007-07-05 General Electric Co <Ge> エッチング耐性ウェーハ加工装置及びその製造方法
JP2008021963A (ja) * 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2010258280A (ja) * 2009-04-27 2010-11-11 Toto Ltd 静電チャックおよび静電チャックの製造方法
JP2011236460A (ja) * 2010-05-07 2011-11-24 Nhk Spring Co Ltd ステージヒータ及びシャフトの製造方法

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4676994A (en) * 1983-06-15 1987-06-30 The Boc Group, Inc. Adherent ceramic coatings
US4988848A (en) * 1988-09-28 1991-01-29 Panos Trakas Ceramic heater element for dual zone sprue bushing
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JPH0531239A (ja) 1991-05-21 1993-02-09 Sophia Co Ltd 遊技装置
US5592581A (en) 1993-07-19 1997-01-07 Tokyo Electron Kabushiki Kaisha Heat treatment apparatus
JP3172758B2 (ja) * 1993-11-20 2001-06-04 東京エレクトロン株式会社 プラズマエッチング方法
US5772770A (en) * 1995-01-27 1998-06-30 Kokusai Electric Co, Ltd. Substrate processing apparatus
US5886863A (en) * 1995-05-09 1999-03-23 Kyocera Corporation Wafer support member
US6193856B1 (en) * 1995-08-23 2001-02-27 Asahi Glass Company Ltd. Target and process for its production, and method for forming a film having a highly refractive index
JP3612839B2 (ja) * 1996-02-13 2005-01-19 三菱電機株式会社 高誘電率薄膜構造、高誘電率薄膜形成方法および高誘電率薄膜形成装置
JPH1064983A (ja) * 1996-08-16 1998-03-06 Sony Corp ウエハステージ
US5963840A (en) * 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
JPH1154496A (ja) * 1997-08-07 1999-02-26 Tokyo Electron Ltd 熱処理装置及びガス処理装置
JPH11354504A (ja) * 1998-06-08 1999-12-24 Sony Corp ガラス基板処理装置
JPH11354260A (ja) 1998-06-11 1999-12-24 Shin Etsu Chem Co Ltd 複層セラミックスヒータ
JP3035735B2 (ja) * 1998-09-07 2000-04-24 国際電気株式会社 基板処理装置および基板処理方法
US6228173B1 (en) * 1998-10-12 2001-05-08 Tokyo Electron Limited Single-substrate-heat-treating apparatus for semiconductor process system
EP1137321A1 (en) * 1999-11-30 2001-09-26 Ibiden Co., Ltd. Ceramic heater
TW558861B (en) * 2001-06-15 2003-10-21 Semiconductor Energy Lab Laser irradiation stage, laser irradiation optical system, laser irradiation apparatus, laser irradiation method, and method of manufacturing semiconductor device
TWI246873B (en) 2001-07-10 2006-01-01 Tokyo Electron Ltd Plasma processing device
JP4493251B2 (ja) * 2001-12-04 2010-06-30 Toto株式会社 静電チャックモジュールおよび基板処理装置
US8067067B2 (en) 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6960741B2 (en) 2002-08-26 2005-11-01 Lexmark International, Inc. Large area alumina ceramic heater
US7338699B2 (en) 2002-10-31 2008-03-04 Tosoh Corporation Island projection-modified part, method for producing the same, and apparatus comprising the same
US7372001B2 (en) 2002-12-17 2008-05-13 Nhk Spring Co., Ltd. Ceramics heater
JP3910145B2 (ja) 2003-01-06 2007-04-25 日本発条株式会社 溶射被膜およびその製造方法
WO2004082007A1 (ja) 2003-03-12 2004-09-23 Tokyo Electron Limited 半導体処理用の基板保持構造及びプラズマ処理装置
US20050042881A1 (en) * 2003-05-12 2005-02-24 Tokyo Electron Limited Processing apparatus
JP3929939B2 (ja) 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
JP2005317749A (ja) * 2004-04-28 2005-11-10 Sumitomo Electric Ind Ltd 半導体製造装置用保持体及びそれを搭載した半導体製造装置
WO2006006391A1 (ja) * 2004-06-28 2006-01-19 Kyocera Corporation ウェハ加熱装置と半導体製造装置
CN1993735A (zh) * 2004-08-16 2007-07-04 昭和电工株式会社 用于磁记录介质的硅基底、制造硅基底的方法以及磁记录介质
TWI281833B (en) * 2004-10-28 2007-05-21 Kyocera Corp Heater, wafer heating apparatus and method for manufacturing heater
US7579067B2 (en) * 2004-11-24 2009-08-25 Applied Materials, Inc. Process chamber component with layered coating and method
US8038796B2 (en) * 2004-12-30 2011-10-18 Lam Research Corporation Apparatus for spatial and temporal control of temperature on a substrate
JP4482472B2 (ja) 2005-03-24 2010-06-16 日本碍子株式会社 静電チャック及びその製造方法
US20060288934A1 (en) * 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US20090045829A1 (en) * 2005-08-04 2009-02-19 Sumitomo Electric Industries, Ltd. Wafer holder for wafer prober and wafer prober equipped with same
US20070169703A1 (en) * 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
JP4889385B2 (ja) 2006-07-07 2012-03-07 日本発條株式会社 ヒータユニットおよびシャフト
JP2007184289A (ja) 2007-03-12 2007-07-19 Sumitomo Electric Ind Ltd ヒータ
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
WO2009031566A1 (ja) * 2007-09-06 2009-03-12 Creative Technology Corporation 静電チャック装置におけるガス供給構造の製造方法及び静電チャック装置ガス供給構造並びに静電チャック装置
US20090197015A1 (en) * 2007-12-25 2009-08-06 Applied Materials, Inc. Method and apparatus for controlling plasma uniformity
JP2009188332A (ja) 2008-02-08 2009-08-20 Tokyo Electron Ltd プラズマ処理装置用基板載置台、プラズマ処理装置および絶縁皮膜の成膜方法
JP4898718B2 (ja) * 2008-02-08 2012-03-21 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP5284153B2 (ja) 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US8405909B2 (en) * 2008-05-09 2013-03-26 Semiconductor Energy Laboratories Co., Ltd. Deposition donor substrate and deposition method using the same
TW201005825A (en) * 2008-05-30 2010-02-01 Panasonic Corp Plasma processing apparatus and method
KR20110073461A (ko) * 2008-09-24 2011-06-29 쿠리타 고교 가부시키가이샤 다이아몬드 전극 및 다이아몬드 전극의 제조 방법
JP5492783B2 (ja) * 2008-10-22 2014-05-14 ローム株式会社 積層構造体
JP5544985B2 (ja) 2009-06-23 2014-07-09 東京エレクトロン株式会社 液処理装置
US8085531B2 (en) * 2009-07-14 2011-12-27 Specialty Minerals (Michigan) Inc. Anisotropic thermal conduction element and manufacturing method
US8274017B2 (en) 2009-12-18 2012-09-25 Applied Materials, Inc. Multifunctional heater/chiller pedestal for wide range wafer temperature control
WO2011150311A1 (en) * 2010-05-28 2011-12-01 Praxair Technology, Inc. Substrate supports for semiconductor applications
KR101892911B1 (ko) 2010-08-06 2018-08-29 어플라이드 머티어리얼스, 인코포레이티드 정전 척 및 정전 척의 사용 방법들
US8748349B2 (en) * 2011-04-15 2014-06-10 Ut-Battelle, Llc Buffer layers for REBCO films for use in superconducting devices

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0531239U (ja) * 1991-10-02 1993-04-23 住友金属工業株式会社 静電チヤツク
JPH08191096A (ja) * 1995-01-09 1996-07-23 Sumitomo Metal Ind Ltd 半導体用治具
JP2000114354A (ja) * 1998-09-30 2000-04-21 Kyocera Corp ウエハ支持加熱用ヒータ
JP2007173828A (ja) * 2005-12-21 2007-07-05 General Electric Co <Ge> エッチング耐性ウェーハ加工装置及びその製造方法
JP2008021963A (ja) * 2006-06-16 2008-01-31 Tokyo Electron Ltd 載置台構造及び熱処理装置
JP2010258280A (ja) * 2009-04-27 2010-11-11 Toto Ltd 静電チャックおよび静電チャックの製造方法
JP2011236460A (ja) * 2010-05-07 2011-11-24 Nhk Spring Co Ltd ステージヒータ及びシャフトの製造方法

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016000849A (ja) * 2014-06-11 2016-01-07 日本発條株式会社 積層体の製造方法及び積層体
KR20160145146A (ko) 2014-06-11 2016-12-19 닛폰 하츠죠 가부시키가이샤 적층체의 제조 방법 및 적층체
US10315388B2 (en) 2014-06-11 2019-06-11 Nhk Spring Co., Ltd. Method of manufacturing laminate and laminate
JP2017226923A (ja) * 2017-09-20 2017-12-28 日本発條株式会社 積層体及び積層体の製造方法
WO2020117421A1 (en) * 2018-12-03 2020-06-11 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
US11682574B2 (en) 2018-12-03 2023-06-20 Applied Materials, Inc. Electrostatic chuck design with improved chucking and arcing performance
CN113169114A (zh) * 2018-12-06 2021-07-23 应用材料公司 处理腔室的耐腐蚀接地屏蔽件
US11562890B2 (en) 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
WO2020118090A1 (en) * 2018-12-06 2020-06-11 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
US11584994B2 (en) 2019-01-15 2023-02-21 Applied Materials, Inc. Pedestal for substrate processing chambers
US12000048B2 (en) 2019-01-15 2024-06-04 Applied Materials, Inc. Pedestal for substrate processing chambers
CN113454761A (zh) * 2019-03-01 2021-09-28 日本发条株式会社 载物台以及载物台的制造方法
JPWO2020179539A1 (ja) * 2019-03-01 2021-10-21 日本発條株式会社 ステージ、およびステージの作製方法
WO2023106131A1 (ja) 2021-12-10 2023-06-15 日本発條株式会社 メタルマスク
WO2023127573A1 (ja) 2021-12-29 2023-07-06 日本発條株式会社 マスキング治具

Also Published As

Publication number Publication date
TW201324677A (zh) 2013-06-16
TWI621204B (zh) 2018-04-11
KR20130058632A (ko) 2013-06-04
CN103137534B (zh) 2017-12-01
JP6027407B2 (ja) 2016-11-16
KR102056949B1 (ko) 2019-12-17
CN103137534A (zh) 2013-06-05
US20130134148A1 (en) 2013-05-30
US10276410B2 (en) 2019-04-30

Similar Documents

Publication Publication Date Title
JP6027407B2 (ja) 基板支持装置
JP5447123B2 (ja) ヒータユニット及びそれを備えた装置
JP6322656B2 (ja) 低熱膨張係数の上部を備えたワーク受台構造
TW201642385A (zh) 用於高溫處理之具有金屬結合背板的靜電定位盤組件
JP2007035747A (ja) ウェハ保持体およびそれを搭載したウェハプローバ
WO2009031783A2 (en) Unit for supporting a substrate and apparatus for processing a substrate having the same
KR20100046909A (ko) 정전 흡착 장치와 그의 제조방법
KR20200099529A (ko) 정전 척 장치
JP2008004926A (ja) ウエハ保持体とその製造方法及び半導体製造装置
WO2007136023A1 (ja) ウエハ保持体とその製造方法及び半導体製造装置
JP2024096457A (ja) 静電チャック
JP2009065033A (ja) ウエハ保持体及びそれを搭載した半導体製造装置
US9153463B2 (en) Substrate support device
JP6296770B2 (ja) 基板載置装置
JP2007235171A (ja) ウェハプローバ用ウェハ保持体およびそれを搭載したウェハプローバ
JP4127174B2 (ja) ウエハー保持体及び半導体製造装置
JP2020167405A (ja) 静電チャック
KR102663868B1 (ko) 정전 척
JP7388998B2 (ja) 保持装置
JP6909448B2 (ja) 静電チャック
KR20190029589A (ko) 발열부재
JP2008244148A (ja) 静電チャック及びその製造方法
JP2022091561A (ja) シャワーヘッドの製造方法およびシャワーヘッド、ならびにプラズマ処理装置
JP2020167403A (ja) 静電チャック
JP5125272B2 (ja) ウエハプローバ用ウエハ保持体及びウエハプローバ

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150529

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160411

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160419

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160712

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160912

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161004

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161014

R150 Certificate of patent or registration of utility model

Ref document number: 6027407

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250