JP2008190046A - 成膜装置および成膜方法 - Google Patents

成膜装置および成膜方法 Download PDF

Info

Publication number
JP2008190046A
JP2008190046A JP2008089537A JP2008089537A JP2008190046A JP 2008190046 A JP2008190046 A JP 2008190046A JP 2008089537 A JP2008089537 A JP 2008089537A JP 2008089537 A JP2008089537 A JP 2008089537A JP 2008190046 A JP2008190046 A JP 2008190046A
Authority
JP
Japan
Prior art keywords
gas
gas discharge
chamber
cleaning
film forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2008089537A
Other languages
English (en)
Other versions
JP2008190046A5 (ja
JP4817268B2 (ja
Inventor
Kimihiro Matsuse
公裕 松瀬
Hayashi Otsuki
林 大槻
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2008089537A priority Critical patent/JP4817268B2/ja
Publication of JP2008190046A publication Critical patent/JP2008190046A/ja
Publication of JP2008190046A5 publication Critical patent/JP2008190046A5/ja
Application granted granted Critical
Publication of JP4817268B2 publication Critical patent/JP4817268B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated

Abstract

【課題】 高速スイッチングバルブを用いずにかつ高い生産性で、ALD法を利用することができ、チャンバー内クリーニングが可能な成膜装置および成膜方法を提供すること。
【解決手段】基板Wを収容するチャンバー11と、チャンバー11内で複数の基板Wを平面的に支持する基板支持部材12と、チャンバー11内に設けられ、TiClを吐出する第1の処理ガス吐出ノズル20と、NHを吐出する第2の処理ガス吐出ノズル21と、チャンバー11内にクリーニングガスを吐出するクリーニングガス吐出手段31,35,46,47,48と、基板支持部材12を回転させる回転機構14と、基板Wを加熱するヒーター16とを具備し、基板支持部材12を回転させながら、基板W上に、Tiの単原子層と、Nの単原子層とを交互に形成し、クリーニングガスにより前記チャンバー内をクリーニングする。
【選択図】図1

Description

本発明は、TiN膜等をALD(Atomic Layer Deposition)法を利用して成膜する成膜装置および成膜方法に関する。
半導体製造工程においては、被処理体である半導体ウエハ(以下、単にウエハと記す)に形成された配線間のホールを埋め込むために、あるいはバリア層として、WSi(タングステンシリサイド)、TiN(チタンナイトライド)、TiSi(チタンシリサイド)等の金属化合物を堆積させて薄膜を形成している。
従来、これら金属化合物薄膜は物理的蒸着(PVD)を用いて成膜されていたが、最近のようにデバイスの微細化および高集積化が特に要求され、デザインルールが特に厳しくなっており、埋め込み性の悪いPVDでは十分な特性を得ることが困難となっている。そこで、TiN膜をより良質の膜を形成することが期待できる化学的蒸着(CVD)で成膜することが行われている。
しかしながら、CVDによっても、膜質、ステップカバレージ、膜の密着性が必ずしも十分とはいえなくなっている。また、10nm以下の超薄膜を形成する際の膜厚制御が非常に困難である。
一方、良好な膜質の金属化合物薄膜を密着性およびステップカバレージ良く形成する技術として、近時、ALD法が注目されている(特許文献1等)。したがって、上記金属化合物の堆積においてもALD法を利用することが考えられる。具体的には、例えばTiN膜を成膜する際には、チャンバー内に1枚のウエハを配置し、まずチャンバー内にTiClガスを供給してTiの単原子層を吸着させ、次いでNHガスを供給してその上にNの単原子層を堆積させてこれらを反応させる。この操作を所定回数繰り返すことにより、所定厚さのTiN膜を得る。
特開昭55−130896号公報等
しかしながら、ALD法を利用して金属化合物薄膜を形成する際には、供給するガスの切り替えを高速で行わなければならず、そのために高速スイッチングバルブを用いるが、このような高速スイッチングバルブは寿命が短いという問題点がある。また、このように単原子層を積層する際には、一方のガスを供給してから他方のガスを供給する間にパージガスを供給して前のガスをパージする必要があるため、成膜に時間がかかり生産性が悪いという問題点もある。また、チャンバークリーニングの問題もある。
本発明はかかる事情に鑑みてなされたものであって、高速スイッチングバルブを用いずにかつ高い生産性で、ALD法を利用することができ、チャンバー内クリーニングが可能な成膜装置および成膜方法を提供することを目的とする。
上記課題を解決するために、本発明の第1の観点では、基板を収容するチャンバーと、
前記チャンバー内で複数の基板を平面的に支持する基板支持部材と、
前記チャンバー内に設けられ、第1の処理ガスを吐出する第1の処理ガス吐出部と、
前記チャンバー内の第1の処理ガス吐出部とは異なる位置に設けられ、第2の処理ガスを吐出する第2の処理ガス吐出部と、
前記チャンバー内にクリーニングガスを吐出するクリーニングガス吐出手段と、
前記基板支持部材を回転させる回転機構と、
前記基板を加熱する加熱手段と
を具備し、
前記基板支持部材を回転させながら、基板上に、第1の処理ガスおよび第2の処理ガスを交互に吸着させ、クリーニングガスにより前記チャンバー内をクリーニングすることを特徴とする成膜装置を提供する。
上記本発明の第1の観点において、前記クリーニングガス吐出手段は、クリーニングガス供給源と、前記クリーニングガス供給源から前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部に接続される配管とを有し、前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部からクリーニングガスを吐出するように構成することができる。
また、上記第1の観点において、前記第1の処理ガス吐出部と前記第2の処理ガス吐出部との間にパージガスを吐出するパージガス吐出部を有し、基板上に、第1の処理ガスおよび第2の処理ガスをパージガスを挟んで交互に走査させ、パージガスにより前記第1の処理ガスおよび第2の処理ガスを分離するように構成することができる。この場合に、前記クリーニングガス吐出手段は、クリーニングガス供給源と、前記クリーニングガス供給源から前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部および/またはパージガス吐出部に接続される配管とを有し、前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部および/またはパージガス吐出部からクリーニングガスを吐出する構成とすることができる。
本発明の第2の観点では、チャンバー内で複数の基板を基板支持部材に平面的に配置された状態で支持させ、
前記チャンバー内に第1の処理ガスを吐出する第1の処理ガス吐出部と第2の処理ガスを吐出する第2の処理ガス吐出部とを配置し、
前記基板支持部材を回転させながら、基板上に、第1の処理ガスおよび第2の処理ガスを交互に吸着させ、
膜形成終了後、クリーニングガス供給手段により前記チャンバー内にクリーニングガスを供給してクリーニングを行うことを特徴とする成膜方法を提供する。
上記第1および第2の観点において、前記クリーニングガスは、ClFガスを用いることができる。
また、前記第1の処理ガスとして、Al、Zr、Ti、Ta、Si、WおよびRuのうちいずれか1種を含むものを用いることができ、前記第2の処理ガスとしてNまたはOを含むものを用いることができる。
さらに、Al、ZrO、TiN、TaN、SiO、SiN、SiON、SiOF、WN、WSiおよびRuOのうちいずれか1種を成膜するようにすることができる。
本発明によれば、ALD法を利用した成膜を行うにあたり、互いに異なる位置に設けられた第1の処理ガス吐出部および第2の処理ガスを吐出する第2の処理ガス吐出部からそれぞれ第1の処理ガスおよび第2の処理ガスを吐出し、基板支持部材を回転させるので、高速スイッチングバルブを用いることなく、基板上に第1の処理ガスと第2の処理ガスとを交互に供給して吸着させ、第1の処理ガスによる単原子層と、第2の処理ガスによる単原子層とを交互に形成することができる。また、基板支持部材に複数の基板を支持した状態で処理を行うので、一度に複数枚数の基板の成膜処理を行うことができ、生産性を高めることができる。また、クリーニングガス供給手段からクリーニングガスをチャンバー内を供給するようにしたので、膜形成後、チャンバー内をクリーニングすることができる。
以下、添付図面を参照して、本発明の実施の形態について詳細に説明する。 図1は、本発明の一実施形態に係る成膜装置を示す断面図、図2はその内部の平面図である。ここではALD法を利用したCVD成膜装置によりTiN膜を成膜する場合について説明する。
このCVD成膜装置10は、真空引き可能に構成された略円筒状のチャンバー11を有しており、その中には被処理体であるウエハWを水平かつ円周状に4枚支持可能なウエハ支持部材12が設けられている。ウエハ支持部材12は、図2に示すように、4つのウエハ支持部12aを有しており、これらにウエハWが支持されるようになっている。また、ウエハ支持部材12の中心には下方に延びる回転軸13が設けられており、この回転軸13はモーター14の軸に取り付けられている。そして、このモーター14を回転させることにより、回転軸13を介してウエハ支持部材12が図2の矢印方向に沿って回転されるようになっている。したがって、ウエハ支持部12aに支持されたウエハWは、ウエハ支持部材12の回転によって回転軸13の回りを公転するようになっている。
ウエハ支持部材12の下方には、ヒーター支持部材15が設けられており、このヒーター支持部材15には、ウエハWの移動軌跡に沿って内側および外側2つの円環状のヒーター16が支持されている。
チャンバー11の天壁11aには、第1の処理ガス吐出ノズル(第1の処理ガス吐出部)20および第2の処理ガス吐出ノズル(第2の処理ガス吐出部)21が、そのガス吐出口20a,21aをウエハ支持部材12の上面に対向させた状態で設けられている。これら第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21は、図2に示すように2つずつ、中心側から外周側に向かう径方向に沿って放射状に設けられており、これらは交互にウエハWの移動軌跡(ウエハ配置領域)に沿って円周状に配置されている。これら第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21は、チャンバー11の径方向に長く、チャンバー11の周方向に短い扁平状をなしており、径方向の長さが支持部材12に支持されたウエハWの直径(ウエハ配置領域の径方向の長さ)よりも長くなるように設けられている(図2参照)。また、隣接する第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21は回転軸13を中心として90°の角度で配置されている。また、チャンバー11の天壁11aには、4つのパージガス吐出ノズル(パージガス吐出部)22が隣接する第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21の間に位置するように設けられている。これら4つのパージガス吐出ノズル22はそれぞれ独立した状態で中心側から外周側に向けて放射状に設けられており、チャンバー11の径方向に長く、チャンバー11の周方向に短い扁平状をなしている。また、パージガス吐出ノズル22は、径方向の長さが支持部材12に支持されたウエハWの直径よりも長くなるように設けられている。
図3(a)は第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21の断面図である。図3(a)に示すように、第1および第2の処理ガス吐出ノズル20,21は、それぞれ多数のガス吐出口20a,21aを有しており、この多数の吐出口20a,21aからチャンバー11内にシャワー状に処理ガスを吐出するように構成されている。また、図3(b)はパージガス吐出ノズル22の断面図である。図3(b)に示すように、パージガス吐出ノズル22の内部には多数の吐出口22aが設けられている。この多数の吐出口22aからは、ウエハ支持部材12に向けて直接ガスが吐出される。また、このパージガス吐出ノズル22は、多数の吐出口22aの下方に延びるように設けられたスカート部22bを有しており、吐出口22aからチャンバー11内にシャワー状にパージガスを吐出するとともに、スカート部22bによりシャワー状に吐出されたパージガスの流れが拡散することを防止しており、これによりパージガスのダウンフローがエアカーテンをなすように構成されている。そして、上述したように、パージガス吐出ノズル22は放射状に設けられ、その径方向の長さがウエハ支持部材12上のウエハWの長さよりも長いので、多数の吐出口22aも放射状にかつ支持部材12上のウエハWの長さよりも長い長さで分布し、吐出口22aから吐出されたパージガスのエアカーテンをウエハ支持部材12が通過する際に、その上のウエハWの全面がもれなくパージガスのエアカーテンを通過するようになる。すなわち、支持部材12上のウエハWを基準にすると、そのウエハW上をパージガスのエアカーテンが漏れなく走査可能となっている。このようなパージガスのエアカーテンにより、2つの処理ガスの分離性を高めることができる。
また、パージガス吐出ノズル22のガス吐出口22aは、第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21のガス吐出口20a,21aよりも上方に設けられており、これにより第1の処理ガス雰囲気と第2の処理ガス雰囲気とをパージガスのエアカーテンにより分離可能になっている。これらノズル20,21,22からは、後述するガス供給機構30から所定のガスが供給されるようになっている。
ガス供給機構30は、クリーニングガスとして、例えばClFを供給するClF供給源31、Arを供給するAr供給源32、TiClを供給するTiCl供給源33、NHを供給するNH供給源34を有している。そして、ClF供給源31にはClFガスライン35が、Ar供給源32にはArガスライン36が、TiCl供給源33にはTiClガスライン37が、NH供給源34にはNHガスライン38がそれぞれ接続されている。そして、各ラインにはバルブ40およびマスフローコントローラ41が設けられている。
TiCl供給源33から延びるTiClガスライン37は、第1の処理ガス吐出ノズル20から延びるガス配管42に接続されている。また、TiClガスライン37にはArガスライン36から延びる配管45が接続されており、ArガスにキャリアされたTiClガスが配管42を通って第1の処理ガス吐出ノズル20から吐出される。また、NH供給源34から延びるNHガスライン38は、第2の処理ガス吐出ノズル21から延びるガス配管43に接続されており、NHガスがNHガスライン38およびガス配管43を通って第2の処理ガス吐出ノズル21から吐出される。さらに、Ar供給源32から延びるArガスライン36は、パージガス吐出ノズル22から延びる配管44に接続されており、ArガスがArガスライン36および配管44を通ってパージガス吐出ノズル22から吐出される。さらにまた、ClF供給源31から延びるClFガスライン35には、配管46,47,48が接続されており、これら配管46,47,48から配管42,43,44を介して第1の処理ガス吐出ノズル20、第2の処理ガス吐出ノズル21、およびパージガス吐出ノズル22からクリーニングガスであるClFガスを吐出可能となっている。なお、配管45,46,47,48には、それぞれバルブ45a,46a,47a,48aが設けられている。
チャンバー11の底壁11bには、その中央部に排気口25が設けられており、この排気口25には排気管26が接続されている。この排気管26には排気装置28が接続されており、排気装置28を作動させることによりチャンバー11内を所定の真空度まで減圧することができる。
なお、排気管26は排気口25から垂直方向下方に延び途中で水平方向に屈曲しており、前記回転軸13は排気管26の垂直部の中を通って、排気管26の水平部の管壁を貫通して下方へ延びており、その管壁と回転軸13との間には、流体シール27が設けられている。
このように構成されたCVD成膜装置においては、まず、チャンバー11内に半導体ウエハWを装入し、ウエハ支持部材12のウエハ支持部12aにウエハWを載置する。次いで、ヒーター16よりウエハWを加熱しながらウエハ支持部材12を回転させ、排気装置28によりチャンバー11内を排気してチャンバー11内を所定の真空状態にする。引き続き、第1の処理ガス吐出ノズル20からArにキャリアさせたTiClガスを、第2の処理ガス吐出ノズル21からNHガスを、パージガス吐出ノズル22からパージガスとしてのArガスをそれぞれ吐出させる。
ウエハ支持部材12のウエハ支持部12aのウエハWのうち、最初に第1の処理ガス吐出ノズル20から吐出されたTiClガスが供給される2枚については、供給されたTiClガスによりTiの単原子層が吸着した後、ウエハ支持部材12の回転により、パージガス吐出ノズル22から吐出されたArガスのエアカーテンを通過して、第2の処理ガス吐出ノズル21から吐出されたNHガスによりTiの単原子層の上にNの単原子層が堆積され、これらが反応してTiNが形成される。さらに、パージガス吐出ノズル22から吐出されたArガスのエアカーテンを通過した後、同様にしてTiの単原子層およびNの単原子層が供給され、これが所定回数繰り返されて所定厚さのTiN膜が形成される。また、最初に第2の処理ガス吐出ノズル21から吐出されたNHガスが供給される他の2枚については、供給されたNHガスによりNの単原子層が吸着した後、ウエハ支持部材12の回転により、パージガス吐出ノズル22から吐出されたArガスのエアカーテンを通過して、第1の処理ガス吐出ノズル20から吐出されたTiClガスによりNの単原子層の上にTiの単原子層が堆積され、これらが反応してTiNが形成される。さらに、パージガス吐出ノズル22から吐出されたArガスのエアカーテンを通過した後、同様にしてNの単原子層およびTiの単原子層が供給され、これが所定回数繰り返されて所定厚さのTiN膜が形成される。この場合に、ウエハ支持部材12の回転速度は、処理ガスであるTiClガスおよびNHガスの吸着速度に応じて決定される。
また、この場合における第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21の形状およびウエハWとの間隔、さらにはガス流量は、ウエハWに均等に単原子層が吸着するような流れを形成することができるように設定される。また、パージガス吐出ノズル22とウエハWとの間隔、さらにはガス流量は、パージガスがTiClガス雰囲気およびNHガス雰囲気を十分に分離可能なエアカーテンとして機能する流れを形成することができるように設定される。また、ヒーター16の加熱温度はTiとNとの反応に適した適宜の温度に設定される。以下、これらの設定値について具体的に述べる。
図3(a)に示した構造を有する第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21は、吐出口20a,21aとその下方に位置する基板支持部材12に保持されたウエハW表面との間の距離hが0.1〜10mmとなるように配置することができる。また、図3(b)に示した構造を有するパージガス吐出ノズル22は、吐出口22aとその下方に位置する基板支持部材12に保持されたウエハW表面との間の距離hが0.1〜50mmとなるように配置することができ、その下端と基板支持部材12上面との間の距離hが1.1〜50mmとなるように配置することができる。好ましくは、hが0.1〜5mm、hが0.2〜10mm、hが1.2〜11mmとなるようにノズル20,21,22を配置する。
また、TiN成膜時におけるそれぞれのガス流量、チャンバー内圧力および加熱温度は、以下のように設定することができる。
TiClガス流量:1〜50sccm(0.001〜0.05L/min)、好ましくは5〜20sccm(0.005〜0.02L/min)
Arガス(キャリアガス)流量:10〜100sccm(0.01〜0.1L/min)、TiClガスが低流量の場合にはキャリアガスは用いなくてもよい
NHガス流量:50〜1000sccm(0.05〜1L/min)、好ましくは50〜500sccm(0.05〜0.5L/min)
パージガス流量:100〜1000sccm(0.1〜1L/min)
チャンバー内圧力:100mTorr〜5Torr(13.3Pa〜665Pa)、好ましくは100mTorr〜1Torr(13.3Pa〜133Pa)
加熱温度:300〜700℃、好ましくは400〜600℃
以上のようにして、交互に配置された第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21からそれぞれTiClガスおよびNHガスを供給しつつ、ウエハ支持部材12を回転させて、ウエハWにTiClガスおよびNHガスを交互に供給するので、高速スイッチングバルブを用いることなく、ALD法によりTiの単原子層およびNの単原子層を交互に形成して所望のTiN膜を形成することができる。また、このようにウエハ支持部材12に複数枚のウエハWを載置し、一回の処理で複数枚の成膜処理を行うから、生産性が高い。また、パージガス吐出ノズル22からパージガスとしてのArガスを吐出してエアカーテンを形成することにより、TiClガスおよびNHガスが混合することを極力防止することができ、また、パージガスであるArガスを吐出することにより、ウエハWの単原子層の形成が終了した部分の処理ガスを速やかに除去して余分な反応を防止することができるので、より良質の膜を形成することができる。
このようなTiN膜の形成を繰り返し行い、所定枚数のウエハWの成膜処理が終了した時点で、ClF源31からガスライン35、配管46,47,48および配管42,43,44を介してノズル20,21,22からClFガスを吐出させてチャンバー11内をクリーニングする。このとき、配管42,43,44やノズル20,21,22もクリーニングすることができる。
このクリーニング時におけるClFガス流量、チャンバー内圧力、クリーニング温度は、例えば以下に示すように設定することができる。
ClFガス流量:100〜500sccm(0.1〜0.5L/min)、好ましくは200〜300sccm(0.2〜0.3L/min)
チャンバー内圧力:1〜10Torr(133〜1330Pa)、好ましくは1〜5Torr(133〜665Pa)
クリーニング温度:200〜500℃、好ましくは200〜300℃
次に、他の実施形態に係るCVD成膜装置について説明する。図4は他の実施形態に係るCVD成膜装置を部分的に示す断面図である。ここでは、ウエハ支持部材12の代わりにウエハ支持部材12’を用いてウエハWを自転させる構成となっている。すなわち、ウエハ支持部材12’は、ベース部材51の上に、4つ(図4では2つのみ図示)のウエハテーブル52が回転可能に設けられ、これらウエハテーブル52をモーター53により回転させることにより、ウエハテーブル52上のウエハWを自転させる。これにより、処理ガスとしてのTiClガスおよびNHガスをより一層均一にウエハWに供給することができ、より均一な単原子層を形成することができる。この場合に、図1のようにヒーター16がウエハ支持部材の下にあると、加熱効率が悪くなるため、図4のようにウエハWの上方にヒーター16’を設けることが好ましい。15’はヒーター16’を支持するヒーター支持部材である。このようにヒーターを設けた場合には、処理ガスがウエハWに有効に供給されるようにヒーター16’およびヒーター支持部材15’にガス通過可能な多数の孔を設けることが好ましい。
さらに、図5の実施形態では、処理ガスとしてのTiClガスおよびNHガスをそれぞれシャワーヘッド60およびシャワーヘッド61から供給するようにしている。シャワーヘッド60は、図6に示すように、ディスク状をなす中空の本体60aの下面に多数のガス吐出孔60bが形成されており、このガス吐出孔60bから均一にガスを吐出する。シャワーヘッド61も同様に構成されている。このようにノズルの代わりにシャワーヘッドを用いることによってもウエハWに均一にTiClガスおよびNHガスを供給することができる。
さらにまた、図7の実施形態では、第1の処理ガス吐出ノズル20および第2の処理ガス吐出ノズル21の直下に排気口70を設けている(第1の処理ガス吐出ノズル20に対応する排気口のみ図示)。このようにすることにより、不要なTiClガスおよびNHガスを排気口70に接続された排気管71を介して速やかに排出することができる。
なお、本発明は、上記実施の形態に限定されることなく種々変形可能である。例えば、上記実施形態では、TiN膜を成膜する例について示したが、Al、ZrO、TaN、SiO、SiN、SiON、WN、WSi、RuO等、他の金属化合物も同様にして成膜することができる。また、上記実施形態では、第1の処理ガスとしてTiClを用い、第2の処理ガスとしてNHガスを用いたが、第1の処理ガスと第2の処理ガスとは成膜する金属化合物膜に応じた適宜のガスを用いることができる。このような場合における第1の処理ガスとしては、TiClの他に、TaBr、Ta(OC、SiCl、SiH、Si、SiHCl、WF等のAl、Zr、Ti、Ta、Si、WおよびRuのうち1種を含むものを挙げることができ、第2の処理ガスとしては、NHの他に、NH(N)、O、O、NO、NO、N、N等のNまたはOを含むものを挙げることができる。
また、ヒーターの位置を図1の例ではウエハの下方に、図4の例ではウエハの上方に設けたが、これら両方に設けてもよいし、均一に加熱することができれば他の位置に設けてもよい。さらに、パージガスとしてArガスを用いたが、Nガス等他のガスであってもよい。また、2つの処理ガスを有効に遮断することができれば、パージガスを用いなくてもよい。さらにまた、用いる基板としては、半導体ウエハに限らず他のものであってもよく、また、表面上に他の層を形成した基板であってもよい。
本発明の一実施形態に係るCVD成膜装置を示す断面図。 図1のCVD成膜装置の内部を示す平面図。 図1のCVD成膜装置における第1の処理ガス吐出ノズルおよびパージガス吐出ノズルの断面図。 本発明の他の実施形態に係るCVD成膜装置を部分的に示す断面図。 本発明のさらに他の実施形態に係るCVD成膜装置を部分的に示す断面図。 図4の装置に用いたシャワーヘッドを示す斜視図。 本発明のさらに他の実施形態に係るCVD成膜装置を部分的に示す断面図。
符号の説明
10;CVD成膜装置
11;チャンバー
12,12’;ウエハ支持部材
12a;ウエハ支持部
13;回転軸
14;モーター
16,16’;ヒーター
20,21;処理ガス吐出ノズル
22;パージガス吐出ノズル
30;ガス供給機構
25,70;排気口
26,71;排気管
28;排気装置
52;ウエハテーブル
53;モーター
60,61;シャワーヘッド
W;半導体ウエハ

Claims (11)

  1. 基板を収容するチャンバーと、
    前記チャンバー内で複数の基板を平面的に支持する基板支持部材と、
    前記チャンバー内に設けられ、第1の処理ガスを吐出する第1の処理ガス吐出部と、
    前記チャンバー内の第1の処理ガス吐出部とは異なる位置に設けられ、第2の処理ガスを吐出する第2の処理ガス吐出部と、
    前記チャンバー内にクリーニングガスを吐出するクリーニングガス吐出手段と、
    前記基板支持部材を回転させる回転機構と、
    前記基板を加熱する加熱手段と
    を具備し、
    前記基板支持部材を回転させながら、基板上に、第1の処理ガスおよび第2の処理ガスを交互に吸着させ、クリーニングガスにより前記チャンバー内をクリーニングすることを特徴とする成膜装置。
  2. 前記クリーニングガス吐出手段は、クリーニングガス供給源と、前記クリーニングガス供給源から前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部に接続される配管とを有し、前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部からクリーニングガスを吐出することを特徴とする請求項1に記載の成膜装置。
  3. 前記第1の処理ガス吐出部と前記第2の処理ガス吐出部との間にパージガスを吐出するパージガス吐出部を有し、基板上に、第1の処理ガスおよび第2の処理ガスをパージガスを挟んで交互に走査させ、パージガスにより前記第1の処理ガスおよび第2の処理ガスを分離することを特徴とする請求項1に記載の成膜装置。
  4. 前記クリーニングガス吐出手段は、クリーニングガス供給源と、前記クリーニングガス供給源から前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部および/またはパージガス吐出部に接続される配管とを有し、前記第1の処理ガス吐出部および/または前記第2の処理ガス吐出部および/またはパージガス吐出部からクリーニングガスを吐出することを特徴とする請求項3に記載の成膜装置。
  5. 前記クリーニングガスは、ClFガスであることを特徴とする請求項1から請求項4のいずれか1項に記載の成膜装置。
  6. 前記第1の処理ガスは、Al、Zr、Ti、Ta、Si、WおよびRuのうちいずれか1種を含み、前記第2の処理ガスはNまたはOを含むことを特徴とする請求項1から請求項5のいずれか1項に記載の成膜装置。
  7. Al、ZrO、TiN、TaN、SiO、SiN、SiON、SiOF、WN、WSiおよびRuOのうちいずれか1種を成膜することを特徴とする請求項1から請求項5のいずれか1項に記載の成膜装置。
  8. チャンバー内で複数の基板を基板支持部材に平面的に配置された状態で支持させ、
    前記チャンバー内に第1の処理ガスを吐出する第1の処理ガス吐出部と第2の処理ガスを吐出する第2の処理ガス吐出部とを配置し、
    前記基板支持部材を回転させながら、基板上に、第1の処理ガスおよび第2の処理ガスを交互に吸着させ、
    膜形成終了後、クリーニングガス供給手段により前記チャンバー内にクリーニングガスを供給してクリーニングを行うことを特徴とする成膜方法。
  9. 前記クリーニングガスは、ClFガスであることを特徴とする請求項8に記載の成膜方法。
  10. 前記第1の処理ガスは、Al、Zr、Ti、Ta、Si、WおよびRuのうちいずれか1種を含み、前記第2の処理ガスはNまたはOを含むことを特徴とする請求項8または請求項9に記載の成膜方法。
  11. Al、ZrO、TiN、TaN、SiO、SiN、SiON、SiOF、WN、WSiおよびRuOのうちいずれか1種を成膜することを特徴とする請求項8または請求項9に記載の成膜方法。
JP2008089537A 2000-01-06 2008-03-31 成膜装置および成膜方法 Expired - Fee Related JP4817268B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008089537A JP4817268B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2000000590 2000-01-06
JP2000000590 2000-01-06
JP2008089537A JP4817268B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2001000183A Division JP4817210B2 (ja) 2000-01-06 2001-01-04 成膜装置および成膜方法

Publications (3)

Publication Number Publication Date
JP2008190046A true JP2008190046A (ja) 2008-08-21
JP2008190046A5 JP2008190046A5 (ja) 2011-02-03
JP4817268B2 JP4817268B2 (ja) 2011-11-16

Family

ID=18529914

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2008089538A Withdrawn JP2008208462A (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法
JP2008089535A Expired - Fee Related JP4817266B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法
JP2008089537A Expired - Fee Related JP4817268B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法
JP2008089536A Expired - Fee Related JP4817267B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2008089538A Withdrawn JP2008208462A (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法
JP2008089535A Expired - Fee Related JP4817266B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2008089536A Expired - Fee Related JP4817267B2 (ja) 2000-01-06 2008-03-31 成膜装置および成膜方法

Country Status (2)

Country Link
US (1) US6576062B2 (ja)
JP (4) JP2008208462A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
WO2013146278A1 (ja) * 2012-03-30 2013-10-03 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP2019052339A (ja) * 2017-09-13 2019-04-04 東京エレクトロン株式会社 排気管のクリーニング方法
JP2019196545A (ja) * 2018-05-11 2019-11-14 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. 薄膜形成方法
US11894257B2 (en) 2017-10-27 2024-02-06 Applied Materials, Inc. Single wafer processing environments with spatial separation

Families Citing this family (438)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020195056A1 (en) * 2000-05-12 2002-12-26 Gurtej Sandhu Versatile atomic layer deposition apparatus
US6541353B1 (en) * 2000-08-31 2003-04-01 Micron Technology, Inc. Atomic layer doping apparatus and method
JP2002367990A (ja) * 2001-06-04 2002-12-20 Tokyo Electron Ltd 半導体装置の製造方法
JP3886424B2 (ja) * 2001-08-28 2007-02-28 鹿児島日本電気株式会社 基板処理装置及び方法
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6869641B2 (en) * 2002-07-03 2005-03-22 Unaxis Balzers Ltd. Method and apparatus for ALD on a rotary susceptor
US7153542B2 (en) * 2002-08-06 2006-12-26 Tegal Corporation Assembly line processing method
US20040058293A1 (en) * 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6972055B2 (en) * 2003-03-28 2005-12-06 Finens Corporation Continuous flow deposition system
US6703296B1 (en) * 2003-04-17 2004-03-09 Macronix International Co. Ltd. Method for forming metal salicide
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US20050011459A1 (en) * 2003-07-15 2005-01-20 Heng Liu Chemical vapor deposition reactor
US20050205210A1 (en) * 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7892357B2 (en) * 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
TWI334450B (en) * 2004-03-12 2010-12-11 Hitachi Int Electric Inc Wafer treatment device and the manufacturing method of semiconductor device
KR100574569B1 (ko) * 2004-04-30 2006-05-03 주성엔지니어링(주) 박막 증착방법 및 분리된 퍼지가스 분사구를 구비하는박막 증착장치
TWI249589B (en) * 2004-07-16 2006-02-21 Promos Technologies Inc Method for improving atomic layer deposition process and the device thereof
CN100411117C (zh) * 2004-09-10 2008-08-13 茂德科技股份有限公司 改善原子层沉积工艺的方法及装置
US20060137609A1 (en) * 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
US20060073276A1 (en) * 2004-10-04 2006-04-06 Eric Antonissen Multi-zone atomic layer deposition apparatus and method
KR100558922B1 (ko) * 2004-12-16 2006-03-10 (주)퓨전에이드 박막 증착장치 및 방법
US20060195261A1 (en) * 2005-02-10 2006-08-31 Homeland Integrated Security Systems, Inc. Electronic device for tracking and monitoring assets
CA2602175C (en) 2005-03-21 2012-11-27 Applera Corporation Alpha ketoamide compounds as cysteine protease inhibitors
CN100358098C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 半导体工艺件处理装置
JP4760516B2 (ja) * 2005-12-15 2011-08-31 東京エレクトロン株式会社 塗布装置及び塗布方法
US20070151842A1 (en) * 2005-12-15 2007-07-05 Fluens Corporation Apparatus for reactive sputtering
EP1992007A4 (en) * 2006-03-03 2010-05-05 Prasad Gadgil APPARATUS AND METHOD FOR THIN FILM CHEMICAL PROCESSING BY MULTIPLE ATOMIC LAYER OVER AN EXTENDED AREA
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
US20070234956A1 (en) * 2006-04-05 2007-10-11 Dalton Jeremie J Method and apparatus for providing uniform gas delivery to a reactor
WO2008011579A2 (en) * 2006-07-21 2008-01-24 Aixtron, Inc. Small volume symmetric flow single wafer ald apparatus
WO2008016836A2 (en) * 2006-07-29 2008-02-07 Lotus Applied Technology, Llc Radical-enhanced atomic layer deposition system and method
US20080057195A1 (en) * 2006-08-31 2008-03-06 United Technologies Corporation Non-line of sight coating technique
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US7879401B2 (en) * 2006-12-22 2011-02-01 The Regents Of The University Of Michigan Organic vapor jet deposition using an exhaust
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
KR100830590B1 (ko) * 2007-06-01 2008-05-21 삼성전자주식회사 텅스텐막, 그 형성 방법, 이를 포함한 반도체 소자 및 그반도체 소자의 형성 방법
KR20090013286A (ko) * 2007-08-01 2009-02-05 삼성전자주식회사 반도체 소자 제조설비
KR100918663B1 (ko) * 2007-08-22 2009-09-22 주식회사 테라세미콘 반도체 제조 장치
US8334015B2 (en) * 2007-09-05 2012-12-18 Intermolecular, Inc. Vapor based combinatorial processing
DE102008010041A1 (de) 2007-09-28 2009-04-02 Osram Opto Semiconductors Gmbh Schichtabscheidevorrichtung und Verfahren zu deren Betrieb
EP2042619A3 (de) 2007-09-28 2010-06-02 OSRAM Opto Semiconductors GmbH Beschichtungsvorrichtung und Verfahren zu deren Betrieb
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
CN101451237B (zh) * 2007-11-30 2012-02-08 中微半导体设备(上海)有限公司 具有多个等离子体反应区域的包括多个处理平台的等离子体反应室
KR101394109B1 (ko) 2008-02-11 2014-05-13 (주)소슬 기판 처리 장치 및 기판 처리 시스템
US20100012036A1 (en) * 2008-07-11 2010-01-21 Hugo Silva Isolation for multi-single-wafer processing apparatus
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5544697B2 (ja) * 2008-09-30 2014-07-09 東京エレクトロン株式会社 成膜装置
US8426250B2 (en) * 2008-10-22 2013-04-23 Intel Corporation Laser-assisted chemical singulation of a wafer
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US20100129984A1 (en) * 2008-11-26 2010-05-27 George Vakanas Wafer singulation in high volume manufacturing
DE102008062332A1 (de) * 2008-12-15 2010-06-17 Gühring Ohg Vorrichtung zur Oberflächenbehandlung und/oder -beschichtung von Substratkomponenten
US20100221426A1 (en) * 2009-03-02 2010-09-02 Fluens Corporation Web Substrate Deposition System
JP5107285B2 (ja) * 2009-03-04 2012-12-26 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
US20100227059A1 (en) * 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5181100B2 (ja) * 2009-04-09 2013-04-10 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5131240B2 (ja) * 2009-04-09 2013-01-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US20110290175A1 (en) * 2009-06-07 2011-12-01 Veeco Instruments, Inc. Multi-Chamber CVD Processing System
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5287592B2 (ja) * 2009-08-11 2013-09-11 東京エレクトロン株式会社 成膜装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5434484B2 (ja) * 2009-11-02 2014-03-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5497423B2 (ja) * 2009-12-25 2014-05-21 東京エレクトロン株式会社 成膜装置
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
JP5482196B2 (ja) * 2009-12-25 2014-04-23 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP5396264B2 (ja) * 2009-12-25 2014-01-22 東京エレクトロン株式会社 成膜装置
KR101084184B1 (ko) 2010-01-11 2011-11-17 삼성모바일디스플레이주식회사 박막 증착 장치
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
JP5872141B2 (ja) * 2010-05-20 2016-03-01 東京エレクトロン株式会社 基板処理装置、その制御装置およびその制御方法
FI124113B (fi) * 2010-08-30 2014-03-31 Beneq Oy Laitteisto ja menetelmä substraatin pinnan muokkaamiseksi
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
US20120222620A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
US20120225207A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US8541069B2 (en) 2011-04-11 2013-09-24 United Technologies Corporation Method of guided non-line of sight coating
EP2518178B1 (en) * 2011-04-29 2014-01-01 Applied Materials, Inc. Tooling carrier for inline coating machine, method of operating thereof and process of coating a substrate
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9175392B2 (en) * 2011-06-17 2015-11-03 Intermolecular, Inc. System for multi-region processing
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8821641B2 (en) * 2011-09-30 2014-09-02 Samsung Electronics Co., Ltd. Nozzle unit, and apparatus and method for treating substrate with the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130196078A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US20130192761A1 (en) * 2012-01-31 2013-08-01 Joseph Yudovsky Rotary Substrate Processing System
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US20130323422A1 (en) * 2012-05-29 2013-12-05 Applied Materials, Inc. Apparatus for CVD and ALD with an Elongate Nozzle and Methods Of Use
KR102070400B1 (ko) 2012-06-29 2020-01-28 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP6040609B2 (ja) * 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US9230815B2 (en) 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6134191B2 (ja) 2013-04-07 2017-05-24 村川 惠美 回転型セミバッチald装置
CN104103549B (zh) * 2013-04-07 2018-05-18 盛美半导体设备(上海)有限公司 半导体工艺腔室
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
KR102115337B1 (ko) * 2013-07-31 2020-05-26 주성엔지니어링(주) 기판 처리 장치
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP6338462B2 (ja) * 2013-09-11 2018-06-06 東京エレクトロン株式会社 プラズマ処理装置
KR101466816B1 (ko) * 2013-09-23 2014-12-10 국제엘렉트릭코리아 주식회사 히터 부재 및 그것을 갖는 기판 처리 장치
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9694436B2 (en) * 2013-11-04 2017-07-04 Veeco Precision Surface Processing Llc System and method for flux coat, reflow and clean
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015103358A1 (en) * 2014-01-05 2015-07-09 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
JP6287240B2 (ja) * 2014-01-17 2018-03-07 東京エレクトロン株式会社 真空処理装置及び真空処理方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102017962B1 (ko) * 2014-09-17 2019-09-03 도쿄엘렉트론가부시키가이샤 샤워 헤드 및 성막 장치
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6330623B2 (ja) * 2014-10-31 2018-05-30 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP6330630B2 (ja) * 2014-11-13 2018-05-30 東京エレクトロン株式会社 成膜装置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6404111B2 (ja) * 2014-12-18 2018-10-10 東京エレクトロン株式会社 プラズマ処理装置
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9601391B2 (en) * 2015-03-12 2017-03-21 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Mechanical stress measurement during thin-film fabrication
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
US10233528B2 (en) 2015-06-08 2019-03-19 Applied Materials, Inc. Mask for deposition system and method for using the mask
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6447393B2 (ja) * 2015-07-06 2019-01-09 東京エレクトロン株式会社 成膜処理装置、成膜処理方法及び記憶媒体
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
KR20170022459A (ko) * 2015-08-20 2017-03-02 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US11244822B2 (en) * 2015-10-20 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for manufacturing a thin film and a method therefor
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
TWI729101B (zh) 2016-04-02 2021-06-01 美商應用材料股份有限公司 用於旋轉料架基座中的晶圓旋轉的設備及方法
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US11015246B2 (en) 2016-04-24 2021-05-25 Applied Materials, Inc. Apparatus and methods for depositing ALD films with enhanced chemical exchange
TWI734770B (zh) 2016-04-24 2021-08-01 美商應用材料股份有限公司 用於防止空間ald處理腔室中之背側沉積的設備
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
JP7160421B1 (ja) 2022-02-10 2022-10-25 株式会社シー・ヴィ・リサーチ 成膜装置、成膜方法及びガスノズル

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6226811A (ja) * 1985-07-26 1987-02-04 Fujitsu Ltd 半導体製造装置
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05251701A (ja) * 1992-03-04 1993-09-28 Fujitsu Ltd 薄膜トランジスタの形成方法
JPH0786171A (ja) * 1993-09-17 1995-03-31 Tokyo Electron Ltd バッチ式コールドウォール処理装置及びそのクリーニング方法
JPH09330884A (ja) * 1996-06-07 1997-12-22 Sony Corp エピタキシャル成長装置

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4699805A (en) * 1986-07-03 1987-10-13 Motorola Inc. Process and apparatus for the low pressure chemical vapor deposition of thin films
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPS63266072A (ja) * 1987-04-23 1988-11-02 Hitachi Electronics Eng Co Ltd 気相反応装置
JP2576135B2 (ja) * 1987-07-14 1997-01-29 日本電気株式会社 Si基板上のGaP結晶の成長方法
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
WO1990010092A1 (en) * 1989-02-24 1990-09-07 Massachusetts Institute Of Technology A modified stagnation flow apparatus for chemical vapor deposition providing excellent control of the deposition
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2940051B2 (ja) 1990-02-09 1999-08-25 富士通株式会社 絶縁薄膜の形成方法
US5071670A (en) * 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
JPH04236465A (ja) 1991-01-18 1992-08-25 Fujitsu Ltd 薄膜トランジスタおよびその製造方法
JP3126787B2 (ja) 1992-01-30 2001-01-22 理化学研究所 成膜方法および成膜装置
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
JP3144665B2 (ja) 1993-09-17 2001-03-12 東京エレクトロン株式会社 処理用ガスの供給方法
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
DE19603323A1 (de) * 1996-01-30 1997-08-07 Siemens Ag Verfahren und Vorrichtung zum Herstellen von SiC durch CVD mit verbesserter Gasausnutzung
TW340957B (en) * 1996-02-01 1998-09-21 Canon Hanbai Kk Plasma processor and gas release device
US5747113A (en) * 1996-07-29 1998-05-05 Tsai; Charles Su-Chang Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation
US5807792A (en) * 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP4114972B2 (ja) * 1997-05-27 2008-07-09 キヤノンアネルバ株式会社 基板処理装置
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6020024A (en) 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
US6395640B2 (en) * 1999-12-17 2002-05-28 Texas Instruments Incorporated Apparatus and method for selectivity restricting process fluid flow in semiconductor processing
US6143082A (en) * 1998-10-08 2000-11-07 Novellus Systems, Inc. Isolation of incompatible processes in a multi-station processing chamber
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6226811A (ja) * 1985-07-26 1987-02-04 Fujitsu Ltd 半導体製造装置
JPH04287912A (ja) * 1991-02-19 1992-10-13 Mitsubishi Electric Corp 半導体製造装置
JPH05251701A (ja) * 1992-03-04 1993-09-28 Fujitsu Ltd 薄膜トランジスタの形成方法
JPH0786171A (ja) * 1993-09-17 1995-03-31 Tokyo Electron Ltd バッチ式コールドウォール処理装置及びそのクリーニング方法
JPH09330884A (ja) * 1996-06-07 1997-12-22 Sony Corp エピタキシャル成長装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
WO2013146278A1 (ja) * 2012-03-30 2013-10-03 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JPWO2013146278A1 (ja) * 2012-03-30 2015-12-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9546422B2 (en) 2012-03-30 2017-01-17 Hitachi Kokusai Electric Inc. Semiconductor device manufacturing method and substrate processing method including a cleaning method
JP2019052339A (ja) * 2017-09-13 2019-04-04 東京エレクトロン株式会社 排気管のクリーニング方法
US11894257B2 (en) 2017-10-27 2024-02-06 Applied Materials, Inc. Single wafer processing environments with spatial separation
JP7451601B2 (ja) 2017-10-27 2024-03-18 アプライド マテリアルズ インコーポレイテッド 空間分離を伴う単一ウエハの処理環境
JP2019196545A (ja) * 2018-05-11 2019-11-14 ウォニク アイピーエス カンパニー リミテッドWonik Ips Co.,Ltd. 薄膜形成方法
JP7210864B2 (ja) 2018-05-11 2023-01-24 ウォニク アイピーエス カンパニー リミテッド 薄膜形成方法

Also Published As

Publication number Publication date
US6576062B2 (en) 2003-06-10
JP2008240154A (ja) 2008-10-09
JP4817267B2 (ja) 2011-11-16
US20010007244A1 (en) 2001-07-12
JP4817268B2 (ja) 2011-11-16
JP4817266B2 (ja) 2011-11-16
JP2008190045A (ja) 2008-08-21
JP2008208462A (ja) 2008-09-11

Similar Documents

Publication Publication Date Title
JP4817267B2 (ja) 成膜装置および成膜方法
JP4817210B2 (ja) 成膜装置および成膜方法
US7763115B2 (en) Vacuum film-forming apparatus
JP5482196B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP4564498B2 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
JP5780062B2 (ja) 基板処理装置及び成膜装置
JP2008190046A5 (ja)
JP2001023966A (ja) 半導体装置の製造方法及び処理装置
JP7345410B2 (ja) 成膜方法及び成膜装置
JP2019003998A (ja) 成膜装置、成膜装置のクリーニング方法及び記憶媒体
KR102180823B1 (ko) 선택 성막 방법 및 반도체 장치의 제조 방법
US20210249265A1 (en) Deposition method and deposition apparatus
JP2018059173A (ja) 成膜方法
KR101862907B1 (ko) 성막 방법
JP6971887B2 (ja) 成膜方法及び成膜装置
KR101485506B1 (ko) 박막 증착방법
JP2004277772A (ja) 処理装置
JP6544232B2 (ja) 成膜方法及び成膜装置
JP6910118B2 (ja) 成膜方法および成膜システム、ならびに表面処理方法
WO2022080153A1 (ja) 基板処理方法および基板処理装置
JP7243521B2 (ja) 成膜方法及び成膜装置
JP7279605B2 (ja) 成膜装置及び成膜装置の運用方法
JP2006307303A (ja) 成膜装置
TWI576461B (zh) Thin film deposition method
JP2022049557A (ja) プラズマパージ方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080501

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110721

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110824

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110824

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140909

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees