JP2007141896A - 加熱装置、熱処理装置及び記憶媒体 - Google Patents

加熱装置、熱処理装置及び記憶媒体 Download PDF

Info

Publication number
JP2007141896A
JP2007141896A JP2005329381A JP2005329381A JP2007141896A JP 2007141896 A JP2007141896 A JP 2007141896A JP 2005329381 A JP2005329381 A JP 2005329381A JP 2005329381 A JP2005329381 A JP 2005329381A JP 2007141896 A JP2007141896 A JP 2007141896A
Authority
JP
Japan
Prior art keywords
heating
light
processed
thermoelectric conversion
heat treatment
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005329381A
Other languages
English (en)
Other versions
JP4940635B2 (ja
Inventor
Shigeru Kasai
河西  繁
Tomohiro Suzuki
智博 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2005329381A priority Critical patent/JP4940635B2/ja
Priority to PCT/JP2006/321764 priority patent/WO2007058068A1/ja
Priority to CN2006800016272A priority patent/CN101091236B/zh
Priority to KR1020087011511A priority patent/KR101089929B1/ko
Publication of JP2007141896A publication Critical patent/JP2007141896A/ja
Priority to US12/120,637 priority patent/US8041197B2/en
Application granted granted Critical
Publication of JP4940635B2 publication Critical patent/JP4940635B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)

Abstract

【課題】LED素子から射出する加熱用の光の波長を最適化することによって、半導体ウエハ等の被処理体の表面のみを浅く、且つ膜種に関係なく均一な温度分布の状態で高速昇温及び高速降温させることが可能な加熱装置を提供する。
【解決手段】被処理体Wを加熱するための加熱装置62において、前記被処理体に向けて波長が360〜520nmの範囲内の加熱用の光を射出するLED素子74を含む複数の加熱光源を有する。これにより、半導体ウエハ等の被処理体の表面のみを浅く、且つ膜種に関係なく均一な温度分布の状態で高速昇温及び高速降温させる。
【選択図】図1

Description

本発明は、半導体ウエハ等に対して加熱用の光を照射する加熱装置、これを用いて半導体ウエハ等に所定の熱処理を行う枚葉式の熱処理装置及び記憶媒体に関する。
一般に、半導体デバイスを製造するには、半導体ウエハに成膜処理、パターンエッチング処理、酸化拡散処理、改質処理、アニール処理等の各種の熱処理を繰り返し行なって所望のデバイスを製造するが、半導体デバイスが高密度化、多層化及び高集積化するに伴ってその仕様が年々厳しくなっており、これらの各種の熱処理のウエハ面内における均一性の向上及び膜質の向上が特に望まれている。例えば半導体デバイスであるトランジスタのチャネル層等の処理を例にとって説明すると、このチャネル層等に不純物原子のイオン注入後に、原子構造を安定化させる目的でアニール処理が一般的に行われる。
ここで図13を参照して、トランジスタの一般的な構造の代表例としてゲート構造のチャネル層について説明する。図13中において、n型の不純物をドープしてなるn型シリコン基板等の半導体ウエハWの表面には、p型の不純物をドープしてなるp 濃度のソース2及びドレイン4がそれぞれ設けられると共に、各ソース2及びドレイン4の表面には、上記p 領域よりも不純物濃度が高くなされたp++領域6、8がそれぞれ形成されている。そして、上記ソース2及びドレイン4間には、例えばシリコン酸化膜等のゲート絶縁膜10を介して例えばポリシリコン層よりなるゲート電極12が形成されている。
また、このゲート電極12やゲート絶縁膜10の側壁側には例えばSiNよりなる絶縁層14が形成されている。そして、このように形成された微細なトランジスタは、ウエハ表面に多数形成されることになり、またその他に必要とされる他の微細な素子もウエハ表面上に多数形成される。尚、このトランジスタは単なる一例を示したに過ぎず、用途に応じて多種多用な膜種が用いられている。そして、上述したように、不純物がドープされた領域の原子構造を安定化させる目的でアニール処理が行われる。
この場合、上記アニール処理を長時間行うと原子構造は安定化するが、不純物原子が膜厚方向へ奥深くまで拡散して下方へ突き抜けてしまうので、極力短時間で行う必要がある。すなわち、チャネル層などの膜厚を薄くしつつ、且つ突き抜けも生ずることなく原子構造を安定化させるためには、半導体ウエハをアニール温度まで高速で昇温し、且つアニール処理後にあっては拡散が生じないような低い温度まで高速で降温させることが必要となる。
このようなアニール処理を可能とするために、従来の処理装置では、加熱ランプを用いたランプアニールが一般的に行われている(特許文献1)。そして、この加熱ランプとしては、例えばハロゲンランプやフラッシュランプ等が用いられる。
また他の従来の処理装置としては、例えば特許文献2に示すように、ウエハステージにペルチェ素子を設け、100〜250℃程度でウエハをエッチングする際に、昇降温時に上記ペルチェ素子を用いるようにした処理装置がある。
そして、最近にあっては、比較的大出力が可能となるように開発され、且つ加熱源や光源としてLED素子やレーザが用いられる傾向にある(特許文献3〜5)。このLED素子やレーザにあっては、素子自体の発熱は加熱ランプと比較して非常に少なく、且つ寿命も加熱ランプと比較してかなり長く、しかも、熱容量が少ないことから急速降温に有利なので多用される傾向にある。
例えば特許文献3においては、ヒートパイプとLED素子とを組み合わせたランプが開示されており、特許文献4においては、LED素子やレーザでレジストを加熱するようにした点が開示されており、また特許文献5には、CVD処理を行うためにLED素子アレイを用いるようにした点が開示されている。
米国特許第5689614号 特開2001−85408号公報 特開2004−296245号公報 特開2004−134674号公報 米国特許第6818864号
ところで、前述したように、熱処理を行う場合には、ウエハ表面の温度分布が均一になるように加熱するだけではなく、ウエハ温度を短時間で昇降温させる必要がある。
しかも、半導体デバイスの更なる高速化及び高微細化の要請により、ソース2やドレイン4等の不純物注入領域に関しては、更に浅く、且つ不純物濃度も更に高くすることが求められている。従って、これらの領域をアニールする際には、不純物の基板厚さ方向への拡散をできるだけ抑制するために、より速い速度での高速昇温及び高速降温を行うことが求められている。
しかしながら、加熱手段として上記したハロゲンランプを用いたアニール処理の場合、射出される加熱用の光の中心波長が、例えば1〜3μmであって、その波長帯域は3〜5μmと広く、且つ波長が長いので、ウエハ表面の浅い部分のみを加熱したいにもかかわらず、ウエハ表面の深い位置まで光が届いてウエハ表面の深い部分(深部)まで加熱されてしまい、この結果、不純物がウエハ表面の深い部分まで拡散してしまう、といった問題があった。
また、射出する光の波長が上述のように長いことから、ウエハ表面の深い位置の不必要な部分まで加熱してしまうことから、昇温効率も低下してしまうので、その分、高出力が必要とされ、エネルギー効率が低下する原因となっていた。
また、加熱手段としてフラッシュランプを用いたアニール処理の場合、このフラッシュランプから射出される光の波長は、上記したハロゲンランプよりはかなり狭いが、それでも図14に示すフラッシュランプの波長帯域に見られるように、500nm付近を中心波長としてかなり広い1μm程度の帯域であるため、上記したハロゲンランプの場合と同様に、ウエハの深部まで加熱してしまう、という問題点を有していた。
これに対して、加熱手段としてレーザやLED(Light Emitting Diode)素子を用いる場合には、上述したような問題点をなくすことができ、例えばウエハ表面のみを効率的に加熱することができる。しかしながら、レーザに代表されるArFレーザ(エキシマレーザ:中心波長193nm)やKrFレーザ(エキシマレーザ:中心波長248nm)の場合には、これらより放射される光の帯域幅が1μm以下であって、前述した場合とは逆に狭過ぎてしまい、その結果、膜種や波長によって微細領域において横方向ストレスの発生原因となる温度差が生じたり、或いは部分的に溶融するなどの問題があった。更に、光路断面積も狭いために、ウエハ表面全面に亘って加熱するためには、レーザ光を走査機構でスキャニングさせなければならないので、構造が複雑になる、という問題もあった。
またLED素子の場合には、放射される光の波長は、使用する素子にもよるが、例えば300〜950nmの範囲内で100nm程度の帯域幅を有しているので、射出される光はレーザの場合よりも広く、且つ上記フラッシュランプやハロゲンランプの場合よりも狭い帯域を有しているので、膜質による加熱温度の選択性も抑制され、その結果、ウエハ表面側を比較的均一に加熱できる特性を有している。
しかしながら、単にLED素子を用いただけでは、射出する光の波長が最適化されていないので、最近の設計ルールで要求されている程度に不純物拡散領域の深さを浅く維持し、且つ高い不純物濃度を維持するために、ウエハ表面のみを選択的に且つ均一に加熱することは困難であった。
本発明は、以上のような問題点に着目し、これを有効に解決すべく創案されたものである。本発明の目的は、LED素子から射出する加熱用の光の波長を最適化することによって、半導体ウエハ等の被処理体の表面のみを浅く、且つ膜種に関係なく均一な温度分布の状態で高速昇温及び高速降温させることが可能な加熱装置、これを用いた熱処理装置及び記憶媒体を提供することにある。
請求項1に係る発明は、被処理体を加熱するための加熱装置において、前記被処理体に向けて波長が360〜520nmの範囲内の加熱用の光を射出するLED(Light Emitting Diode)素子を含む複数の加熱光源を有することを特徴とする加熱装置である。
このように、前記被処理体に向けて波長が360〜520nmの範囲内の加熱用の光を射出するLED素子を含む複数の加熱光源を有するようにしたので、LED素子から射出する加熱用の光の波長は最適化され、この結果、半導体ウエハ等の被処理体の表面のみを浅く、且つ膜種に関係なく均一な温度分布の状態で高速昇温及び高速降温させることができる。
この場合、例えば請求項2に規定するように、前記LED素子は、紫外光を射出する紫外光LED素子と紫色光を射出する紫色光LED素子と青色光を射出する青色光LED素子の内の少なくとも一種よりなる。
また例えば請求項3に規定するように、前記青色光LED素子は、中心波長が470nmの加熱用の光を射出する。
また例えば請求項4に規定するように、前記各加熱光源には、該加熱光源からの光を反射して前記被処理体に向ける第1のリフレクタがそれぞれ設けられる。
また例えば請求項5に規定するように、前記各第1のリフレクタからの反射光は、それぞれ前記被処理体の異なる領域に向けて集光するように設定されている。
また例えば請求項6に規定するように、前記第1のリフレクタの反射面は曲面状に成形されている。
また例えば請求項7に規定するように、前記第1のリフレクタは、アルミニウムまたはアルミニウムの表面にフッ化マグネシウム膜をコーティングして形成される。
また例えば請求項8に規定するように、前記各加熱光源は、ヒートパイプよりなる素子取付棒と、該素子取付棒の先端部に取り付けられた複数の前記LED素子とよりなる。
また例えば請求項9に規定するように、前記各加熱光源は、該加熱光源の基部をハウジングに支持させている。
また例えば請求項10に規定するように、前記ハウジングは、ドーム状に成形されており、その内側は曲面状に成形された反射面よりなる第2のリフレクタとして形成されている。
また例えば請求項11に規定するように、前記第2のリフレクタは、アルミニウムまたはアルミニウムの表面にフッ化マグネシウム膜をコーティングして形成される。
また例えば請求項12に規定するように、前記ハウジングには、前記素子取付棒の基部側を冷却するための取付棒冷却手段が設けられる。
また例えば請求項13に規定するように、前記各素子取付棒は、前記被処理体の表面に対して直交する方向、またはこの直交する方向に近似する方向に沿って設けられる。
また例えば請求項14に規定するように、前記被処理体の温度を測定するための放射温度計を有し、該放射温度計の測定波長帯域を、前記LED素子からの光の波長帯域とは異なるように設定している。
請求項15に係る発明は、被処理体に対して所定の熱処理を施すようにした熱処理装置において、排気可能になされた処理容器と、前記処理容器内に設けられてその上面側に前記被処理体を載置させるための載置台と、前記処理容器の天井部を気密に覆う光透過窓と、前記処理容器内に向けて必要なガスを導入するガス導入手段と、前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する前記いずれかに記載の加熱装置と、を備えたことを特徴とする熱処理装置である。
この場合、例えば請求項16に規定するように、前記載置台の上部には、複数の熱電変換素子が設けられる。
また例えば請求項17に規定するように、前記複数の熱電変換素子の近傍には、必要時に熱媒体を流す熱媒体流路が設けられている。
また例えば請求項18に規定するように、前記熱処理装置は、該熱処理装置全体の動作を制御するための制御手段を有し、該制御手段は、前記被処理体の加熱時には前記加熱装置をオンすると共に前記熱電変換素子に前記被処理体を加熱するような方向へ電流を流し、前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御する。
また例えば請求項19に規定するように、前記熱処理装置は、該熱処理装置全体の動作を制御するための制御手段を有し、該制御手段は、前記被処理体の加熱時には先に前記熱電変換素子をオンして前記被処理体を加熱するような方向へ電流を流して予備加熱した後、前記加熱装置をオンし、前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御する。
また例えば請求項20に規定するように、前記制御手段は、前記熱電変換素子に対して個別に電流の方向と電力とを制御することができ、前記被処理体の加熱時には前記被処理体の温度の面内均一性を高めるために前記熱電変換素子を素子単位で加熱、或いは冷却するように制御する。
また例えば請求項21に規定するように、前記光透過窓は石英ガラスよりなる。
請求項22に係る発明は、排気可能になされた処理容器と、前記処理容器内に設けられてその上面側に被処理体を載置させるための載置台と、前記載置台の上部に設けられる複数の熱電変換素子と、前記処理容器の天井部を気密に覆う光透過窓と、前記処理容器内に向けて必要なガスを導入するガス導入手段と、前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する前記いずれかに記載の加熱装置と、を有する熱処理装置を用いて被処理体に対して所定の熱処理を施すに際して、前記被処理体の加熱時には前記加熱装置をオンすると共に前記熱電変換素子に前記被処理体を加熱するような方向へ電流を流し、前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御するプログラムを記憶することを特徴とする記憶媒体である。
請求項23に係る発明は、排気可能になされた処理容器と、前記処理容器内に設けられてその上面側に被処理体を載置させるための載置台と、前記載置台の上部に設けられる複数の熱電変換素子と、前記処理容器の天井部を気密に覆う光透過窓と、前記処理容器内に向けて必要なガスを導入するガス導入手段と、前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する請求項1乃至14のいずれかに記載の加熱装置と、を有する熱処理装置を用いて被処理体に対して所定の熱処理を施すに際して、前記被処理体の加熱時には先に前記熱電変換素子をオンして前記被処理体を加熱するような方向へ電流を流して予備加熱した後、前記加熱装置をオンし、前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御するプログラムを記憶することを特徴とする記憶媒体である。
本発明に係る加熱装置、これを用いた熱処理装置及び記憶媒体によれば、次のように優れた作用効果を発揮することができる。
被処理体に向けて波長が360〜520nmの範囲内の加熱用の光を射出するLED素子を含む複数の加熱光源を有するようにしたので、LED素子から射出する加熱用の光の波長は最適化され、この結果、半導体ウエハ等の被処理体の表面のみを浅く、且つ膜種に関係なく均一な温度分布の状態で高速昇温及び高速降温させることができる。
以下に本発明に係る加熱装置、熱処理装置及び記憶媒体の一実施例を添付図面に基づいて詳述する。
図1は本発明の熱処理装置の一例を示す断面構成図、図2は熱電変換素子の配列状態を示す平面図、図3は処理容器から加熱装置を見た時のリフレクタの配列を示す図、図4は加熱光源の半導体光射出素子から放出された加熱用の光の光路を示す図、図5は半導体光射出素子が取り付けられた素子取付棒を示す拡大断面図、図6は素子取付棒の先端部分を示す拡大斜視図である。
図1に示すように、この熱処理装置22は、例えばアルミニウムにより筒体状に成形された処理容器24を有している。この処理容器24は例えば300mmウエハを収容できるような大きさに設定されている。この処理容器24の天井部は開口されており、この開口部には、Oリング等のシール部材26を介して後述する加熱用の光に対して透明な光透過窓28が気密に設けられている。この光透過窓28の材料としては、本発明で用いる加熱用の光の波長に対して特に透明な例えば石英ガラス等が用いられる。
また、この処理容器24の側壁には、開口27が設けられると共に、この開口27には半導体ウエハWを搬出入する際に開閉されるゲートバルブ30が設けられる。また処理容器24の他の側壁には、処理時に必要なガスを内部へ導入するガス導入手段としてのガスノズル32が設けられている。また処理容器24の底部の周辺部には、排気口34が形成されており、この排気口34には図示しない真空ポンプが介設された排気系が接続されて、処理容器24内の雰囲気を例えば真空排気可能としている。尚、処理によっては処理容器24内は大気圧程度に維持される。またこの処理容器24の底部は大きく開口され、この開口に例えばOリング等のシール部材36を介在させて底部を兼ねる肉厚な載置台38が気密に取り付け固定されている。
この載置台38は、例えばアルミニウム製の肉厚な載置台本体40と、この上部に設けられる複数の熱電変換素子42と、この熱電変換素子42の上面側に設置される薄い円板状の載置板44とにより構成され、この載置板44上に被処理体である半導体ウエハWを直接的に載置するようになっている。具体的には、上記熱電変換素子42としては、例えばペルチェ素子が用いられる。このペルチェ素子は、異種の導体や半導体を電極によって直列に接続し電流を流すと接点間でジュール熱以外に熱の発生や吸熱が生じる素子であり、例えば200℃以下の温度での使用に耐え得るBi Te (ビスマス・テルル)素子、より高温で使用できるPbTe(鉛・テルル)素子、SiGe(シリコン・ゲルマニウム)素子等によって形成されており、熱電変換素子制御部46にリード線48を介して電気的に接続されている。熱電変換素子制御部46は、前記ウエハWの熱処理時に熱電変換素子に供給される電流の方向や大きさを制御する。
図2にペルチェ素子よりなる熱電変換素子42の配列の一例を示す。図2においては、直径が300mmのウエハWに対して60個の熱電変換素子42を前記載置板44の裏面側に略全面にわたってほとんど隙間なく敷き詰めた例を示している。このように熱電変換素子42を密接させて配置すると、ウエハWと載置板44を均一に加熱することができる。熱電変換素子42の形状は、四角形に限らず、円形や六角形であってもよい。ここで熱電変換とは、熱エネルギーを電気エネルギーに、また電気エネルギーを熱エネルギーに変換することを言う。尚、ここで説明した上記熱電変換素子42は、後述する本発明の特徴とするLED素子を用いた加熱装置のみで必要とする熱処理が行われるように設計した場合には、設けなくてもよい。
図1に戻って、上記載置台本体40の内部には、熱媒体流路50がその平面方向の略全面に亘って形成されている。この熱媒体流路50は、上記熱電変換素子42の下部に設けられており、ウエハWの降温時に熱媒体として冷媒(水)を供給することにより、上記熱電変換素子42の下面から温熱を奪ってこれを冷却するように構成されている。また、ウエハWの昇温時には必要に応じて温媒を供給することにより、熱電変換素子42の下面から冷熱を奪ってこれを加熱するように構成されている。尚、熱媒体流路50は、熱媒体を送給する媒体循環器52に熱媒体導入管54と熱媒体排出管56を介して接続されている。これにより、媒体循環器52は熱媒体を熱媒体流路50に循環供給する。
また上記熱電変換素子42上に設置される載置板44の材料としては、SiO 材、AlN材、SiC材、Ge材、Si材、金属材等によって製作される。載置台38にはウエハWを昇降する図示しない昇降機構が設けられ、この昇降機構は、載置台本体40及び載置板44を貫通してウエハWを下から支持する複数本の昇降自在な支持ピンと、これらの支持ピンを昇降させる駆動装置等で構成されている。
また、載置台本体40には、これを上下方向に貫通する貫通孔57が形成されており、ここに放射温度計58が設置される。具体的には、上記貫通孔57に上記載置板44の下面まで延びる光ファイバ60を気密状態で挿通して載置板44からの輻射光を案内し得るようになっている。そして、この光ファイバ60の端部には放射温度計本体62が接続されており、所定の測定波長帯域の光より載置板44の温度、すなわちウエハ温度を測定できるようになっている。ここで上記放射温度計58の測定波長帯域は、後述するLED素子からの光の波長帯域とは異なるように設定されている。
そして、処理容器24の光透過窓28の上方には、上記ウエハWに向けて加熱用の光を照射する加熱装置62が設けられている。具体的には、この加熱装置62は、上記光透過窓28の上方を覆うようにして設けられるドーム状に成形されたハウジング64を有している。このドーム状のハウジング64は、例えばアルミニウム(アルミニウム合金を含む)や銅等の熱伝導性の良好な材料により形成されており、全体が例えば半球状に成形されている。このハウジング64の下端部の一部と処理容器24の上端部の一部との間には図示しないヒンジで接合されており、上記ハウジング64を展開可能としている。
このハウジング64の内周面には、例えばフッ化マグネシウム膜(MgF )や金メッキ等が施された高反射率の反射面となっており、第2のリフレクタ66として構成されている。尚、この第2のリフレクタ66としては、後述するようにアルミニウム(アルミニウム合金を含む)の表面にフッ化マグネシウム膜をコーティングしたものが特に好ましい。そして、このハウジング64の内周面側に、複数の加熱光源68が取り付けられて、これより加熱用の光(光線)を射出するようになっている。この加熱光源68は、ドーム状のハウジング64の内周面の略全域に亘って比較的均一等に分布させて設けられており、例えばここでは全体で39個程度設けられている。尚、図3においては加熱光源68の記載を省略している。
そして、図3及び図4にも示すように、各加熱光源68に対応させて、曲面状に窪ませて成形された第1のリフレクタ70がそれぞれ設けられている。この第1のリフレクタ70の内周面も、例えばフッ化マグネシウム膜や金メッキ等が施された高反射率の反射面となっている。尚、この第1のリフレクタ70は上記第2のリフレクタ66と同様に、アルミニウム(アルミニウム合金を含む)の表面にフッ化マグネシウム膜をコーティングしたものが特に好ましい。この第1のリフレクタ70の開口面は円形、或いは楕円形に成形されており、下方の載置台40側から見た投影図が同形になるように設定されている。ここで上述のようにハウジング64をドーム状の曲面形状にすることにより、平面形状の場合と比較して上記加熱光源68を多数取り付けることができ、その分、加熱用に大電力を投入することができる。
そして、上記各加熱光源68は、図5及び図6にも示すように、微小な棒状の素子取付棒72と、この略全体に取り付けられた本発明の特徴とする複数のLED素子74とよりなり、この素子取付棒72の基部を、上記ハウジング64の第1のリフレクタ70の中央部に設けた接続端子76(図4参照)に接続して取り付けることにより、この素子取付棒72を支持固定すると共に、必要とする電力を上記LED素子74に供給できるようになっている。上記接続端子76は、図示しない配線を介して電源系に接続されている。これにより、大部分の素子取付棒72はウエハWの表面に対して直交する方向、またはこの直交する方向に近似する方向に沿って設けられることになる。
そして、本発明では、このLED素子74としては、射出される加熱用の光の波長が360〜520nm範囲内(紫外光〜紫色光〜青色光に対応)のLED素子を用いており、後述するようにウエハ面内の膜種に関係なく、温度の面内均一性を維持したまま高速での昇温及び高速での降温を実現できるようになっている。すなわち、このLED素子74としては青色光を主体に出力する青色光LED素子や紫色光を主体に出力する紫色光LED素子を用い、一般的なLED素子では、出力される光の波長は100nm程度の広がりを有している。
そして、上記素子取付棒72は、中空状になされた例えばヒートパイプよりなり、図5にも示すように、その内面にはウィック78が貼り付けられると共に、内部には作動流体が密封されている。この素子取付棒72は、例えばアルミニウムや銅のような熱伝導性の良好な金属材料よりなる。この素子取付棒72は、多角形、例えば図6に示す場合には六角形に成形されており、その略全体の側面に上記LED素子74を集中させて取り付けており、全体として近似的に点光源とみなせる程度の大きさになっている。このLED素子74は、現状の技術ですでに1個当たりの素子で高出力が得られるものが開発されている。例えばLED素子の1素子当たり最大30W程度の高出力が得られる素子が開発されている。例えば、ここでは上記六角形の素子取付棒72の各面に、それぞれ42個のLED素子74を配列させて設け、従って、1本の素子取付棒72に対して252個(=42個×6列)のLED素子74を取り付けている。
ここで1つのLED素子74の出力を7.5W(ワット)と仮定すると、1つの加熱光源68からは、7.5W×252=1890W(ワット)の高出力が得られることになる。そして、加熱光源68の全体の数が上述のように39個と仮定すると、全出力は1890W×39個=73.71kWになる。この出力は、ウエハに対して1000℃/secの昇温速度を得るのに必要なエネルギーである。尚、上記素子取付棒72自体にも、上記接続端子76と各LED素子74とを電気的に接続する図示しない配線が設けられている。
ここで上記素子取付棒72の全体の長さは、60mm程度であり、また六角形の一辺の長さL1は1〜3mm程度であり、非常に小型化されている。
ここで、図4に示すように、上記第1のリフレクタ70の曲面形状を2つの焦点f1、f2を有する回転楕円面と仮定し、点光源とみなせる加熱光源68のLED素子74群を焦点f1に設置すると、加熱光源68から放射された光のうち第1のリフレクタ70で反射した反射光80Aは第2の焦点f2に集光する。ただし、実際には完全な点光源ではないので、加熱光源68から出て第1のリフレクタ70で反射した反射光80Aであってもその一部は拡散して第2の焦点f2に集光せずその周りを照射する。また、加熱光源68から出射し第1のリフレクタ70に当たらない直射光80Bの一部はウエハWの表面を直接照射し、他の一部は第2のリフレクタ66に当たって反射した後、ウエハWの表面を照射する。ウエハWを照射する光のうち、ウエハWに吸収される量は最大でも70%程度であり、残りは反射または透過する。このうち反射した光は第2のリフレクタ66で反射することにより再度ウエハWを照射する。そして直射光のうち載置台38や底板を照射する光が損失となる。この損失となる光線の量は、第1のリフレクタ70の大きさ、傾き、開口径等を変えることにより極力少なくすることができる。
加熱光源68の数は、ウエハWの大きさ、加熱光源1つ当たりのウエハWの照射面積S1、ウエハWの昇温レートの設計指標、加熱光源68全体のパワー、第2のリフレクタ66の直径等によって決定される。
ここで各加熱光源68より照射される照射面積S1の領域は、ウエハWの表面においてそれぞれ異なる領域に向けて集光するように設定され、ウエハWの表面の全域をカバーできるように設定されている。
そして、図1に戻って、上記加熱光源68を設けたハウジング64には、上記素子取付棒72の基部側を冷却するための取付棒冷却手段82が設けられる。具体的には、この取付棒冷却手段82は、上記素子取付棒72の基部の近傍を通るように形成された冷媒通路84を有しており、冷媒入口84Aから冷却媒体として例えば冷却水を導入し、冷媒出口84Bから排出するようになっている。尚、上記ハウジング64の内側空間を空冷するようにしてもよい。また、前述した放射温度計58の測定波長帯域は、測定誤差の原因となる迷光を発生させないために、上記LED素子74の光の波長(360〜520nm)とは異なるように設定し、例えば3μm程度の波長を測定波長帯域として設定する。
そして、この熱処理装置22の全体は、例えばマイクロコンピュータ等よりなる制御手段86により制御される。そして、この制御手段86は、この装置全体の動作を制御するためのプログラムを記憶するための例えばフレキシブルディスク、フラッシュメモリ、ハードディスク、DVD、CD−ROM等よりなる記憶媒体88を有している。
次に、以上のように構成された熱処理装置22によるウエハWに対する熱処理動作について説明する。上述したように、以下に説明する動作は、上記記憶媒体88に記憶されたプログラムに基づいて行われる。ここでは表面に不純物が注入されたウエハWをアニールする場合を例にとって説明する。
まず、一般的な動作について説明すると、処理容器24の側壁に設けられているゲートバルブ30を開き、処理すべきウエハWを開口27より処理容器24内に搬入し、これを載置台38の載置板44上に載置する。この後、ゲートバルブ30を閉じて処理容器24を密閉する。次に、排気手段によって処理容器24内を真空排気してガス供給源より供給される処理ガス、例えばアルゴンガスや窒素ガスに置換し、所定のプロセス圧力(例えば100〜10000Pa)に維持する。
次に、ペルチェ素子よりなる熱電変換素子42に通電してウエハWを予備加熱する。予備加熱温度は500〜600℃程度である。この予備加熱温度では、ウエハWに注入されている不純物が拡散することはない。
ウエハWの温度は放射温度計58によって検出されており、この放射温度計58が所定の予備加熱温度になったことを検出すると、加熱装置62の全ての加熱光源68をオンして各LED素子74から光を放射し、この光でウエハWの表面を照射して所定の処理温度(例えば1000℃)まで瞬時に昇温させる。この際、熱電変換素子42に供給する電力も例えばフルパワーとしてウエハWを迅速に昇温させる。
尚、この時、ウエハWの温度の面内均一性が特に重要な場合には、各熱電変換素子42に対して個別に電流の方向と電力とを制御することにより熱電変換素子42単独で加熱、或いは冷却し、これにより加熱装置62の加熱光源68の熱の不均一を補正するようにしてもよい。これにより、ウエハ温度の面内均一性を更に向上させることができる。
また、載置台38に熱電変換素子42を設けていない場合には、加熱装置62の駆動で上記予備加熱及び処理温度までの昇温を行う。そして、この高温状態を所定の時間維持することにより、アニール処理を行う。このように、ウエハWは上下両面より加熱されることになり、例えば100〜1000℃/sec程度まで昇温速度を上げて高速昇温を実現することができる。
特に、高出力が可能なLED素子74を複数個集合して点光源化した加熱光源68を多数個配置し、各加熱光源68より高出力の加熱用の光を照射するようにしたので、ウエハ面上における光の照度を非常に高くすることができ、迅速な昇温が可能となる。特に、本発明では、LED素子74から出力される光の波長を、膜種による光の反射特性(吸収特性)に依存性が少なく、且つウエハの深さ(厚さ)方向への透過が少なくてウエハ表面側のみを選択的に加熱し得るような波長帯域、すなわち360〜520nmの範囲内となるように設定するようにしたので、ウエハ表面の温度の面内均一性を維持しつつ、ウエハ表面の浅い部分だけを高速に昇温させることができる。尚、この場合、加熱部位の深さを制御するには、光の照射時間をコントロールすればよいのは勿論である。
このアニール処理時には、ペルチェ素子よりなる熱電変換素子42の裏面側には冷熱が発生するので、この冷熱を排除するために載置台本体40に設けた熱媒体流路50には加熱媒体を流すようにし、熱電変換素子42を効率的に動作させるのがよい。
また、加熱装置62のLED素子74は、多くのジュール熱の発生を伴う抵抗加熱ヒータとは発光の形態が異なるとはいえ、これ自体に或る程度の発熱が生じることは避けられない。しかし、このLED素子74が取り付けられている素子取付棒72はヒートパイプで構成されているので、上記LED素子74で発生した熱を素子取付棒72の他端へ搬送してこれをアルミニウム等よりなるハウジング64側へ伝搬し、更に、このハウジング64に設けた取付棒冷却手段82の冷媒通路84に冷却水を流して熱を排出するようにしているので、LED素子74及び素子取付棒72を効率的に冷却することができる。
また、ヒートパイプよりなる素子取付棒72の多くは、ウエハWの表面に対して直交する方向、またはこの直交する方向に近似する方向に沿って設けられているので、主として重力によって動作するヒートパイプを効率的に動作させることができ、その分、LED素子74の冷却効率を高めることができる。
また第1のリフレクタ70及び第2のリフレクタ66により、発光効率の高いLED素子74から射出された光を効率的に反射し、且つ均一にウエハ面に照射することができるので、加熱効率を向上させることができ、しかもウエハ温度の面内均一性を高めることができる。特に、上記リフレクタ66、70の表面にMgF 膜をコーティングした場合には、反射率を高めることができるので、一層効率的な加熱を行うことができる。
このようにして、所定の短時間だけアニール処理を行ったならば、ウエハW中の不純物が過度に拡散することを防止するために、ウエハWをできるだけ速く冷却する。すなわち、この場合にはウエハ温度を高速降温させるために、ペルチェ素子よりなる熱電変換素子42に加熱時とは反対方向へ電流を流してその上面を冷却する。これにより、載置板44が冷却されてウエハWを急激に冷却する。この時、熱電変換素子42の下面は温熱が発生して加熱されるので、これを冷却するために、熱媒体流路50へは、ウエハ加熱時とは逆に冷却媒体を流すようにする。これにより、熱電変換素子42を効率的に動作させることができる。
そして、上記動作と同時に、ハウジング64に設けた加熱装置62の各加熱光源68をオフし、これに供給していた電力を遮断する。この時、取付棒冷却手段82の冷媒通路84には継続して冷媒、例えば冷却水が流れているので各加熱光源68の素子取付棒72及びLED素子74が降温される。この場合、ウエハ加熱源として加熱ランプを用いた場合には、加熱ランプ自体が大きな熱容量を有し、しかも消灯しても加熱ランプ自体が高温状態になっているので、この加熱ランプ自体が発する輻射熱によりウエハが加熱されてしまい、冷却手段を用いても降温速度に限界が生じ、降温速度をより大きくすることは困難であるが、本発明装置のように、素子自体の発熱量が非常に少ないLED素子74を用い、しかも、このLED素子74や素子取付棒72を取付棒冷却手段82で冷却するので、素子自体の発熱量を抑制できるのみならず、これらを迅速に冷却することができるので、放出する輻射熱を大幅に削減でき、この結果、ウエハWの降温速度を大幅に向上させて高速降温を実現することができる。
この場合、ウエハ加熱時に説明したように、素子取付棒72としてヒートパイプを用い、且つ多くの素子取付棒72はヒートパイプが効率的に動作するようにウエハ表面に直交する方向(鉛直方向)、或いはこれに近似する方向に沿って設けられているので、より効果的乃至効率的にLED素子74を冷却することができ、この結果、より大きな降温速度での高速降温を行うことができる。本発明装置によれば、ウエハを例えば100〜150℃/sec程度の高速の降温速度で冷却することができる。またLED素子74を加熱ランプと比較して長寿命化させることができる。
尚、上記実施例においては、加熱光源68毎に設けた第1のリフレクタ70の曲面形状を回転楕円面としたが、これに限定されず、回転楕円面に近似する曲面、例えば回転放物面(パラボラ状)或いは半球面等に設定してもよい。
また、ハウジング64に設けた各加熱光源68を例えば同心円状の複数のゾーン毎に区画し、ゾーン毎に供給電力を制御できるようにしてもよい。
また、ガス導入手段32としてはノズルに限定されず、例えば加熱用の光に対して透明な材料、例えば石英製のシャワーヘッド構造を用いるようにしてもよい。
更には、上記実施例では、ハウジング64を半球状の曲面形状(ドーム状)に成形した場合を例にとって説明したが、これに限定されず、回転楕円形状、或いはこれに近似する曲面形状、更には、加熱光源68の取り付け個数は少なくなるが、平面形状に成形するようにしてもよく、いずれにしても、各加熱光源68の出力パワーやウエハWの加熱温度等に依存して設計される。
次に、本発明に用いるLED素子74から出射される光の波長を360〜520nmの範囲内、すなわち紫色光(一部紫外光を含む)から青色光の範囲内に限定した理由について説明する。
先に図13を参照して説明したように、トランジスタ等のデバイスにおいては、更なる高速動作化及び高集積化の要請により、不純物がドープされたソースやドレイン等の拡散領域は、不純物濃度がより高く、且つその拡散領域はより浅く(薄く)なる傾向にある。従って、ウエハをアニール処理する場合には、ウエハの深さ方向(厚さ方向)への不純物の拡散をできるだけ抑制するために、ウエハの表面部のみを迅速に昇温及び迅速に降温させる必要があり、また、ウエハ表面の微細な領域において横方向ストレスの発生を抑制するために、上記ウエハの昇降温時においても、ウエハ表面における温度分布が不均一になることを防止して面内温度の均一性をできるだけ維持することが必要である。
上記したような観点より、光の各波長に対する特性について評価を行った。
まず、半導体ウエハとしてシリコン基板の厚さ方向(深さ方向)への光の侵入深さの波長依存性について評価した。図7はシリコン基板の厚さ方向(深さ方向)への光の侵入深さの波長依存性を示すグラフである。ここでは光の波長を370(一部紫外光を含む)〜1000nmまで変化させ、その時のシリコン基板の厚さ方向(深さ方向)への透過率を測定した。ここで透過率が深さ方向において急激に減少することはウエハの表面部のみを加熱することを意味し、透過率が深さ方向において緩やかに減少することは、ウエハの深部まで加熱されることを意味する。このグラフから明らかなように、波長が短い程、深さ方向における透過率の減少が大きく、従って、波長が短い程、ウエハの表面部のみを選択的に加熱できることが判る。換言すれば、波長が長くなる程、ウエハの深部まで加熱できることが判る。この場合、最近の設計ルールでは、不純物の注入の深さは、ウエハ表面から最大50nm程度と非常に浅くなっている。従って、光の波長が700nm前後、或いはそれ以上では、ウエハの深部まで加熱されてしまうので好ましくなく、光の波長を470nm(青色光)前後よりも小さくする必要があることが判る。
ここで、シリコン基板に対する線吸収係数の光の波長依存性は、一般的には図8に示すような特性を示すことが知られている。図8はシリコン基板に対する線吸収係数の光の波長依存性を示すグラフである。ここでは光の波長は100〜1000nmの範囲で示されている。このグラフによれば、波長300nm(紫外光)をピークとしてその前後方向へ行く程、線吸収係数は次第に低下している。従って、波長300nm付近の光が最も効率よくウエハを加熱できることが判る。またこのグラフより光の波長が520nm付近よりも大きくなると、或いは180nm付近よりも小さくなると、線吸収係数が共に過度に小さくなってウエハの加熱効率が大幅に低下することが判る。
次に、ウエハ表面の各膜種に対する反射率の光の波長依存性について評価した。図9はウエハ表面の各膜種に対する反射率の光の波長依存性を示すグラフである。尚、ここで反射率が互いに差が少ない程、互いに差がより少ない昇温速度で加熱できることを意味する。ここでは、シリコン酸化膜(SiO )上に、SiN膜、TEOSによるSi膜、Poly Si膜をそれぞれ成膜して測定した。また参考としてBare Si(ベアシリコン)についても測定した。これらの各膜種は、図13に示すトランジスタのようにウエハ表面に微細な領域で各種の膜種が露出していることを想定している。
図9に示すように、各Bare Siを含む各膜種は、光の波長に依存して、その反射率が異なるパターンで上下に大きく変動している。しかしながら、各反射率間の差が最も少ない波長領域について検討すると、そのような領域は光の波長が360〜520nmの範囲であり、この360〜520nmの範囲では、各反射率の差は略0.15内に収まっている。このことは、ウエハ表面に互いに膜種の異なる複数の微少領域が存在しても、これらの微少領域間に大きな温度差を生ぜしめることなく温度が均一に分布した状態で昇温できることを意味する。
換言すれば、膜種の異なる微少領域間に大きな温度差、すなわち温度分布が生じたまま昇温されると、熱膨張差に起因してその微少領域間に大きな横方向ストレスがかかり、最悪の場合は素子自体が破損する恐れが生ずるが、上述のように光の波長を360〜520nmの範囲内に設定することにより、上記したような微少領域間における温度差に起因する素子自体の破損を防止することができる。この場合、図9より、各膜種の反射率の差をより小さくできることから、波長400〜470nmの範囲がより好ましいことが判る。
また、この光の波長360〜520nmの範囲は、先の図7にて説明した制限条件(470nm近傍より小)及び図8にて説明した制限条件(180〜520nmの範囲)も満足しており、この結果、LED素子としては360〜520nmの範囲内の波長の光を発生するLED素子を用いることが良好であることが確認できた。
前述したように、実際のLED素子では中心波長に対して100nm程度の広がりをもったブロードな光を発生する。そして、現在は、中心波長が470nmの青色光を発する青色LED素子が量産されているので、これを用いれば装置自体を安価に提供できる。その他に、紫外光を一部に含む、或いは含まない紫色光を発する紫色LED素子や紫外光を発する紫外光LED素子等も用いることができる。また、これらの各素子を混在させて設けるようにしてもよい。
次に、上述のようにして求めた光の波長360〜520nmの帯域に関して、Si基板の放射率(吸収)の波長と温度との依存性について検討を行ったので、その検討結果について説明する。図10はSi基板の放射率(吸収)の波長と温度との依存性を示すグラフである。このグラフは、T.Sato.Jpn.J.Appl.Phys.Vol.6(1967)339.において示されている。 このグラフでは、光の波長が0.4μm(400nm)近傍から20μm程度の範囲まで示されている。この図10より、波長400〜520nm(0.4〜0.52μm)の範囲では、ウエハ温度543°K(270℃)〜1073°K(800℃)の範囲に亘って、放射率(吸収)が0.5〜0.6の高い値に維持されていることが確認できた。このことは、ウエハを低温から高温まで高い放射率(吸収)で効率良く昇温できることを意味する。従って、上述したような波長360〜520nmの範囲内の光でウエハを加熱することにより、このウエハを効率良く昇温できることが確認できた。
次に、上述のようにして求めた光の波長360〜520nmの帯域に関して、リフレクタの材料について評価を行ったので、その評価結果について説明する。図11はリフレクタの材料と反射率の光の波長依存性を示すグラフである。
ここではリフレクタの材料として、Au(金)の場合と、Al(アルミニウム合金を含む)のみの場合と、Al(アルミニウム合金を含む)の表面にMgF (フッ化マグネシウム)膜をコーティングした場合について検討した。
このグラフから明らかなように、光の波長360〜520nmの範囲において、Auの場合は反射率は30〜40%程度であってあまり好ましくない。これに対して、Alのみの場合及びAlの表面にMgF をコーティングした場合には、反射率は80〜90%の範囲の高い値を示しており、従って、これらの材料が第1及び第2のリフレクタ70、66の材料として適していることを確認することができた。
次に、上述のようにして求めた光の波長360〜520nmの帯域に関して、石英ガラスの透過率について評価を行ったので、その評価結果について説明する。図12は石英ガラスの透過率の波長依存性を示すグラフである。
ここでは光の波長を150〜950nmの範囲で変化させている。このグラフから明らかなように、光の波長360〜520nmの範囲において、石英ガラスの透過率は90〜94%程度の高い値を示している。従って、処理容器24の天井部を構成する光透過窓28として石英ガラスを用いれば、光の吸収が少なくて透過率が高くなり、この石英ガラスが適していることを確認することができた。
またここでは熱処理としてアニール処理を例にとって説明したが、これに限定されず、酸化拡散処理、成膜処理、改質処理、エッチング処理等の他の熱処理においても本発明を適用することができる。
更には、ここでは被処理体として半導体ウエハを例にとって説明したが、これに限定されず、ガラス基板、LCD基板、セラミック基板等にも本発明を適用することができる。
本発明の熱処理装置の一例を示す断面構成図である。 熱電変換素子の配列状態を示す平面図である。 処理容器から加熱装置を見た時のリフレクタの配列を示す図である。 加熱光源の半導体光射出素子から放出された加熱用の光の光路を示す図である。 半導体光射出素子が取り付けられた素子取付棒を示す拡大断面図である。 素子取付棒の先端部分を示す拡大斜視図である。 シリコン基板の厚さ方向(深さ方向)への光の侵入深さの波長依存性を示すグラフである。 シリコン基板に対する線吸収係数の光の波長依存性を示すグラフである。 ウエハ表面の各膜種に対する反射率の光の波長依存性を示すグラフである。 Si基板の放射率(吸収)の波長と温度との依存性を示すグラフである。 リフレクタの材料と反射率の光の波長依存性を示すグラフである。 石英ガラスの透過率の波長依存性を示すグラフである。 トランジスタの一般的な構造の代表例であるゲート構造を示す図である。 フラッシュランプの波長帯域を示す図である。
符号の説明
22…熱処理装置
24…処理容器
28…光透過窓
32…ガスノズル(ガス導入手段)
38…載置台
42…熱電変換素子(ペルチェ素子)
50…熱媒体流路
58…放射温度計
62…加熱装置
64…ハウジング
66…第2のリフレクタ
68…加熱光源
70…第1のリフレクタ
74…LED素子
84…冷媒通路
86…制御手段
88…記憶媒体
W…半導体ウエハ(被処理体)。

Claims (23)

  1. 被処理体を加熱するための加熱装置において、
    前記被処理体に向けて波長が360〜520nmの範囲内の加熱用の光を射出するLED(Light Emitting Diode)素子を含む複数の加熱光源を有することを特徴とする加熱装置。
  2. 前記LED素子は、紫外光を射出する紫外光LED素子と紫色光を射出する紫色光LED素子と青色光を射出する青色光LED素子の内の少なくとも一種よりなることを特徴とする請求項1記載の加熱装置。
  3. 前記青色光LED素子は、中心波長が470nmの加熱用の光を射出することを特徴とする請求項2記載の加熱装置。
  4. 前記各加熱光源には、該加熱光源からの光を反射して前記被処理体に向ける第1のリフレクタがそれぞれ設けられることを特徴とする請求項1乃至3のいずれかに記載の加熱装置。
  5. 前記各第1のリフレクタからの反射光は、それぞれ前記被処理体の異なる領域に向けて集光するように設定されていることを特徴とする請求項4記載の加熱装置。
  6. 前記第1のリフレクタの反射面は曲面状に成形されていることを特徴とする請求項4または5記載の加熱装置。
  7. 前記第1のリフレクタは、アルミニウムまたはアルミニウムの表面にフッ化マグネシウム膜をコーティングして形成されることを特徴とする請求項4乃至6のいずれかに記載の加熱装置。
  8. 前記各加熱光源は、ヒートパイプよりなる素子取付棒と、該素子取付棒の先端部に取り付けられた複数の前記LED素子と、よりなることを特徴とする請求項1乃至7のいずれかに記載の加熱装置。
  9. 前記各加熱光源は、該加熱光源の基部をハウジングに支持させていることを特徴とする請求項1乃至8のいずれかに記載の加熱装置。
  10. 前記ハウジングは、ドーム状に成形されており、その内側は曲面状に成形された反射面よりなる第2のリフレクタとして形成されていることを特徴とする請求項9記載の加熱装置。
  11. 前記第2のリフレクタは、アルミニウムまたはアルミニウムの表面にフッ化マグネシウム膜をコーティングして形成されることを特徴とする請求項10記載の加熱装置。
  12. 前記ハウジングには、前記素子取付棒の基部側を冷却するための取付棒冷却手段が設けられることを特徴とする請求項10または11記載の加熱装置。
  13. 前記各素子取付棒は、前記被処理体の表面に対して直交する方向、またはこの直交する方向に近似する方向に沿って設けられることを特徴とする請求項8乃至12のいずれかに記載の加熱装置。
  14. 前記被処理体の温度を測定するための放射温度計を有し、該放射温度計の測定波長帯域を、前記LED素子からの光の波長帯域とは異なるように設定していることを特徴とする請求項1乃至13のいずれかに記載の加熱装置。
  15. 被処理体に対して所定の熱処理を施すようにした熱処理装置において、
    排気可能になされた処理容器と、
    前記処理容器内に設けられてその上面側に前記被処理体を載置させるための載置台と、
    前記処理容器の天井部を気密に覆う光透過窓と、
    前記処理容器内に向けて必要なガスを導入するガス導入手段と、
    前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する請求項1乃至14のいずれかに記載の加熱装置と、
    を備えたことを特徴とする熱処理装置。
  16. 前記載置台の上部には、複数の熱電変換素子が設けられることを特徴とする請求項15記載の熱処理装置。
  17. 前記複数の熱電変換素子の近傍には、必要時に熱媒体を流す熱媒体流路が設けられていることを特徴とする請求項15または16に記載の熱処理装置。
  18. 前記熱処理装置は、該熱処理装置全体の動作を制御するための制御手段を有し、該制御手段は、前記被処理体の加熱時には前記加熱装置をオンすると共に前記熱電変換素子に前記被処理体を加熱するような方向へ電流を流し、
    前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御することを特徴とする請求項15乃至17のいずれかに記載の熱処理装置。
  19. 前記熱処理装置は、該熱処理装置全体の動作を制御するための制御手段を有し、該制御手段は、前記被処理体の加熱時には先に前記熱電変換素子をオンして前記被処理体を加熱するような方向へ電流を流して予備加熱した後、前記加熱装置をオンし、
    前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御することを特徴とする請求項15乃至17のいずれかに記載の熱処理装置。
  20. 前記制御手段は、前記熱電変換素子に対して個別に電流の方向と電力とを制御することができ、前記被処理体の加熱時には前記被処理体の温度の面内均一性を高めるために前記熱電変換素子を素子単位で加熱、或いは冷却するように制御することを特徴とする請求項18または19に記載の熱処理装置。
  21. 前記光透過窓は石英ガラスよりなることを特徴とする請求項15乃至20のいずれかに記載の熱処理装置。
  22. 排気可能になされた処理容器と、
    前記処理容器内に設けられてその上面側に被処理体を載置させるための載置台と、
    前記載置台の上部に設けられる複数の熱電変換素子と、
    前記処理容器の天井部を気密に覆う光透過窓と、
    前記処理容器内に向けて必要なガスを導入するガス導入手段と、
    前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する請求項1乃至14のいずれかに記載の加熱装置と、
    を有する熱処理装置を用いて被処理体に対して所定の熱処理を施すに際して、
    前記被処理体の加熱時には前記加熱装置をオンすると共に前記熱電変換素子に前記被処理体を加熱するような方向へ電流を流し、
    前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御するプログラムを記憶することを特徴とする記憶媒体。
  23. 排気可能になされた処理容器と、
    前記処理容器内に設けられてその上面側に被処理体を載置させるための載置台と、
    前記載置台の上部に設けられる複数の熱電変換素子と、
    前記処理容器の天井部を気密に覆う光透過窓と、
    前記処理容器内に向けて必要なガスを導入するガス導入手段と、
    前記光透過窓の上方に設けられて前記被処理体に向けて加熱用の光を射出する請求項1乃至14のいずれかに記載の加熱装置と、
    を有する熱処理装置を用いて被処理体に対して所定の熱処理を施すに際して、
    前記被処理体の加熱時には先に前記熱電変換素子をオンして前記被処理体を加熱するような方向へ電流を流して予備加熱した後、前記加熱装置をオンし、
    前記被処理体の冷却時には前記加熱装置をオフすると共に前記熱電変換素子に前記被処理体を冷却するような方向へ電流を流すように制御するプログラムを記憶することを特徴とする記憶媒体。

JP2005329381A 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体 Active JP4940635B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2005329381A JP4940635B2 (ja) 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体
PCT/JP2006/321764 WO2007058068A1 (ja) 2005-11-14 2006-10-31 加熱装置、熱処理装置、コンピュータプログラム及び記憶媒体
CN2006800016272A CN101091236B (zh) 2005-11-14 2006-10-31 加热装置、热处理装置、计算机程序及存储介质
KR1020087011511A KR101089929B1 (ko) 2005-11-14 2006-10-31 가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체
US12/120,637 US8041197B2 (en) 2005-11-14 2008-05-14 Heating apparatus, heat treatment apparatus, computer program and storage medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005329381A JP4940635B2 (ja) 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体

Publications (2)

Publication Number Publication Date
JP2007141896A true JP2007141896A (ja) 2007-06-07
JP4940635B2 JP4940635B2 (ja) 2012-05-30

Family

ID=38048458

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005329381A Active JP4940635B2 (ja) 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体

Country Status (5)

Country Link
US (1) US8041197B2 (ja)
JP (1) JP4940635B2 (ja)
KR (1) KR101089929B1 (ja)
CN (1) CN101091236B (ja)
WO (1) WO2007058068A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012084756A (ja) * 2010-10-14 2012-04-26 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2012524400A (ja) * 2009-04-20 2012-10-11 アプライド マテリアルズ インコーポレイテッド Led基板処理
JP2013008752A (ja) * 2011-06-22 2013-01-10 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2014209556A (ja) * 2013-02-28 2014-11-06 ウルトラテック インク 発光ダイオードを利用した材料の製造システムおよび製造方法
KR20160024759A (ko) * 2014-08-26 2016-03-07 램 리서치 아게 웨이퍼 형상 물품들을 프로세싱하기 위한 방법 및 장치
CN106231696A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种加热装置及加热方法
JP2020077757A (ja) * 2018-11-08 2020-05-21 住友重機械工業株式会社 ウエハ保持装置及びレーザアニール方法
JP2020161677A (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2021182582A (ja) * 2020-05-19 2021-11-25 株式会社Screenホールディングス 熱処理装置
JP2022123646A (ja) * 2021-02-12 2022-08-24 ウシオ電機株式会社 温度測定方法、光加熱方法及び光加熱装置
JP7480656B2 (ja) 2020-09-23 2024-05-10 ウシオ電機株式会社 光源ユニット及び加熱処理装置

Families Citing this family (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
KR101107766B1 (ko) * 2003-10-09 2012-01-20 파나소닉 주식회사 접합 형성 방법 및 이를 이용하여 형성된 피처리물
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
CN101552212B (zh) * 2008-04-02 2011-01-12 展晶科技(深圳)有限公司 半导体元件与热管的接合方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010077132A1 (en) 2008-12-31 2010-07-08 Draka Comteq B.V. Uvled apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110020035A (ko) * 2009-08-21 2011-03-02 에이피시스템 주식회사 냉각수가 상하층으로 구분되어 흐르는 급속열처리장치의 히터블록
US20120074126A1 (en) * 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
DK2388239T3 (da) 2010-05-20 2017-04-24 Draka Comteq Bv Hærdningsapparat, der anvender vinklede UV-LED'er
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
EP2418183B1 (en) 2010-08-10 2018-07-25 Draka Comteq B.V. Method for curing coated glass fibres providing increased UVLED intensitiy
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9842753B2 (en) * 2013-04-26 2017-12-12 Applied Materials, Inc. Absorbing lamphead face
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
WO2015038433A1 (en) * 2013-09-11 2015-03-19 Heraeus Noblelight Fusion Uv Inc. Large area high-uniformity uv source with many small emitters
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101818720B1 (ko) * 2013-10-21 2018-01-16 에이피시스템 주식회사 가열 모듈 및 이를 가지는 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9130030B1 (en) * 2014-03-07 2015-09-08 Applied Materials, Inc. Baking tool for improved wafer coating process
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US9685303B2 (en) * 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
CN107660238A (zh) * 2015-05-29 2018-02-02 应用材料公司 具有反射体的处理腔室
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10180248B2 (en) 2015-09-02 2019-01-15 ProPhotonix Limited LED lamp with sensing capabilities
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7265314B2 (ja) * 2017-03-03 2023-04-26 株式会社Screenホールディングス 熱処理方法および熱処理装置
US11476167B2 (en) 2017-03-03 2022-10-18 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus of light irradiation type
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102337481B1 (ko) * 2017-12-15 2021-12-08 스미도모쥬기가이고교 가부시키가이샤 척플레이트, 어닐링장치, 및 어닐링방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI765571B (zh) * 2021-02-09 2022-05-21 華邦電子股份有限公司 熱板冷卻系統
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001099157A1 (en) * 2000-06-16 2001-12-27 Applied Materials, Inc. A semiconductor processing system with lamp cooling
JP2003077857A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
WO2004015348A1 (en) * 2002-08-09 2004-02-19 Asm America, Inc. Led heat lamp arrays for cvd heating
JP2004296245A (ja) * 2003-03-26 2004-10-21 Matsushita Electric Works Ltd Ledランプ
JP2005101237A (ja) * 2003-09-24 2005-04-14 Tokyo Electron Ltd 熱処理装置

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435092A (en) * 1980-07-25 1984-03-06 Nippon Steel Corporation Surface temperature measuring apparatus for object within furnace
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5802099A (en) * 1996-08-26 1998-09-01 Moore Epitaxial, Inc. Method for measuring substrate temperature in radiant heated reactors
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6156079A (en) * 1998-10-21 2000-12-05 Ho; Henry Window support member for a semiconductor processing system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2001085408A (ja) 1999-09-13 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
CN100373638C (zh) 2001-12-29 2008-03-05 杭州富阳新颖电子有限公司 发光二极管及其发光二极管灯
JP2004031557A (ja) * 2002-06-25 2004-01-29 Ushio Inc 光加熱装置
JP2004134674A (ja) 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
US20040253839A1 (en) 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP2005011941A (ja) 2003-06-18 2005-01-13 Tokyo Electron Ltd 半導体製造装置及び熱処理方法
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7351665B2 (en) * 2005-03-28 2008-04-01 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
US7133604B1 (en) * 2005-10-20 2006-11-07 Bergstein David M Infrared air heater with multiple light sources and reflective enclosure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001099157A1 (en) * 2000-06-16 2001-12-27 Applied Materials, Inc. A semiconductor processing system with lamp cooling
JP2003077857A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
WO2004015348A1 (en) * 2002-08-09 2004-02-19 Asm America, Inc. Led heat lamp arrays for cvd heating
JP2004296245A (ja) * 2003-03-26 2004-10-21 Matsushita Electric Works Ltd Ledランプ
JP2005101237A (ja) * 2003-09-24 2005-04-14 Tokyo Electron Ltd 熱処理装置

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101728796B1 (ko) * 2009-04-20 2017-04-20 어플라이드 머티어리얼스, 인코포레이티드 Led 기판 처리
JP2012524400A (ja) * 2009-04-20 2012-10-11 アプライド マテリアルズ インコーポレイテッド Led基板処理
JP2012084756A (ja) * 2010-10-14 2012-04-26 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2013008752A (ja) * 2011-06-22 2013-01-10 Tokyo Electron Ltd 熱処理装置及び熱処理方法
JP2014209556A (ja) * 2013-02-28 2014-11-06 ウルトラテック インク 発光ダイオードを利用した材料の製造システムおよび製造方法
JP2020065062A (ja) * 2014-08-26 2020-04-23 ラム・リサーチ・アーゲーLam Research Ag ウエハ状物品を処理するための方法及び装置
JP2016046531A (ja) * 2014-08-26 2016-04-04 ラム・リサーチ・アーゲーLam Research Ag ウエハ状物品を処理するための方法及び装置
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US11195730B2 (en) 2014-08-26 2021-12-07 Lam Research Ag Method and apparatus for processing wafer-shaped articles
KR20160024759A (ko) * 2014-08-26 2016-03-07 램 리서치 아게 웨이퍼 형상 물품들을 프로세싱하기 위한 방법 및 장치
KR102221266B1 (ko) * 2014-08-26 2021-03-02 램 리서치 아게 웨이퍼 형상 물품들을 프로세싱하기 위한 방법 및 장치
CN106231696A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种加热装置及加热方法
JP2020077757A (ja) * 2018-11-08 2020-05-21 住友重機械工業株式会社 ウエハ保持装置及びレーザアニール方法
JP7244256B2 (ja) 2018-11-08 2023-03-22 住友重機械工業株式会社 レーザアニール装置、ウエハ保持装置及びレーザアニール方法
WO2020195265A1 (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
KR20210127762A (ko) * 2019-03-27 2021-10-22 우시오덴키 가부시키가이샤 가열 처리 방법 및 광 가열 장치
KR102380062B1 (ko) 2019-03-27 2022-03-29 우시오덴키 가부시키가이샤 가열 처리 방법 및 광 가열 장치
US11398394B2 (en) 2019-03-27 2022-07-26 Ushio Denki Kabushiki Kaisha Heating treatment method and optical heating device
JP7198434B2 (ja) 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020161677A (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2021182582A (ja) * 2020-05-19 2021-11-25 株式会社Screenホールディングス 熱処理装置
JP7461214B2 (ja) 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
JP7480656B2 (ja) 2020-09-23 2024-05-10 ウシオ電機株式会社 光源ユニット及び加熱処理装置
JP2022123646A (ja) * 2021-02-12 2022-08-24 ウシオ電機株式会社 温度測定方法、光加熱方法及び光加熱装置
JP7230077B2 (ja) 2021-02-12 2023-02-28 ウシオ電機株式会社 温度測定方法、光加熱方法及び光加熱装置

Also Published As

Publication number Publication date
CN101091236A (zh) 2007-12-19
CN101091236B (zh) 2010-04-21
WO2007058068A1 (ja) 2007-05-24
KR101089929B1 (ko) 2011-12-05
KR20080058488A (ko) 2008-06-25
US8041197B2 (en) 2011-10-18
US20080226272A1 (en) 2008-09-18
JP4940635B2 (ja) 2012-05-30

Similar Documents

Publication Publication Date Title
JP4940635B2 (ja) 加熱装置、熱処理装置及び記憶媒体
JP5055756B2 (ja) 熱処理装置及び記憶媒体
US7269343B2 (en) Heating configuration for use in thermal processing chambers
US8005352B2 (en) Heat treating device
JP2007258286A (ja) 熱処理装置、熱処理方法及び記憶媒体
US9351341B2 (en) Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
JP2012178576A (ja) 熱処理装置及び記憶媒体
US6809035B2 (en) Hot plate annealing
JP2008042127A (ja) 熱処理装置および熱処理用サセプタ
KR20200119211A (ko) 열처리 방법 및 열처리 장치
TW202247290A (zh) 熱處理方法及熱處理裝置
US20230207348A1 (en) Heat treatment apparatus
JP4847046B2 (ja) 熱処理装置
JP5612259B2 (ja) 熱処理装置
JP2006332541A (ja) 光加熱装置
JP2022079227A (ja) 熱処理方法
JP2005109090A (ja) 熱処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080922

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120131

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120213

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4940635

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150309

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250