KR20080058488A - 가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체 - Google Patents

가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체 Download PDF

Info

Publication number
KR20080058488A
KR20080058488A KR1020087011511A KR20087011511A KR20080058488A KR 20080058488 A KR20080058488 A KR 20080058488A KR 1020087011511 A KR1020087011511 A KR 1020087011511A KR 20087011511 A KR20087011511 A KR 20087011511A KR 20080058488 A KR20080058488 A KR 20080058488A
Authority
KR
South Korea
Prior art keywords
heating
light
heat treatment
thermoelectric conversion
heating device
Prior art date
Application number
KR1020087011511A
Other languages
English (en)
Other versions
KR101089929B1 (ko
Inventor
시게루 가사이
도모히로 스즈키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20080058488A publication Critical patent/KR20080058488A/ko
Application granted granted Critical
Publication of KR101089929B1 publication Critical patent/KR101089929B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Abstract

피 처리체(W)를 가열하기 위한 가열 장치(62)는, 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자(74)를 포함하는 복수의 가열광원을 가진다. 이에 의해, 반도체 웨이퍼 등의 피 처리체의 표면만을 얕게, 또한 막의 종류에 관계없이 균일한 온도분포의 상태에서 고속승온 및 고속강온시킨다.
Figure 112008034145593-PCT00001
반도체, 웨이퍼, 가열장치, 열처리 장치

Description

가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체{HEATING APPARATUS, HEAT TREATMENT APPARATUS, COMPUTER PROGRAM AND STORAGE MEDIUM}
본 발명은, 반도체 웨이퍼 등에 대하여 가열용 빛을 조사(照射)하는 가열 장치, 이것을 이용하여 반도체 웨이퍼 등에 소정의 열처리를 하는 낱장식의 열처리 장치, 컴퓨터 프로그램 및 기억 매체에 관한 것이다.
일반적으로, 반도체 디바이스를 제조하는 데에는, 반도체 웨이퍼에 성막 처리, 패턴 에칭 처리, 산화확산 처리, 개질(改質, reforming)처리, 어닐(anneal) 처리 등의 각종 열처리를 반복 실행하여 소망하는 디바이스를 제조하지만, 반도체 디바이스가 고밀도화, 다층화 및 고 집적화함에 따라 그 방법이 해마다 엄격해지고 있고, 이들 각종 열처리의 웨이퍼면내에 있어서의 균일성의 향상 및 막질의 향상이 특히 소망되고 있다. 예컨대 반도체 디바이스인 트랜지스터의 채널층 등의 처리를 예로 들어 설명한다. 이 채널층 등에 불순물원자의 이온주입 후, 원자 구조를 안정화시킬 목적으로 어닐 처리가 일반적으로 행하여진다.
여기서 도 13을 참조하여, 트랜지스터의 일반적인 구조의 대표예로서 게이트 구조의 채널층에 대하여 설명한다. 도 13에 있어서, n 형의 불순물을 도프(dope)하여 이루어지는 n 형 실리콘 기판 등의 반도체 웨이퍼(W)의 표면에는, p 형의 불순물을 도프하여 이루어지는 p+농도의 소스(2) 및 드레인(4)이 각각 마련됨과 동시에, 각 소스(2) 및 드레인(4)의 표면에는, 상기 p+영역보다도 불순물 농도가 높게 이루어진 p++영역(6, 8)이 각각 형성되어 있다. 그리고, 상기 소스(2) 및 드레인(4) 사이에는, 예컨대 실리콘 산화막 등의 게이트 절연막(10)을 거쳐서 예컨대 폴리 실리콘층으로 이루어지는 게이트 전극(12)이 형성되어 있다.
또한, 이 게이트 전극(12)이나 게이트 절연막(10)의 측벽측에는 예컨대 SiN으로 이루어지는 절연층(14)이 형성되어 있다. 그리고, 이와 같이 형성된 미세한 트랜지스터는, 웨이퍼 표면에 다수 형성되게 되고, 또한 그 외에 필요로 되는 다른 미세한 소자도 웨이퍼 표면상에 다수 형성된다. 또한, 이 트랜지스터는 단순한 일례를 나타낸 것에 지나지 않고, 용도에 따라 다양한 종류와 다양한 용도의 막의 종류가 이용되고 있다. 그리고, 상술한 바와 같이, 불순물이 도프된 영역의 원자 구조를 안정화시킬 목적으로 어닐 처리가 행하여진다.
이 경우, 상기 어닐 처리를 장시간 실행하면 원자 구조는 안정화하지만, 불순물원자가 막두께 방향으로 안쪽 깊숙한 곳까지 확산하여 아래쪽으로 꿰뚫고 나가 버리기 때문에, 최대한 단시간에 실행할 필요가 있다. 즉, 채널층 등의 막두께를 얇게 하면서, 또한 꿰뚫고 나가는 것도 생기는 일 없이 원자 구조를 안정화시키기 위해서는, 반도체 웨이퍼를 어닐온도까지 고속으로 승온(昇溫)하고, 또한 어닐 처 리 후에 있어서는 확산이 발생하지 않는 낮은 온도까지 고속으로 강온(降溫)시키는 것이 필요해 진다.
이러한 어닐 처리를 가능하게 하기 위해서, 종래의 처리 장치에서는, 가열램프를 이용한 램프어닐이 일반적으로 행하여지고 있다(특허문헌 1). 그리고, 이 가열램프로서는, 예컨대 할로겐 램프나 플래쉬 램프 등이 이용된다.
또한 다른 종래의 처리 장치로서는, 예컨대 특허문헌 2에 도시하는 바와 같이, 웨이퍼스테이지에 펠티에 소자를 마련하여, 100∼250℃ 정도로 웨이퍼를 에칭할 때에, 웨이퍼의 온도를 올리고 내리기 위해서 상기 펠티에 소자를 이용하도록 한 처리 장치가 있다.
그리고, 최근에 있어서는, 비교적 큰 출력이 가능해지도록 개발된 LED 소자나 레이저가 가열원이나 광원으로서 이용되는 경향이 있다(특허문헌 3∼5). 이 LED 소자나 레이저에 있어서는, 소자 자체의 발열은 가열램프와 비교하여 매우 적고, 또한 수명도 가열램프와 비교하여 꽤 길고, 더구나, 열용량이 적기 때문에 많이 이용되는 경향이 있다.
예컨대 특허문헌 3에 있어서는, 히트 파이프와 LED 소자를 조합한 램프가 개시되어 있고, 특허문헌 4에 있어서는, LED 소자나 레이저로 레지스트(resist)를 가열하도록 한 점이 개시되어 있고, 또한 특허문헌 5에는, CVD 처리를 하기 위해서 LED 소자 어레이를 이용하도록 한 점이 개시되어 있다.
특허문헌 1:미국특허 제5689614호
특허문헌 2:일본 특허공개2001-85408호 공보
특허문헌 3:일본 특허공개2004-296245호 공보
특허문헌 4:일본 특허공개2004-134674호 공보
특허문헌 5:미국특허 제6818864호
그런데, 상술한 바와 같이, 열처리를 하는 경우에는, 웨이퍼 표면의 온도분포가 균일해지도록 가열할 뿐만 아니라, 웨이퍼온도를 단시간에 올리고 내릴 필요가 있다.
더구나, 반도체 디바이스의 한층 더한 고속화 및 고미세화의 요청에 의해, 소스(2)나 드레인(4) 등의 불순물주입 영역에 관해서는, 더욱 얕게, 또한 불순물 농도도 더욱 높게 하는 것이 요구되고 있다. 따라서, 이들 영역을 어닐할 때에는, 불순물의 기판 두께 방향으로의 확산을 될 수 있는 한 억제하기 위해서, 보다 빠른 속도에서의 고속승온 및 고속강온을 행하는 것이 요구되고 있다.
그러나, 가열 수단으로서 할로겐 램프를 이용한 어닐 처리의 경우, 사출(射出)되는 가열용 빛의 중심파장이, 예컨대 1∼3 μm이며, 그 파장 대역은 3∼5 μm으로 넓고, 또한 파장이 길기 때문에, 웨이퍼 표면의 얕은 부분만을 가열하고 싶음에도 불구하고, 웨이퍼 표면의 깊은 위치까지 빛이 닿아 웨이퍼 표면의 깊은 부분(심부)까지 가열되어 버리고, 그 결과, 불순물이 웨이퍼 표면의 깊은 부분까지 확산해 버리는 문제가 있었다.
또한, 사출하는 빛의 파장이 상술한 바와 같이 길어서, 웨이퍼 표면의 깊은 위치의 불필요한 부분까지 가열해 버리기 때문에, 승온효율도 저하해 버리므로, 그 만큼, 고출력이 필요로 되어, 에너지효율이 저하하는 원인이 되고 있었다.
또한, 가열 수단으로서 플래쉬 램프를 이용한 어닐 처리의 경우, 이 플래쉬 램프로부터 사출되는 빛의 파장은, 상기한 할로겐 램프보다는 꽤 짧지만, 그래도 도 14에 나타내는 플래쉬 램프의 파장 대역에서 볼 수 있듯이, 500 nm 부근을 중심파장으로 하여 꽤 넓은 1 μm 정도의 대역이기 때문에, 상기한 할로겐 램프의 경우와 같이, 웨이퍼의 심부까지 가열해 버리는 문제점을 가지고 있었다.
한편, 가열 수단으로서 레이저나 LED(Light Emitting Diode) 소자를 이용하는 경우에는, 상술했던 바와 같은 문제점을 없앨 수 있고, 예컨대 웨이퍼 표면만을 효율적으로 가열할 수 있다. 그러나, 레이저로 대표되는 ArF 레이저(엑시머 레이저: 중심파장 193 nm)나 KrF 레이저(엑시머 레이저: 중심파장 248 nm)의 경우에는, 이들로부터 방사되는 빛의 대역폭이 1 μm 이하이며, 상술한 경우와는 역으로 너무 좁고, 그 결과, 막의 종류나 파장에 의해서 미세 영역에 있어서 가로 방향 스트레스의 발생원인이 되는 온도차가 발생하거나, 혹은 부분적으로 용융하는 등의 문제가 있었다. 또한, 광로 단면적도 좁기 때문에, 웨이퍼 표면 전면에 걸쳐 가열하기 위해서는, 레이저광을 주사(走査)기구로 스캐닝시켜야 하기 때문에, 구조가 복잡해지는 문제도 있었다.
또한 LED 소자의 경우에는, 방사되는 빛의 파장은, 사용하는 소자에 따라 다르기도 하지만, 예컨대 300∼950 nm의 범위 내에서 100 nm 정도의 대역폭을 가지고 있기 때문에, 사출되는 빛은 레이저의 경우보다도 넓고, 또한 상기 플래쉬 램프나 할로겐 램프의 경우보다도 좁은 대역을 가지고 있기 때문에, 막질에 따른 가열온도의 선택성도 억제되고, 그 결과, 웨이퍼 표면측을 비교적 균일하게 가열할 수 있는 특성을 가지고 있다.
그러나, 단지 LED 소자를 이용한 것만으로는, 사출하는 빛의 파장이 최적화되어 있지 않기 때문에, 최근의 설계 룰에서 요구되고 있는 정도로 불순물확산 영역의 깊이를 얕게 유지하고, 또한 높은 불순물 농도를 유지하기 위해서, 웨이퍼 표면만을 선택적으로 또한 균일하게 가열하는 것은 곤란했다.
본 발명은, 이상과 같은 문제점에 착안하여, 이것을 유효하게 해결하기 위해 창안된 것이다. 본 발명의 목적은, LED 소자로부터 사출되는 가열용 빛의 파장을 최적화하는 것에 의해, 반도체 웨이퍼 등의 피 처리체의 표면만을 얕게, 또한 막의 종류에 관계없이 균일한 온도분포의 상태에서 고속승온 및 고속강온시키는 것이 가능한 가열 장치, 이것을 이용한 열처리 장치, 컴퓨터 프로그램 및 기억 매체를 제공하는 것에 있다.
본 발명은, 피 처리체를 가열하기 위한 가열 장치에 있어서, 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED(Light Emitthg Diode) 소자를 포함하는 복수의 가열광원을 구비한 것을 특징으로 하는 가열 장치이다.
이와 같이, 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 복수의 가열광원을 가지도록 했기 때문에, LED 소자로부터 사출되는 가열용 빛의 파장은 최적화되고, 그 결과, 반도체 웨이퍼 등의 피 처리체의 표면만을 얕게, 또한 막의 종류에 관계없이 균일한 온도분포의 상태에서 고속승온 및 고속강온시킬 수 있다.
본 발명은, 상기 LED 소자는, 자외광을 사출하는 자외광 LED 소자와 자색광을 사출하는 자색광 LED 소자와 청색광을 사출하는 청색광 LED 소자 중 적어도 하나의 종으로 이루어지는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 청색광 LED 소자는, 중심파장이 470 nm의 가열용 빛을 사출하는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 각 가열광원에 대응하여 마련되어, 해당 가열광원으로부터의 빛을 반사하여 상기 피 처리체를 향하는 제 1 리플렉터를 또한 구비한 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 각 제 1 리플렉터로부터의 반사광은, 각각 상기 피 처리체의 다른 영역을 향해서 집광하도록 설정되어 있는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 제 1 리플렉터의 반사면은 곡면형상으로 성형되어 있는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 제 1 리플렉터는, 알루미늄 또는 알루미늄의 표면에 불화마그네슘막을 코팅하여 형성되는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 각 가열광원은, 히트 파이프로 이루어지는 소자 부착막대와, 해당 소자 부착 막대의 선단부에 부착된 복수의 상기 LED 소자를 포함하는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 각 가열광원은, 베이스부를 가지고, 해당 가열광원의 베이스부는 하우징에 의해 지지되어 있는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 하우징은, 돔 형상으로 성형되어 있고, 그 내측은 곡면형상으로 성형되어, 제 2 리플렉터로서 기능하는 반사면이 되어있는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 제 2 리플렉터는, 알루미늄 또는 알루미늄의 표면에 불화마그네슘막을 코팅하여 형성되는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 하우징에는, 상기 소자 부착 막대의 베이스부를 냉각하기 위한 부착 막대 냉각 수단이 마련되는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 각 가열광원의 소자 부착 막대는, 상기 피 처리체의 표면에 대하여 직교하는 방향, 또는 이 직교하는 방향에 근사한 방향을 따라서 마련되는 것을 특징으로 하는 가열 장치이다.
본 발명은, 상기 피 처리체의 온도를 측정하기 위한 방사온도계를 또한 구비하고, 해당 방사온도계의 측정파장 대역은, 상기 LED 소자로부터의 빛의 파장 대역과는 다르게 설정되어 있는 것을 특징으로 하는 가열 장치이다.
본 발명은, 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서, 배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와, 상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와, 상기 처리용기의 천장부를 기밀하게 덮는 광투과창과, 상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과, 상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고, 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비한 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 탑재대의 상부에는, 복수의 열전(熱電)변환 소자가 마련되는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 탑재대에는, 필요시에 열매체를 흐르게 하는 열매체유로가 마련되는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 열처리 장치는, 해당 열처리 장치 전체의 동작을 제어하기 위한 제어 수단을 또한 구비하고, 해당 제어 수단은, 상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 열처리 장치는, 해당 열처리 장치 전체의 동작을 제어하기 위한 제어 수단을 또한 구비하고, 해당 제어 수단은, 상기 피 처리체의 가열 시에는 먼저 상기 열전변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 제어 수단은, 각 열전변환 소자에 대하여 개별적으로 전류의 방향과 전력을 제어할 수 있고, 상기 피 처리체의 가열 시에는 상기 피 처리체의 온도의 면내균일성을 높이기 위해서 상기 열전변환 소자마다 가열, 혹은 냉각하도록 제어하는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 상기 광투과창은 석영유리로 이루어지는 것을 특징으로 하는 열처리 장치이다.
본 발명은, 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서, 배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와, 상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와, 상기 처리용기의 천장부를 기밀하게 덮는 광투과창과, 상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과, 상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고, 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 피 처리체에 대하여 소정의 열처리를 실시함에 있어서, 상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램이다.
본 발명은, 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서, 배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와, 상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와, 상기 처리용기의 천장부를 기밀하게 덮는 광투과창과, 상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과, 상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고, 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 피 처리체에 대하여 소정의 열처리를 실시함에 있어서, 상기 피 처리체의 가열 시에는 먼저 상기 열전 변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램이다.
본 발명은, 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서, 배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와, 상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와, 상기 처리용기의 천장부를 기밀하게 덮는 광투과창과, 상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과, 상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고, 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 피 처리체에 대하여 소정의 열처리를 실시함에 있어서, 상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램을 기억한 기억 매체이다.
본 발명은, 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서, 배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와, 상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와, 상기 처리용기의 천장부를 기밀하게 덮는 광투과창과, 상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과, 상기 광투과의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고, 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 피 처리체에 대하여 소정의 열처리를 실시함에 있어서, 상기 피 처리체의 가열 시에는 먼저 상기 열전변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램을 기억한 기억 매체이다.
본 발명에 관한 가열 장치, 이것을 이용한 열처리 장치, 프로그램 및 기억 매체에 의하면, 다음과 같이 우수한 작용 효과를 발휘할 수 있다.
피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 복수의 가열광원을 가지도록 했기 때문에, LED 소자로부터 사출하는 가열용 빛의 파장은 최적화되고, 그 결과, 반도체 웨이퍼 등의 피 처리체의 표면만을 얕게, 또한 막의 종류에 관계없이 균일한 온도분포의 상태에서 고속승온 및 고속강온시킬 수 있다.
도 1은 본 발명의 열처리 장치의 일례를 나타내는 단면구성도이다.
도 2는 열전변환 소자의 배열 상태를 나타내는 평면도이다.
도 3은 탑재대로부터 가열 장치를 봤을 때의 리플렉터의 배열을 도시하는 도면이다.
도 4는 가열광원의 반도체 광사출 소자로부터 방출된 가열용 빛의 광로를 도시하는 도면이다.
도 5는 반도체 광사출 소자가 부착된 소자 부착 막대를 나타내는 확대단면도이다.
도 6은 소자 부착 막대의 선단 부분을 나타내는 확대사시도이다.
도 7은 실리콘 기판의 두께 방향(깊이 방향)으로의 빛의 침입깊이의 파장 의존성을 나타내는 그래프이다.
도 8은 실리콘 기판에 대한 선(線)흡수 계수의 빛의 파장 의존성을 나타내는 그래프이다.
도 9는 웨이퍼 표면의 각 막의 종류에 대한 반사율의 빛의 파장 의존성을 나타내는 그래프이다.
도 10은 Si 기판의 방사율(흡수)의 파장과 온도의 의존성을 나타내는 그래프이다.
도 11은 리플렉터의 재료와 반사율의 빛의 파장 의존성을 나타내는 그래프이다.
도 12는 석영유리의 투과율의 파장 의존성을 나타내는 그래프이다.
도 13은 트랜지스터의 일반적인 구조의 대표예인 게이트 구조를 도시하는 도면이다.
도 14는 플래쉬 램프의 파장 대역을 도시하는 도면이다.
이하에 본 발명에 관한 가열 장치, 열처리 장치 및 기억 매체의 일 실시예를 첨부 도면에 근거하여 상술한다.
도 1은 본 발명의 열처리 장치의 일례를 나타내는 단면구성도, 도 2는 열전변환 소자의 배열 상태를 나타내는 평면도, 도 3은 탑재대로부터 가열 장치를 봤을 때의 리플렉터의 배열을 도시한 도면, 도 4는 가열광원의 반도체광사출 소자로부터 방출된 가열용 빛의 광로를 도시한 도면, 도 5는 반도체광사출 소자가 부착된 소자 부착 막대를 나타내는 확대단면도, 도 6은 소자 부착 막대의 선단 부분을 나타내는 확대사시도이다.
도 1에 도시하는 바와 같이, 이 열처리 장치(22)는, 예컨대 알루미늄에 의해 통 형상으로 성형되어 개구한 천장부(24a)를 가지는 처리용기(24)와, 처리실(24) 내에 마련되어 그 상면측에 반도체 웨이퍼(피 처리체) (W)를 탑재시키기 위한 탑재대(38)를 구비하고 있다. 이 처리용기(24)는 예컨대 300 mm 웨이퍼를 수용할 수 있는 크기로 설정되어 있다. 이 처리용기(24)의 천장부(24a)는 개구되어 있고, 이 개구부에는, O 링 등의 밀봉 부재(26)를 거쳐서 후술하는 가열용 빛에 대하여 투명한 광투과창(28)이 기밀하게 마련되어 있다. 이 광투과창(28)의 재료로서는, 본 발명에서 이용하는 가열용 빛의 파장에 대하여 특히 투명한 예컨대 석영유리 등이 이용된다.
또한, 이 처리용기(24)의 측벽에는, 개구(27)가 마련됨과 함께, 이 개구(27)에는 반도체 웨이퍼(W)를 반입 반출할 때에 개폐되는 게이트밸브(30)가 마련된다. 또한 처리용기(24)의 다른 측벽에는, 처리 시에 필요한 가스를 내부로 도입하는 가스도입 수단으로서의 가스 노즐(32)이 마련되어 있다. 또한 처리용기(24)의 바닥부의 주변부에는, 배기구(34)가 형성되어 있고, 이 배기구(34)에는 도시하지 않는 진공 펌프가 개재되어 설치된 배기계가 접속되어, 처리용기(24) 내의 분위기를 예컨대 진공배기 가능하게 하고 있다. 또한, 처리에 따라서는 처리용기(24) 내는 대기 압 정도로 유지된다. 또한 이 처리용기(24)의 바닥부는 크게 개구되어, 이 개구에 예컨대 O 링 등의 밀봉 부재(36)를 개재시켜 바닥부를 겸하는 두께가 두꺼운 탑재대(38)가 상술한 바와 같이 기밀하게 부착되어 고정되어 있다.
이 탑재대(38)는, 예컨대 알루미늄제의 두꺼운 탑재대 본체(40)와, 이 상부에 마련되는 복수의 열전변환 소자(42)와, 이 열전변환 소자(42)의 상면측에 설치되는 얇은 원판 형상의 탑재판(44)을 가지고 있다. 이 탑재판(44) 상에 피 처리체인 반도체 웨이퍼(W)를 직접적으로 탑재하게 되어 있다. 구체적으로는, 상기 열전변환 소자(42)로서는, 예컨대 펠티에 소자가 이용된다. 이 펠티에 소자는, 이종(異種)의 도체나 반도체를 전극에 의해서 직렬로 접속하여 전류를 흘리면 접점간에서 줄열 외에 열의 발생이나 흡열이 발생하는 소자이며, 예컨대 200℃ 이하의 온도에서의 사용에 견딜 수 있는 Bi2Te3(비스무트·텔루르) 소자, 보다 고온에서 사용할 수 있는 PbTe(납·텔루르) 소자, SiGe(실리콘·게르마늄) 소자 등에 의해서 형성되어 있고, 열전변환 소자 제어부(46)에 리드선(48)을 통해 전기적으로 접속되어 있다. 열전변환 소자 제어부(46)는, 상기 웨이퍼(W)의 열처리 시에 열전변환 소자(42)에 공급되는 전류의 방향이나 크기를 제어한다.
도 2에 펠티에 소자로 이루어지는 열전변환 소자(42)의 배열의 일례를 나타낸다. 도 2에 있어서는, 직경이 300 mm의 웨이퍼(W)에 대하여 60개의 열전변환 소자(42)를 상기 탑재판(44)의 이면측에 대략 전면에 걸쳐서 거의 빈틈없이 깐 예를 나타내고 있다. 이와 같이 열전변환 소자(42)를 밀접하게 배치하면, 웨이퍼(W)와 탑재판(44)을 균일하게 가열할 수 있다. 열전변환 소자(42)의 형상은, 사각형에 한하지 않고, 원형이나 육각형이어도 좋다. 여기서 열전변환이란, 열에너지를 전기에너지로, 또한 전기에너지를 열에너지로 변환하는 것을 말한다. 또한, 여기서 설명한 상기 열전변환 소자(42)는, 후술하는 본 발명의 특징으로 하는 LED 소자를 이용한 가열 장치에서만 필요로 하는 열처리가 행하여지도록 설계한 경우에는, 마련하지 않아도 좋다.
도 1로 되돌아가, 상기 탑재대 본체(40)의 내부에는, 열매체유로(50)가 그 평면 방향의 대략 전면에 걸쳐 형성되어 있다. 이 열매체유로(50)는, 상기 열전변환 소자(42)의 하부에 마련되어 있고, 웨이퍼(W)의 강온 시에 열매체로서 냉매(물)를 공급하는 것에 의해, 상기 열전변환 소자(42)의 하면으로부터 온열을 빼앗아 이것을 냉각하도록 구성되어 있다. 또한, 웨이퍼(W)의 승온 시에는 필요에 응해서 온매(溫媒)를 공급하는 것에 의해, 열전변환 소자(42)의 하면으로부터 냉열을 빼앗아 이것을 가열하도록 구성되어 있다. 또한, 열매체유로(50)는, 열매체를 보내어 공급하는 매체순환기(52)에 열매체도입관(54)과 열매체배출관(56)을 통해 접속되어 있다. 이에 의해, 매체순환기(52)는 열매체를 열매체유로(50)에 순환 공급한다.
또한 상기 열전 변환 소자(42) 상에 설치되는 탑재판(44)의 재료로서는, SiO2재, AlN재, SiC재, Ge재, Si재, 금속재 등에 의해서 제작된다. 탑재대(38)에는 웨이퍼(W)를 승강하는 도시하지 않는 승강기구가 마련되고, 이 승강기구는, 탑재대 본체(40) 및 탑재판(44)을 관통하여 웨이퍼(W)를 밑에서부터 지지하는 복수개의 승 강이 자유자재인 지지핀과, 이들 지지핀을 승강시키는 구동 장치 등으로 구성되어 있다.
또한, 탑재대 본체(40)에는, 이것을 상하 방향으로 관통하는 관통공(57)이 형성되어 있고, 여기에 방사온도계(58)가 설치된다. 구체적으로는, 상기 관통공(57)에 상기 탑재판(44)의 하면까지 연장하는 광파이버(60)를 기밀 상태로 끼워 통하게 하여 탑재판(44)으로부터의 복사광을 안내할 수 있게 되어 있다. 그리고, 이 광파이버(60)의 단부에는 방사온도계 본체(62)가 접속되어 있고, 소정의 측정파장 대역의 빛으로부터 탑재판(44)의 온도, 즉 웨이퍼온도를 측정할 수 있게 되어 있다. 여기서 상기 방사온도계(58)의 측정파장 대역은, 후술하는 LED 소자로부터의 빛의 파장 대역과는 다르게 설정되어 있다.
그리고, 처리용기(24)의 광투과창(28)의 위쪽에는, 상기 웨이퍼(W)를 향해서 가열용 빛을 조사하는 가열 장치(62)가 마련되어 있다. 구체적으로는, 이 가열 장치(62)는, 상기 광투과창(28)의 위쪽을 덮듯이 하여 마련되는 돔 형상으로 성형된 하우징(64)을 가지고 있다. 이 돔 형상의 하우징(64)은, 예컨대 알루미늄(알루미늄합금을 포함한다)이나 동 등의 열전도성이 양호한 재료에 의해 형성되어 있고, 전체가 예컨대 반구 형상으로 성형되어 있다. 이 하우징(64)의 하단부의 일부와 처리용기(24)의 상단부의 일부의 사이에는 도시하지 않는 힌지로 접합되어 있어, 상기 하우징(64)을 전개 가능하게 하고 있다.
이 하우징(64)의 내주면에는, 예컨대 불화마그네슘막(MgF2)이나 금도금 등이 실시된 고 반사율의 반사면이 되어 있고, 이 하우징(64)의 반사면은 제 2 리플렉터(66)로서 기능한다. 또한, 이 제 2 리플렉터(66)로서는, 후술하는 바와 같이 알루미늄(알루미늄합금을 포함한다)의 표면에 불화마그네슘막을 코팅한 것이 특히 바람직하다. 그리고, 이 하우징(64)의 내주면측에, 복수의 가열광원(68)이 부착되어, 이것으로부터 가열용 빛(광선)을 사출하게 되어 있다. 이 가열광원(68)은, 돔 형상의 하우징(64)의 내주면의 대략 전역에 걸쳐 비교적 균등하게 분포시켜 마련되어 있고, 예컨대 여기서는 전체적으로 39개 정도 마련되어 있다. 또한, 도 3에 있어서는 가열광원(68)의 기재를 생략하고 있다.
그리고, 도 3 및 도 4에도 도시하는 바와 같이, 각 가열광원(68)에 대응시켜, 곡면 형상으로 우묵하게 들어가게 성형된 제 1 리플렉터(70)가 각각 마련되어 있다. 이 제 1 리플렉터(70)의 내주면도, 예컨대 불화마그네슘막이나 금도금 등이 실시된 고 반사율의 반사면으로 되어있다. 또한, 이 제 1 리플렉터(70)는 상기 제 2 리플렉터(66)와 마찬가지로, 알루미늄(알루미늄합금을 포함한다)의 표면에 불화마그네슘막을 코팅한 것이 특히 바람직하다. 이 제 1 리플렉터(70)의 개구면은 원형, 혹은 타원형으로 성형되어 있고, 아래쪽의 탑재대(40)측에서 본 투영도가 같은 형태가 되도록 설정되어 있다. 여기서 상술한 바와 같이 하우징(64)을 돔 형상의 곡면 형상으로 하는 것에 의해, 평면형상의 경우와 비교하여 상기 가열광원(68)을 다수 부착할 수 있고, 그 만큼, 가열용을 위해 큰 전력을 투입할 수 있다.
그리고, 상기 각 가열광원(68)은, 도 5 및 도 6에도 도시하는 바와 같이, 미소한 막대 형상의 소자 부착 막대(72)와, 이 대략 전체에 부착된 본 발명의 특징인 복수의 LED 소자(74)로 이루어지고, 이 소자 부착 막대(72)의 베이스부(72a)를, 상기 하우징(64)의 제 1 리플렉터(70)의 중앙부에 마련한 접속 단자(76)(도 4 참조)에 접속하여 부착하여, 이 소자 부착 막대(72)를 지지 고정함과 아울러, 필요로 하는 전력을 상기 LED 소자(74)에 공급할 수 있게 되어 있다. 상기 접속 단자(76)는, 도시하지 않는 배선을 거쳐서 전원계에 접속되어 있다. 이에 의해, 대부분의 소자 부착 막대(72)는 웨이퍼(W)의 표면에 대하여 직교하는 방향, 또는 이 직교하는 방향에 근사한 방향을 따라서 마련되게 된다.
그리고, 본 발명에서는, 이 LED 소자(74)로서는, 사출되는 가열용 빛의 파장이 360~520 nm 범위 내(자외광∼자색광∼청색광에 대응)의 LED 소자를 이용하고 있어, 후술하는 바와 같이 웨이퍼면 내의 막의 종류에 관계없이, 온도의 면내균일성을 유지한 채로 고속 승온 및 고속 강온을 실현할 수 있게 되어 있다. 즉, 이 LED 소자(74)로서는 청색광을 주로 출력하는 청색광 LED 소자나 자색광을 주로 출력하는 자색광 LED 소자를 이용하고, 일반적인 LED 소자에서는, 출력되는 빛의 파장은 100 nm 정도의 파장 대역를 가지고 있다.
그리고, 상기 소자 부착 막대(72)는, 중공 형상으로 이루어진 예컨대 히트 파이프로 이루어지고, 도 5에 도시하는 바와 같이, 그 내면에는 윅(78)이 붙여짐과 함께, 내부에는 작동유체가 밀봉되어 있다. 이 소자 부착 막대(72)는, 예컨대 알루미늄이나 동과 같은 열전도성이 양호한 금속재료로 이루어진다. 이 소자 부착 막대(72)는, 다각형, 예컨대 도 6에 나타내는 경우에는 육각형으로 성형되어 있고, 그 대략 전체의 측면에 상기 LED 소자(74)를 집중시켜 부착하고 있고, 전체적으로 점광원으로 간주할 수 있을 정도의 크기로 되어 있다. 이 LED 소자(74)는, 현재의 기술로 이미 1개당의 소자로 고출력을 얻을 수 있는 것이 개발되어 있다. 예컨대 LED 소자의 1 소자당 최대 30 W 정도의 고출력을 얻을 수 있는 소자가 개발되어 있다. 예컨대, 여기서는 상기 육각형의 소자 부착 막대(72)의 각 면에, 각각 42개의 LED 소자(74)를 배열시켜 마련하고, 따라서, 1개의 소자 부착 막대(72)에 대하여 252개(=42개×6열)의 LED 소자(74)를 부착하고 있다.
여기서 하나의 LED 소자(74)의 출력을 7.5 W(와트)라고 가정하면, 하나의 가열광원(68)으로부터는, 7.5 W×252=1890 W(와트)의 고출력을 얻을 수 있는 것이 된다. 그리고, 가열광원(68)의 전체의 수가 상술한 바와 같이 39개라고 가정하면, 전체 출력은 1890 W×39개=73.71 kW가 된다. 이 출력은, 웨이퍼에 대하여 1000℃/sec의 승온속도를 얻는 데 필요한 에너지이다. 또한, 상기 소자 부착 막대(72) 자체에도, 상기 접속 단자(76)와 각 LED 소자(74)를 전기적으로 접속하는 도시하지 않는 배선이 마련되어 있다.
여기서 상기 소자 부착 막대(72)의 전체의 길이는, 60 mm 정도이며, 또한 육각형의 한변의 길이(L1)는 1∼3 mm 정도로, 매우 소형화되어 있다.
여기서, 도 4에 도시하는 바와 같이, 상기 제 1 리플렉터(70)의 곡면 형상을 2개의 초점(f1, f2)을 가지는 회전타원면으로 가정하고, 점광원으로 간주할 수 있는 가열광원(68)의 LED 소자(74)군을 초점(f1)에 설치하면, 가열광원(68)으로부터 방사된 빛 중 제 1 리플렉터(70)에서 반사된 반사광(80A)은 제 2 초점(f2)에 집광한다. 단, 실제로는 완전한 점광원이 아니기 때문에, 가열광원(68)으로부터 나와 제 1 리플렉터(70)에서 반사된 반사광(80A)이더라도 그 일부는 확산하여 제 2 초점(f2)에 집광하지 않고 그 주위를 조사한다. 또한, 가열광원(68)으로부터 출사하여 제 1 리플렉터(70)에 이르지 않는 직사광(80B)의 일부는 웨이퍼(W)의 표면을 직접 조사하고, 다른 일부는 제 2 리플렉터(66)에 닿아 반사된 후, 웨이퍼(W)의 표면을 조사한다. 웨이퍼(W)를 조사하는 빛 중, 웨이퍼(W)에 흡수되는 양은 최대라고 하여도 70% 정도이며, 나머지는 반사 또는 투과된다. 이 중 반사된 빛은 제 2 리플렉터(66)에서 반사되는 것에 의해 다시 웨이퍼(W)를 조사한다. 그리고 직사광 중 탑재대(38)나 탑재대(44)를 조사하는 빛이 손실이 된다. 이 손실이 되는 광선의 양은, 제 1 리플렉터(70)의 크기, 기울기, 개구직경 등을 바꾸는 것에 의해 최대한 적게 할 수 있다.
가열광원(68)의 수는, 웨이퍼(W)의 크기, 가열광원 하나당 웨이퍼(W)의 조사면적(S1), 웨이퍼(W)의 승온레이트의 설계지표, 가열광원(68) 전체의 파워, 제 2 리플렉터(66)의 직경 등에 의해서 결정된다.
여기서 각 가열광원(68)으로부터 조사되는 조사면적(S1)의 영역은, 웨이퍼(W)의 표면에 있어서 각각 다른 영역을 향해서 집광하도록 설정되고, 웨이퍼(W)의 표면의 전역을 커버할 수 있도록 설정되어 있다.
그리고, 도 1로 되돌아가, 상기 가열광원(68)을 마련한 하우징(64)에는, 상기 소자 부착 막대(72)의 베이스부측을 냉각하기 위한 부착 막대 냉각 수단(82)이 마련된다. 구체적으로는, 이 부착 막대 냉각 수단(82)은, 상기 소자 부착 막대(72)의 베이스부의 근방을 지나도록 형성된 냉매통로(84)를 가지고 있고, 냉매입 구(84A)로부터 냉각 매체로서 예컨대 냉각수를 도입하고, 냉매출구(84B)로부터 배출하게 되어 있다. 또한, 상기 하우징(64)의 내측공간을 공랭하도록 하여도 좋다. 또한, 상술한 방사온도계(58)의 측정파장 대역은, 측정오차의 원인이 되는 미광(迷光)을 발생시키지 않기 위해서, 상기 LED 소자(74)의 빛의 파장(360∼520 nm)과는 다르도록 설정하여, 예컨대 3 μm 정도의 파장을 측정파장 대역으로서 설정한다.
그리고, 이 열처리 장치(22)의 전체는, 예컨대 마이크로 컴퓨터 등으로 이루어지는 제어 수단(86)에 의해 제어된다. 그리고, 이 제어 수단(86)은, 이 장치 전체의 동작을 제어하기 위한 프로그램을 기억하기 위한 예컨대 플렉시블디스크, 플래쉬 메모리, 하드 디스크, DVD, CD-ROM 등으로 이루어지는 기억 매체(88)를 가지고 있다.
다음으로, 이상과 같이 구성된 열처리 장치(22)에 의한 웨이퍼(W)에 대한 열처리 동작에 대하여 설명한다. 상술한 바와 같이, 이하에 설명하는 동작은, 상기 기억 매체(88)에 기억된 프로그램에 근거하여 행하여진다. 여기서는 표면에 불순물이 주입된 웨이퍼(W)를 어닐하는 경우를 예로 들어 설명한다.
우선, 일반적인 동작에 대하여 설명하면, 처리용기(24)의 측벽에 마련되어 있는 게이트밸브(30)를 열고, 처리해야 할 웨이퍼(W)를 개구(27)로부터 처리용기(24) 내로 반입하고, 이것을 탑재대(38)의 탑재판(44) 상에 탑재한다. 그 다음, 게이트밸브(30)를 닫아 처리용기(24)를 밀폐한다. 다음으로, 배기 수단에 의해서 처리용기(24) 내를 진공배기하여 가스 공급원으로부터 공급되는 처리 가스, 예컨대 아르곤 가스나 질소 가스로 치환하고, 소정의 프로세스압력(예컨대 100∼10000 Pa) 으로 유지한다.
다음으로, 펠티에 소자로 이루어지는 열전변환 소자(42)에 통전(通電)하여 웨이퍼(W)를 예비가열한다. 예비가열온도는 500∼600℃ 정도이다. 이 예비가열온도에서는, 웨이퍼(W)에 주입되어 있는 불순물이 확산하는 일은 없다.
웨이퍼(W)의 온도는 방사온도계(58)에 의해서 검출되고 있고, 이 방사온도계(58)가 소정의 예비가열온도가 된 것을 검출하면, 가열 장치(62)의 모든 가열광원(68)을 온하여 각 LED 소자(74)로부터 빛을 방사하고, 이 빛으로 웨이퍼(W)의 표면을 조사하여 소정의 처리온도(예컨대 1000℃)까지 순간적으로 승온시킨다. 이 때, 열전변환 소자(42)에 공급하는 전력도 예컨대 풀파워로서 상하양면으로부터 웨이퍼(W)를 신속하게 승온시킨다. 이 경우, 열전변환 소자(42)에 의한 웨이퍼(W)의 예비가열이 불필요할 때는 가열광원(68)의 온과, 열전변환 소자(42)의 통전을 동시에 행하여도 좋다.
또한, 이 때, 웨이퍼(W)의 온도의 면내균일성이 특히 중요한 경우에는, 각 열전변환 소자(42)에 대하여 개별적으로 전류의 방향과 전력을 제어하는 것에 의해 열전변환 소자(42) 단독으로 가열, 혹은 냉각하여, 이에 의해 가열 장치(62)의 가열광원(68)의 열의 불균일을 보정하도록 하여도 좋다. 이에 의해, 웨이퍼온도의 면내균일성을 더욱 향상시킬 수 있다.
또한, 탑재대(38)에 열전변환 소자(42)를 마련하고 있지 않은 경우에는, 가열 장치(62)의 구동으로 상기 예비가열 및 처리온도까지의 승온을 행한다. 그리고, 이 고온 상태를 소정의 시간 유지하는 것에 의해, 어닐 처리를 한다. 이와 같이, 웨이퍼(W)는 가열되게 되어, 예컨대 100∼1000℃/sec 정도까지 승온속도를 올려 고속승온을 실현할 수 있다.
특히, 고출력이 가능한 LED 소자(74)를 복수개 집합하여 점광원화한 가열광원(68)을 다수 배치하고, 각 가열광원(68)으로부터 고출력의 가열용 빛을 조사하도록 했기 때문에, 웨이퍼면 상에 있어서의 빛의 조도를 매우 높게 할 수 있어, 신속한 승온이 가능해진다. 특히, 본 발명에서는, LED 소자(74)로부터 출력되는 빛의 파장을, 막의 종류에 의한 빛의 반사 특성(흡수 특성)에 의존성이 적고, 또한 웨이퍼의 깊이(두께) 방향으로의 투과가 적게 웨이퍼 표면측만을 선택적으로 가열할 수 있는 것 같은 파장 대역, 즉 360∼520 nm의 범위 내가 되도록 설정하도록 했기 때문에, 웨이퍼 표면 온도의 면내균일성을 유지하면서, 웨이퍼 표면의 얕은 부분만을 고속으로 승온시킬 수 있다. 또한, 이 경우, 가열부위의 깊이를 제어하는 데에는, 빛의 조사 시간을 컨트롤하면 되는 것은 물론이다.
이 어닐 처리 시에는, 펠티에 소자로 이루어지는 열전변환 소자(42)의 이면측에는 냉열이 발생하기 때문에, 이 냉열을 배제하기 위해서 탑재대 본체(40)에 마련한 열매체유로(50)에는 가열 매체를 흐르게 하도록 하여, 열전변환 소자(42)를 효율적으로 동작시키는 것이 좋다.
또한, 가열 장치(62)의 LED 소자(74)는, 많은 줄열의 발생을 동반하는 저항가열히터와는 발광의 형태가 다르다고는 해도, 이 자체에 어느 정도의 발열이 발생하는 것은 피할 수 없다. 그러나, 이 LED 소자(74)가 부착되어 있는 소자 부착 막대(72)는 히트 파이프로 구성되어 있기 때문에, 상기 LED 소자(74)에서 발생한 열 을 소자 부착 막대(72)의 다른 쪽 단부로 전달하여 이것을 알루미늄 등으로 이루어지는 하우징(64)측으로 전달하고, 또한,이 하우징(64)에 마련한 부착 막대 냉각 수단(82)의 냉매통로(84)에 냉각수를 흐르게 하여 열을 배출하도록 하고 있기 때문에, LED 소자(74) 및 소자 부착 막대(72)를 효율적으로 냉각할 수 있다.
또한, 히트 파이프로 이루어지는 소자 부착 막대(72)의 대부분은, 웨이퍼(W)의 표면에 대하여 직교하는 방향, 또는 이 직교하는 방향에 근사한 방향을 따라서 마련되어 있기 때문에, 주로 중력에 의해서 동작하는 히트 파이프를 효율적으로 동작시킬 수 있고, 그 만큼, LED 소자(74)의 냉각효율을 높일 수 있다.
또한 제 1 리플렉터(70) 및 제 2 리플렉터(66)에 의해, 발광효율이 높은 LED 소자(74)로부터 사출된 빛을 효율적으로 반사하고, 또한 균일하게 웨이퍼면에 조사할 수 있기 때문에, 가열효율을 향상시킬 수 있고, 게다가 웨이퍼온도의 면내균일성을 높일 수 있다. 특히, 상기 리플렉터(66, 70)의 표면에 MgF2막을 코팅한 경우에는, 반사율을 높일 수 있기 때문에, 한층 더 효율적인 가열을 할 수 있다.
이렇게 하여, 소정의 단시간만 어닐 처리를 했으면, 웨이퍼(W) 안의 불순물이 과도하게 확산하는 것을 방지하기 위해서, 웨이퍼(W)를 될 수 있는 한 빠르게 냉각한다. 즉, 이 경우에는 웨이퍼온도를 고속강온시키기 위해서, 펠티에 소자로 이루어지는 열전변환 소자(42)에 가열 시와는 반대 방향으로 전류를 흐르게 하여 그 상면을 냉각한다. 이에 의해, 탑재판(44)이 냉각되어 웨이퍼(W)를 급격하게 냉각한다. 이 때, 열전변환 소자(42)의 하면은 온열이 발생하여 가열되기 때문에, 이 것을 냉각하기 위해서, 열매체유로(50)로는, 웨이퍼 가열 시와는 반대로 냉각 매체를 흘리도록 한다. 이에 의해, 열전변환 소자(42)를 효율적으로 동작시킬 수 있다.
그리고, 상기 동작과 동시에, 하우징(64)에 마련한 가열 장치(62)의 각 가열광원(68)을 오프하여, 이것에 공급하고 있었던 전력을 차단한다. 이 때, 부착 막대 냉각 수단(82)의 냉매통로(84)에는 계속하여 냉매, 예컨대 냉각수가 흐르고 있기 때문에 각 가열광원(68)의 소자 부착 막대(72) 및 LED 소자(74)가 강온된다. 이 경우, 웨이퍼 가열원으로서 가열램프를 이용한 경우에는, 가열램프 자체가 큰 열용량을 가지고, 더구나 소등하더라도 가열램프 자체가 고온 상태가 되어 있기 때문에, 이 가열램프 자체가 발하는 복사열에 의해 웨이퍼가 가열되어 버려, 냉각 수단을 이용하여도 강온속도에 한계가 발생하여, 강온속도를 보다 높이는 것은 곤란하지만, 본 발명 장치와 같이, 소자 자체의 발열량이 매우 적은 LED 소자(74)를 이용하고, 더구나, 이 LED 소자(74)나 소자 부착 막대(72)를 부착 막대 냉각 수단(82)으로 냉각하기 때문에, 소자 자체의 발열량을 억제할 수 있을 뿐만 아니라, 이들을 신속하게 냉각할 수 있기 때문에, 방출하는 복사열을 대폭 삭감할 수 있고, 그 결과, 웨이퍼(W)의 강온속도를 대폭 향상시켜 고속강온을 실현할 수 있다.
이 경우, 웨이퍼 가열 시에 설명한 바와 같이, 소자 부착 막대(72)로서 히트 파이프를 이용하고, 또한 많은 소자 부착 막대(72)는 히프 파이프가 효율적으로 동작하도록 웨이퍼 표면에 직교하는 방향(연직 방향), 혹은 이것에 근사한 방향을 따라서 마련되어 있기 때문에, 보다 효과적 내지 효율적으로 LED 소자(74)를 냉각할 수 있고, 그 결과, 보다 큰 강온속도로 고속강온을 할 수 있다. 본 발명 장치에 의 하면, 웨이퍼를 예컨대 100∼150℃/sec 정도의 고속의 강온속도로 냉각할 수 있다. 또한 LED 소자(74)를 가열램프와 비교하여 장기 수명화시킬 수 있다.
또한, 상기 실시예에 있어서는, 가열광원(68)마다 마련한 제 1 리플렉터(70)의 곡면 형상을 회전타원면으로 했지만, 이에 한정되지 않고, 회전타원면에 근사한 곡면, 예컨대 회전포물면(파라볼라 형상) 혹은 반구면 등으로 설정하여도 좋다.
또한, 하우징(64)에 마련한 각 가열광원(68)을 예컨대 동심원 형상의 복수의 영역마다 구획하고, 영역마다 공급 전력을 제어할 수 있도록 하여도 좋다.
또한, 가스도입 수단(32)으로서는 노즐에 한정되지 않고, 예컨대 가열용 빛에 대하여 투명한 재료, 예컨대 석영제의 샤워헤드 구조를 이용하도록 하여도 좋다.
또한, 상기 실시예에서는, 하우징(64)을 반구 형상의 곡면 형상(돔 형상)으로 성형한 경우를 예로 들어 설명했지만, 이에 한정되지 않고, 회전타원형 형상, 혹은 이것에 근사한 곡면형 형상, 또는, 가열광원(68)의 부착 개수는 적어지지만, 평면 형상으로 성형하도록 하여도 좋고, 어떤 것으로 하든, 각 가열광원(68)의 출력 파워나 웨이퍼(W)의 가열온도 등에 의존하여 설계된다.
다음으로, 본 발명에 이용하는 LED 소자(74)로부터 출사되는 빛의 파장을 360∼520 nm의 범위 내, 즉 자색광(일부 자외광을 포함한다)으로부터 청색광의 범위 내로 한정한 이유에 대하여 설명한다.
먼저 도 13을 참조하여 설명한 바와 같이, 트랜지스터 등의 디바이스에 있어서는, 한층 더한 고속 동작화 및 고 집적화의 요청에 의해, 불순물이 도프된 소스 나 드레인 등의 확산 영역은, 불순물 농도가 보다 높고, 또한 그 확산 영역은 보다 얕아지는(얇아지는) 경향이 있다.
따라서, 웨이퍼를 어닐 처리하는 경우에는, 웨이퍼의 깊이 방향(두께 방향)으로의 불순물의 확산을 될 수 있는 한 억제하기 위해서, 웨이퍼의 표면부만을 신속하게 승온 및 강온시킬 필요가 있고, 또한, 웨이퍼 표면의 미세한 영역에 있어서 가로 방향 스트레스의 발생을 억제하기 위해서, 상기 웨이퍼 온도의 승강 시에 있어서도, 웨이퍼 표면에 있어서의 온도분포가 불균일해지는 것을 방지하여 면내 온도의 균일성을 될 수 있는 한 유지하는 것이 필요하다.
상기한 바와 같은 관점에서, 빛의 각 파장에 대한 특성에 대하여 평가를 했다.
우선, 반도체 웨이퍼로서 실리콘 기판의 두께 방향(깊이 방향)으로의 빛의 침입깊이의 파장 의존성에 대하여 평가했다. 도 7은 실리콘 기판의 두께 방향(깊이 방향)으로의 빛의 침입깊이의 파장 의존성을 나타내는 그래프이다. 여기서는 빛의 파장을 370(일부 자외광을 포함한다)∼1000 nm까지 변화시키고, 그 때의 실리콘 기판의 두께 방향(깊이 방향)으로의 투과율을 측정했다. 여기서 투과율이 깊이 방향에 있어서 급격하게 감소하는 것은 웨이퍼의 표면부만을 가열하는 것을 의미하고, 투과율이 깊이 방향에 있어서 완만하게 감소하는 것은, 웨이퍼의 심부까지 가열되는 것을 의미한다. 이 그래프로부터 분명하듯이, 파장이 짧을수록, 깊이 방향에 있어서의 투과율의 감소가 크고, 따라서, 파장이 짧을수록, 웨이퍼의 표면부만을 선택적으로 가열할 수 있다는 것을 알 수 있다. 바꾸어 말하면, 파장이 길어질수록, 웨이퍼의 심부까지 가열할 수 있다는 것을 알 수 있다. 이 경우, 최근의 설계 룰에서는, 불순물의 주입의 깊이는, 웨이퍼 표면으로부터 최대 50 nm 정도로 매우 얕게 되어 있다. 따라서, 빛의 파장이 700 nm전후, 혹은 그 이상에서는, 웨이퍼의 심부까지 가열되어 해 버리기 때문에 바람직하지 못하고, 빛의 파장을 470 nm(청색광) 전후보다도 작게 할 필요가 있다는 것을 알 수 있다.
여기서, 실리콘 기판에 대한 선(線)흡수계수의 빛의 파장 의존성은, 일반적으로는 도 8에 나타내는 것과 같은 특성을 나타내는 것이 알려져 있다. 도 8은 실리콘 기판에 대한 선흡수계수의 빛의 파장 의존성을 나타내는 그래프이다. 여기서는 빛의 파장은 100∼1000 nm의 범위로 표시되고 있다. 이 그래프에 의하면, 파장 300 nm(자외광)을 피크로 하여 그 전후 방향으로 갈수록, 선흡수계수는 점차 저하되고 있다. 따라서, 파장 300nm 부근의 빛이 가장 효율적으로 웨이퍼를 가열할 수 있다는 것을 알 수 있다. 또한 이 그래프로부터 빛의 파장이 520 nm 부근보다도 커지면, 혹은 180 nm 부근보다도 작아지면, 선흡수계수가 같이 과도하게 작아져 웨이퍼의 가열효율이 대폭 저하하는 것을 알 수 있다.
다음으로, 웨이퍼 표면의 각 막의 종류에 대한 반사율의 빛의 파장 의존성에 대하여 평가했다. 도 9는 웨이퍼 표면의 각 막의 종류에 대한 반사율의 빛의 파장 의존성을 나타내는 그래프이다. 또한, 여기서 반사율이 서로 차가 적을수록, 서로 차가 보다 적은 승온속도로 가열할 수 있는 것을 의미한다. 여기서는, 실리콘 산화막(SiO2) 상에, SiN막, TEOS에 의한 Si막, Poly Si막을 각각 성막하여 측정했다. 또 한 참고로 Bare Si(베어 실리콘)에 관해서도 측정했다. 이들 각 막의 종류는, 도 13에 나타내는 트랜지스터와 같이 웨이퍼 표면에 미세한 영역에서 각종 막의 종류가 노출되어 있는 것을 상정하고 있다.
도 9에 도시하는 바와 같이, 각 Bare Si를 포함하는 각 막의 종류는, 빛의 파장에 의존하여, 그 반사율이 다른 패턴으로 상하로 크게 변동하고 있다. 그러나, 각 반사율간의 차가 가장 적은 파장 영역에 대하여 검토하면, 그와 같은 영역은 빛의 파장이 360∼520 nm의 범위이며, 이 360∼520 nm의 범위에서는, 각 반사율의 차는 대략 0.15 내에 들어가 있다. 이것은, 웨이퍼 표면에 서로 막의 종류가 다른 복수의 미소 영역이 존재하여도, 이들의 미소 영역간에 큰 온도차를 생기게 하는 일 없이 온도가 균일하게 분포한 상태에서 승온할 수 있는 것을 의미한다.
바꾸어 말하면, 막의 종류가 다른 미소 영역간에 큰 온도차, 즉 온도분포가 발생한 채로 승온되면, 열팽창차에 기인하여 그 미소 영역간에 큰 가로 방향 스트레스가 생겨, 최악의 경우는 소자 자체가 파손될 우려가 생기지만, 상술한 바와 같이 빛의 파장을 360∼520 nm의 범위 내로 설정하는 것에 의해, 상기한 바와 같은 미소 영역간에 있어서의 온도차에 기인하는 소자 자체의 파손을 방지할 수 있다. 이 경우, 도 9로부터, 각 막의 종류의 반사율의 차를 보다 작게 할 수 있기 때문에, 파장 400∼470 nm의 범위가 보다 바람직한 것을 알 수 있다.
또한, 이 빛의 파장 360∼520 nm의 범위는, 앞의 도 7에서 설명한 제한 조건(470 nm 근방보다 작음) 및 도 8에서 설명한 제한 조건(180∼520 nm의 범위)도 만족하고 있고, 그 결과, LED 소자로서는 360∼520 nm의 범위 내의 파장의 빛을 발 생하는 LED 소자를 이용하는 것이 양호한 것을 확인할 수 있었다.
상술한 바와 같이, 실제의 LED 소자에서는 중심파장에 대하여 100 nm 정도의 파장 대역을 가진 브로드한 빛을 발생한다. 그리고, 현재는, 중심파장이 470 nm의 청색광을 발생하는 청색 LED 소자가 양산되고 있기 때문에, 이것을 이용하면 장치 자체를 염가로 제공할 수 있다. 그 외에, 자외광을 일부에 포함하는, 혹은 포함하지 않는 자색광을 발하는 자색 LED 소자나 자외광을 발하는 자외광 LED 소자 등도 이용할 수 있다. 또한, 이들 각 소자를 혼재시켜 마련하도록 하여도 좋다.
다음으로, 상술한 바와 같이 하여 구한 빛의 파장 360∼520 nm의 대역에 관해서, Si 기판의 방사율(흡수)의 파장과 온도의 의존성에 대하여 검토를 했기 때문에, 그 검토 결과에 대하여 설명한다. 도 10은 Si 기판의 방사율(흡수)의 파장과 온도의 의존성을 나타내는 그래프이다. 이 그래프는, T. Sato. Jpn. J. Appl. Phys. Vol. 6(1967)339. 에 있어서 표시되어 있다. 이 그래프에서는, 빛의 파장이 0.4 μm(400 nm) 근방으로부터 20 μm 정도의 범위까지 표시되어 있다. 이 도 10으로부터, 파장 400∼520 nm(0.4∼0.52 μm)의 범위에서는, 웨이퍼온도 543 K(270℃)∼1073 K(800℃)의 범위에 걸쳐, 방사율(흡수)이 0.5∼0.6의 높은 값으로 유지되고 있는 것을 확인할 수 있었다. 이것은, 웨이퍼를 저온으로부터 고온까지 높은 방사율(흡수)로 효율적으로 승온할 수 있는 것을 의미한다. 따라서, 상술한 바와 같은 파장 360∼520 nm의 범위 내의 빛으로 웨이퍼를 가열하는 것에 의해, 이 웨이퍼를 효율적으로 승온할 수 있다는 것을 확인할 수 있었다.
다음으로, 상술한 바와 같이 하여 구한 빛의 파장 360∼520 nm의 대역에 관 해서, 리플렉터의 재료에 대하여 평가를 하였으므로, 그 평가 결과에 대하여 설명한다. 도 11은 리플렉터의 재료와 반사율의 빛의 파장 의존성을 나타내는 그래프이다.
여기서는 리플렉터의 재료로서, Au(금)의 경우와, Al(알루미늄합금을 포함한다)만의 경우와, Al(알루미늄합금을 포함한다)의 표면에 MgF2(불화마그네슘)막을 코팅한 경우에 대하여 검토했다.
이 그래프로부터 분명하듯이, 빛의 파장 360∼520 nm의 범위에 있어서, Au의 경우는 반사율은 30∼40% 정도로서 그다지 바람직하지 못하다. 이에 반하여, Al만의 경우 및 Al의 표면에 MgF2를 코팅한 경우에는, 반사율은 80∼90%의 범위의 높은 값을 나타내고 있고, 따라서, 이들 재료가 제 1 및 제 2 리플렉터(70, 66)의 재료로서 적합한 것을 확인할 수 있었다.
다음으로, 상술한 바와 같이 하여 구한 빛의 파장 360∼520 nm의 대역에 관해서, 석영유리의 투과율에 대하여 평가를 했기 때문에, 그 평가 결과에 대하여 설명한다. 도 12는 석영유리의 투과율의 파장 의존성을 나타내는 그래프이다.
여기서는 빛의 파장을 150∼950 nm의 범위에서 변화시키고 있다. 이 그래프로부터 분명하듯이, 빛의 파장 360∼520 nm의 범위에 있어서, 석영유리의 투과율은 90∼94% 정도의 높은 값을 나타내고 있다. 따라서, 처리용기(24)의 천장부를 구성하는 광투과창(28)으로서 석영유리를 이용하면, 빛의 흡수가 적고 투과율이 높아져, 이 석영유리가 적합한 것을 확인할 수 있었다.
또한 여기서는 열처리로서 어닐 처리를 예로 들어 설명했지만, 이에 한정되지 않고, 산화확산 처리, 성막 처리, 개질 처리, 에칭 처리 등의 다른 열처리에 있어서도 본 발명을 적용할 수 있다.
또한, 여기서는 피 처리체로서 반도체 웨이퍼를 예로 들어 설명했지만, 이에 한정되지 않고, 유리 기판, LCD 기판, 세라믹 기판 등에도 본 발명을 적용할 수 있다.

Claims (25)

  1. 피 처리체를 가열하기 위한 가열 장치에 있어서,
    상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED(Light Emitthg Diode) 소자를 포함하는 복수의 가열광원을 구비한 것을 특징으로 하는
    가열 장치.
  2. 제 1 항에 있어서,
    상기 LED 소자는,
    자외광을 사출하는 자외광 LED 소자와 자색광을 사출하는 자색광 LED 소자와 청색광을 사출하는 청색광 LED 소자 중 적어도 하나의 종으로 이루어지는 것을 특징으로 하는
    가열 장치.
  3. 제 2 항에 있어서,
    상기 청색광 LED 소자는,
    중심파장이 470 nm의 가열용 빛을 사출하는 것을 특징으로 하는
    가열 장치.
  4. 제 1 항에 있어서,
    상기 각 가열광원에 대응하여 마련되고, 해당 가열광원으로부터의 빛을 반사하여 상기 피 처리체를 향하는 제 1 리플렉터를 더 구비한 것을 특징으로 하는
    가열 장치.
  5. 제 4 항에 있어서,
    상기 각 제 1 리플렉터로부터의 반사광은,
    각각 상기 피 처리체의 다른 영역을 향해서 집광하도록 설정되어 있는 것을 특징으로 하는
    가열 장치.
  6. 제 4 항에 있어서,
    상기 제 1 리플렉터의 반사면은 곡면형상으로 성형되어 있는 것을 특징으로 하는
    가열 장치.
  7. 제 4 항에 있어서,
    상기 제 1 리플렉터는,
    알루미늄 또는 알루미늄의 표면에 불화마그네슘막을 코팅하여 형성되는 것을 특징으로 하는
    가열 장치.
  8. 제 1 항에 있어서,
    상기 각 가열광원은,
    히트 파이프로 이루어지는 소자 부착막대와, 상기 소자 부착 막대의 선단부에 부착된 복수의 상기 LED 소자를 포함하는 것을 특징으로 하는
    가열 장치.
  9. 제 1 항에 있어서,
    상기 각 가열광원은,
    베이스부를 가지고,
    상기 가열광원의 상기 베이스부는 하우징에 의해 지지되어 있는 것을 특징으로 하는
    가열장치.
  10. 제 9 항에 있어서,
    상기 하우징은,
    돔 형상으로 성형되어 있고,
    그 내측은 곡면형상으로 성형되어, 제 2 리플렉터로서 기능하는 반사면이 구비된 것을 특징으로 하는
    가열 장치.
  11. 제 10 항에 있어서,
    상기 제 2 리플렉터는,
    알루미늄 또는 알루미늄의 표면에 불화마그네슘막을 코팅하여 형성되는 것을 특징으로 하는
    가열 장치.
  12. 제 9 항에 있어서,
    상기 하우징에는,
    상기 소자 부착 막대의 베이스부를 냉각하기 위한 부착 막대 냉각 수단이 마련되는 것을 특징으로 하는
    가열 장치.
  13. 제 8 항에 있어서,
    상기 각 가열광원의 상기 소자 부착 막대는,
    상기 피 처리체의 표면에 대하여 직교하는 방향, 또는 이 직교하는 방향에 근사한 방향을 따라서 마련되는 것을 특징으로 하는
    가열 장치.
  14. 제 1 항에 있어서,
    상기 피 처리체의 온도를 측정하기 위한 방사온도계를 더 구비하고,
    상기 방사온도계의 측정파장 대역은,
    상기 LED 소자로부터의 빛의 파장 대역과는 다르게 설정되어 있는 것을 특징으로 하는
    가열 장치.
  15. 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치에 있어서,
    배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와,
    상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와,
    상기 처리용기의 상기 천장부를 기밀하게 덮는 광투과창과,
    상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과,
    상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고,
    상기 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위 내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비한 것을 특징으로 하는
    열처리 장치.
  16. 제 15 항에 있어서,
    상기 탑재대의 상부에는,
    복수의 열전(熱電)변환 소자가 마련되는 것을 특징으로 하는
    열처리 장치.
  17. 제 15 항에 있어서,
    상기 탑재대에는,
    필요시에 열매체를 흐르게 하는 열매체유로가 마련되는 것을 특징으로 하는
    열처리 장치.
  18. 제 16 항에 있어서,
    상기 열처리 장치는,
    상기 열처리 장치 전체의 동작을 제어하기 위한 제어 수단을 더 구비하고,
    상기 제어 수단은,
    상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 것을 특징으로 하는
    열처리 장치.
  19. 제 16 항에 있어서,
    상기 열처리 장치는,
    상기 열처리 장치 전체의 동작을 제어하기 위한 제어 수단을 더 구비하고,
    상기 제어 수단은, 상기 피 처리체의 가열 시에는 먼저 상기 열전변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고, 상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 것을 특징으로 하는
    열처리 장치.
  20. 제 18 항 또는 제 19 항에 있어서,
    상기 제어 수단은,
    상기 각 열전변환 소자에 대하여 개별적으로 전류의 방향과 전력을 제어할 수 있고, 상기 피 처리체의 가열 시에는 상기 피 처리체의 온도의 면내균일성을 높이기 위해서 상기 열전변환 소자마다 가열, 혹은 냉각하도록 제어하는 것을 특징으로 하는
    열처리 장치
  21. 제 15 항에 있어서,
    상기 광투과창은 석영유리로 이루어지는 것을 특징으로 하는
    열처리 장치.
  22. 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치를 제어하는 컴퓨터 프로그램에 있어서,
    상기 열처리 장치는,
    배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와,
    상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와,
    상기 처리용기의 상기 천장부를 기밀하게 덮는 광투과창과,
    상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과,
    상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고,
    상기 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 상기 피 처리체에 대하여 소정의 열처리를 실시함에 있어서,
    상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고,
    상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는
    컴퓨터 프로그램.
  23. 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치를 제어하는 컴퓨터 프로그램에 있어서,
    상기 열처리 장치는,
    배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와,
    상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와,
    상기 처리용기의 상기 천장부를 기밀하게 덮는 광투과창과,
    상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과,
    상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고,
    상기 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 상기 피 처리체에 대하여 소정의 열처리를 실시함에 있어서,
    상기 피 처리체의 가열 시에는 먼저 상기 열전 변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고,
    상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는
    컴퓨터 프로그램.
  24. 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치를 제어하는 컴퓨터 프로그램을 기억한 기억 매체에 있어서,
    상기 열처리 장치는,
    배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와,
    상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와,
    상기 처리용기의 상기 천장부를 기밀하게 덮는 광투과창과,
    상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과,
    상기 광투과창의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출하는 가열 장치를 구비하고,
    상기 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 상기 피 처리체에 대하여 소정의 열처리를 실시함에 있어서,
    상기 피 처리체의 가열 시에는 상기 가열 장치를 온함과 동시에 상기 열전변환 소자에 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하고,
    상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램을 기억한
    기억 매체.
  25. 피 처리체에 대하여 소정의 열처리를 실시하도록 한 열처리 장치를 제어하는 컴퓨터 프로그램을 기억한 기억 매체에 있어서,
    상기 열처리 장치는,
    배기 가능하게 이루어져 개구된 천장부를 가지는 처리용기와,
    상기 처리용기 내에 마련되어 그 상면측에 상기 피 처리체를 탑재시키기 위한 탑재대와,
    상기 처리용기의 상기 천장부를 기밀하게 덮는 광투과창과,
    상기 처리용기 내를 향해서 필요한 가스를 도입하는 가스도입 수단과,
    상기 광투과의 위쪽에 마련되어, 상기 피 처리체를 향해서 가열용 빛을 사출 하는 가열 장치를 구비하고,
    상기 가열 장치는 상기 피 처리체를 향해서 파장이 360∼520 nm의 범위내의 가열용 빛을 사출하는 LED 소자를 포함하는 가열광원을 구비하고, 상기 탑재대의 상부에는, 복수의 열전변환 소자가 마련된 열처리 장치를 이용하여 상기 피 처리체에 대하여 소정의 열처리를 실시함에 있어서,
    상기 피 처리체의 가열 시에는 먼저 상기 열전변환 소자를 온하여 상기 피 처리체를 가열하도록 하는 방향으로 전류를 흐르게 하여 예비가열한 후, 상기 가열 장치를 온하고,
    상기 피 처리체의 냉각 시에는 상기 가열 장치를 오프함과 동시에 상기 열전변환 소자에 상기 피 처리체를 냉각하도록 하는 방향으로 전류를 흐르게 하도록 제어하는 컴퓨터 프로그램을 기억한
    기억 매체.
KR1020087011511A 2005-11-14 2006-10-31 가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체 KR101089929B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005329381A JP4940635B2 (ja) 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体
JPJP-P-2005-00329381 2005-11-14
PCT/JP2006/321764 WO2007058068A1 (ja) 2005-11-14 2006-10-31 加熱装置、熱処理装置、コンピュータプログラム及び記憶媒体

Publications (2)

Publication Number Publication Date
KR20080058488A true KR20080058488A (ko) 2008-06-25
KR101089929B1 KR101089929B1 (ko) 2011-12-05

Family

ID=38048458

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087011511A KR101089929B1 (ko) 2005-11-14 2006-10-31 가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체

Country Status (5)

Country Link
US (1) US8041197B2 (ko)
JP (1) JP4940635B2 (ko)
KR (1) KR101089929B1 (ko)
CN (1) CN101091236B (ko)
WO (1) WO2007058068A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160090760A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 배치 가열 및 냉각 챔버 또는 로드록
KR20200066717A (ko) * 2017-12-15 2020-06-10 스미도모쥬기가이고교 가부시키가이샤 척플레이트, 어닐링장치, 및 어닐링방법
KR20220012389A (ko) * 2017-03-03 2022-02-03 가부시키가이샤 스크린 홀딩스 열처리 방법 및 열처리 장치
US11476167B2 (en) 2017-03-03 2022-10-18 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus of light irradiation type

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
CN101436534B (zh) * 2003-10-09 2012-02-08 松下电器产业株式会社 制作器件的方法以及采用该方法形成的已加工材料
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
CN101552212B (zh) * 2008-04-02 2011-01-12 展晶科技(深圳)有限公司 半导体元件与热管的接合方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
WO2010077132A1 (en) 2008-12-31 2010-07-08 Draka Comteq B.V. Uvled apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110020035A (ko) * 2009-08-21 2011-03-02 에이피시스템 주식회사 냉각수가 상하층으로 구분되어 흐르는 급속열처리장치의 히터블록
US20120074126A1 (en) * 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
DK2388239T3 (da) 2010-05-20 2017-04-24 Draka Comteq Bv Hærdningsapparat, der anvender vinklede UV-LED'er
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
DK2418183T3 (en) 2010-08-10 2018-11-12 Draka Comteq Bv Method of curing coated glass fibers which provides increased UVLED intensity
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
JP5786487B2 (ja) * 2011-06-22 2015-09-30 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9842753B2 (en) * 2013-04-26 2017-12-12 Applied Materials, Inc. Absorbing lamphead face
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
JP2016540256A (ja) * 2013-09-11 2016-12-22 ヘレウス ノーブルライト アメリカ エルエルシー 多数の小型エミッタを具備する大面積高一様性uv供給源
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101818720B1 (ko) * 2013-10-21 2018-01-16 에이피시스템 주식회사 가열 모듈 및 이를 가지는 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9130030B1 (en) * 2014-03-07 2015-09-08 Applied Materials, Inc. Baking tool for improved wafer coating process
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) * 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) * 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
CN107660238A (zh) * 2015-05-29 2018-02-02 应用材料公司 具有反射体的处理腔室
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10180248B2 (en) 2015-09-02 2019-01-15 ProPhotonix Limited LED lamp with sensing capabilities
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
CN106231696A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种加热装置及加热方法
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11107708B2 (en) 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7244256B2 (ja) * 2018-11-08 2023-03-22 住友重機械工業株式会社 レーザアニール装置、ウエハ保持装置及びレーザアニール方法
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7198434B2 (ja) 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7480656B2 (ja) 2020-09-23 2024-05-10 ウシオ電機株式会社 光源ユニット及び加熱処理装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI765571B (zh) * 2021-02-09 2022-05-21 華邦電子股份有限公司 熱板冷卻系統
JP7230077B2 (ja) * 2021-02-12 2023-02-28 ウシオ電機株式会社 温度測定方法、光加熱方法及び光加熱装置
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435092A (en) * 1980-07-25 1984-03-06 Nippon Steel Corporation Surface temperature measuring apparatus for object within furnace
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5155336A (en) * 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5802099A (en) * 1996-08-26 1998-09-01 Moore Epitaxial, Inc. Method for measuring substrate temperature in radiant heated reactors
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6156079A (en) * 1998-10-21 2000-12-05 Ho; Henry Window support member for a semiconductor processing system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2001085408A (ja) 1999-09-13 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6376804B1 (en) * 2000-06-16 2002-04-23 Applied Materials, Inc. Semiconductor processing system with lamp cooling
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP2003077857A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
EP1467414A4 (en) 2001-12-29 2007-07-11 Hangzhou Fuyang Xinying Dianzi LED AND LED LAMP
JP2004031557A (ja) * 2002-06-25 2004-01-29 Ushio Inc 光加熱装置
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP2004134674A (ja) 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
JP2004296245A (ja) * 2003-03-26 2004-10-21 Matsushita Electric Works Ltd Ledランプ
US20040253839A1 (en) * 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP2005011941A (ja) 2003-06-18 2005-01-13 Tokyo Electron Ltd 半導体製造装置及び熱処理方法
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7351665B2 (en) * 2005-03-28 2008-04-01 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
US7133604B1 (en) * 2005-10-20 2006-11-07 Bergstein David M Infrared air heater with multiple light sources and reflective enclosure

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160090760A (ko) * 2015-01-22 2016-08-01 어플라이드 머티어리얼스, 인코포레이티드 배치 가열 및 냉각 챔버 또는 로드록
KR20220012389A (ko) * 2017-03-03 2022-02-03 가부시키가이샤 스크린 홀딩스 열처리 방법 및 열처리 장치
US11476167B2 (en) 2017-03-03 2022-10-18 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus of light irradiation type
KR20200066717A (ko) * 2017-12-15 2020-06-10 스미도모쥬기가이고교 가부시키가이샤 척플레이트, 어닐링장치, 및 어닐링방법

Also Published As

Publication number Publication date
WO2007058068A1 (ja) 2007-05-24
US8041197B2 (en) 2011-10-18
JP4940635B2 (ja) 2012-05-30
US20080226272A1 (en) 2008-09-18
JP2007141896A (ja) 2007-06-07
CN101091236B (zh) 2010-04-21
KR101089929B1 (ko) 2011-12-05
CN101091236A (zh) 2007-12-19

Similar Documents

Publication Publication Date Title
KR101089929B1 (ko) 가열 장치, 열처리 장치, 컴퓨터 프로그램 및 기억 매체
JP5055756B2 (ja) 熱処理装置及び記憶媒体
US6876816B2 (en) Heating device, heat treatment apparatus having the heating device and method for controlling heat treatment
US7949237B2 (en) Heating configuration for use in thermal processing chambers
TWI575635B (zh) 用於快速熱處理的裝置及方法
US9330949B2 (en) Heat treatment apparatus for heating substrate by irradiating substrate with flash of light
US8405175B2 (en) Suitably short wavelength light for laser annealing of silicon in DSA type systems
US8005352B2 (en) Heat treating device
JP2006059931A (ja) 急速加熱処理装置
JP2008130888A (ja) 熱処理装置
US20210274598A1 (en) Light-irradiation heat treatment apparatus
US6809035B2 (en) Hot plate annealing
JP2012178576A (ja) 熱処理装置及び記憶媒体
JP2613555B2 (ja) 不純物低温拡散方法及び不純物低温拡散装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20141103

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20151102

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20161028

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20171030

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20181119

Year of fee payment: 8