CN101091236B - 加热装置、热处理装置、计算机程序及存储介质 - Google Patents

加热装置、热处理装置、计算机程序及存储介质 Download PDF

Info

Publication number
CN101091236B
CN101091236B CN2006800016272A CN200680001627A CN101091236B CN 101091236 B CN101091236 B CN 101091236B CN 2006800016272 A CN2006800016272 A CN 2006800016272A CN 200680001627 A CN200680001627 A CN 200680001627A CN 101091236 B CN101091236 B CN 101091236B
Authority
CN
China
Prior art keywords
light
handled object
heater
heating
heated
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2006800016272A
Other languages
English (en)
Other versions
CN101091236A (zh
Inventor
河西繁
铃木智博
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101091236A publication Critical patent/CN101091236A/zh
Application granted granted Critical
Publication of CN101091236B publication Critical patent/CN101091236B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • H01L21/2686Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation using incoherent radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Optics & Photonics (AREA)
  • Electromagnetism (AREA)

Abstract

本发明涉及一种加热装置,该用于加热被处理体(W)的加热装置(62),包括多个加热光源,该加热光源具有向所述被处理体射出波长360~520nm范围内的加热用光的LED元件(74)。由此,能够在与膜种没有关系的温度分布均一的状态下,只使半导体晶片等被处理体的表面的浅层高速升温及高速降温。

Description

加热装置、热处理装置、计算机程序及存储介质
技术领域
本发明涉及对半导体晶片等照射加热用的光的加热装置、利用该装置对半导体晶片等进行规定的热处理的枚页式热处理装置、计算机程序以及存储介质。
背景技术
一般的情况下,在制造半导体器件时,需要对半导体晶片反复地进行成膜处理、形成图案蚀刻处理、氧化扩散处理、改性处理、退火处理等各种热处理从而制造所希望的器件,但是,伴随着半导体器件高密度化、多层化、以及高度集成化的同时,规格标准也日趋严格,尤其希望提高以上所列出的各种热处理在晶片内的均匀性以及提高膜质。以作为半导体器件的晶片管的沟道层(channel layer)等的处理为例进行说明。一般情况下,在对沟道层等注入杂质离子后为了使原子结构稳定化还需对其进行退火处理。
这里,参照图13,以晶片管的一般结构为代表例,就门结构的沟道层进行说明。在图13中,在掺杂有n型杂质的n型硅基板等的半导体晶片W的表面上,分别设置掺杂有p型杂质的p+浓度的源极(source)2以及漏极(drain)4,并且在各源极2和漏极4的表面上分别形成有杂质浓度比上述p+区域变高的p++区域6、8。而且,在上述源极2和上述漏极4之间,经由例如硅氧化膜等的门绝缘膜10,形成有例如由多晶硅层构成的门电极12。
另外,在该门电极12或门绝缘膜10的侧壁上还形成了例如SiN构成的绝缘层14。此外,如此形成的微细的晶片管在晶片表面形成有多个,除此之外,在晶片的表面上还形成有许多其它必要的微小的元件。并且,这种晶片管只不过是表示了其中的一个例子,根据用途能够使用多种多样用途各异的膜种。正如上面所述,为了使掺杂有杂质的区域的原子结构趋于稳定而进行退火处理。
在这种情况下,虽然如果长时间进行上述退火处理,则原子结构稳定化,但是杂质原子会向膜厚方向扩散至内部深处,从下方穿透出来,所以必须要尽量缩短时间。即是说,如果要使沟道层等的膜厚既薄又不会产生穿透,还要原子结构稳定的话,必须要将半导体晶片迅速升温到退火温度,并且在退火处理后迅速降温到不发生扩散的低温。
为了实现这样的退火处理,现有的处理装置一般都是进行用加热灯的灯照退火(专利文献1)。而该加热用灯一般是卤素灯或闪光灯(flash lamp)等。
另外,现有的其他一些处理装置,例如专利文献2所示,是在晶片台(Wafer stage)上设置珀耳帖元件,当在100~250℃左右对晶片进行蚀刻时,利用上述的珀耳帖元件来对晶片进行升温降温的装置。
而且,最近,为了能够有较大的输出而开发的LED(Light EmittingDiode)元件或激光器有被用作加热源或光源的趋势(专利文献3~5)。就这种LED元件或激光来说,元件本身的发热与加热灯比起来非常的少,而寿命比加热灯长的多,况且热容量也要小很多,所以有被广泛使用的趋势。
例如在专利文献3中就介绍了一种组合加热管与LED元件的灯,在专利文献4中说明的是利用LED元件或激光器对抗蚀剂(resist)加热,而在文献5中说明的是为了进行CVD处理而采用LED元件阵列(array)。
[专利文献1]美国专利第5689614号
[专利文献2]日本特开2001-85408号公报
[专利文献3]日本特开2004-296245号公报
[专利文献4]日本特开2004-134674号公报
[专利文献5]美国专利第6818864号
如上所述,在进行热处理时,不仅要进行加热使得晶片的表面温度分布均匀,还要使晶片温度能够在短时间内实现升温降温。
而且,在半导体器件的更加高速化细微化的要求下,关于源极2与漏极4等的杂质注入区域来说,都在追求更浅并且杂质浓度也更高。因此,在对这些区域进行退火处理时,为了尽量抑制杂质在基板厚度方向的扩散,必须追求更高速地升温降温。
但是,在利用卤素灯作为加热单元进行退火处理时,射出的加热用光的中心波长为例如1~3μm,该波长带域就会拓宽到3~5μm,而且波长长,所以,加热的不仅仅是晶片表面浅的那一部分,光可以达到晶片表面较深的位置使晶片表面较深的部分(深部)也被加热。其结果,就产生了杂质扩散至晶片表面深的部分的问题。
另外,由于射出的光的波长如上所述比较长,会使晶片表面较深位置的一些不必要的区域也被加热,升温效率也降低,所以,这正是需要高输出但能源效率降低的原因。
其次,在利用闪光灯作为加热单元来进行退火处理时,从该闪光灯发出的光的波长虽然比上述的卤素灯短很多,但是根据图14所示的闪光灯的波长带域来看,是以500nm左右为中心波长,非常宽的1μm左右的带域,因此它也同上述的卤素灯一样,有加热至晶片的深处的问题。
与之相对的,在利用激光器或LED(Light Emitting Diode:发光二极管)元件作为加热单元时,能够消除上述的问题,能够只对晶片的表面进行有效的加热。但是,在代表激光器的ArF激光器(准分子激光器:中心波长193nm)或KrF激光器(准分子激光器:中心波长248nm)的情况下,由此放射的光的带域宽度在1μm以下,与上述情况相反过于狭窄,其结果是,根据膜种与波长的不同,在微小的区域里产生成为横向压力生成原因的温度差,或者会有部分熔融等的问题。再者,因为光路截面积非常小,为了对晶片整个表面进行加热必须利用扫描机构使激光进行扫描,因此又产生了机构变复杂的问题。
另外,在使用LED元件的情况下,所放射的光的波长根据所使用的元件而不同,例如,在300~950nm的范围内,具有100nm左右的带域宽度,因此所放射的光具有比激光器的情况下还宽并且比上述闪光灯或者卤素灯的情况下还窄的带宽,所以可抑制根据膜质的加热温度的选择性,其结果,具有能够比较均匀地加热晶片表面侧的特性。
但是,只使用LED元件的话,由于所射出的光的波长并不是最优化的波长,而为了以最近的设计规则中所要求的程度,将杂质扩散区域的深度维持在较浅的程度,并且要维持杂质的高浓度,所以要仅仅对晶片表面进行有选择性且均匀的加热是比较困难的。
发明内容
本发明从上述的问题着手,是可以有效地解决这些问题的提案。本发明的目的是提供通过使从LED元件所发出的加热用的光的波长最优化,只对半导体晶片等被处理体的表面较浅地、并且与膜种没有关系、在均匀的温度分布的状态下,进行高速升温以及高速降温的加热装置、使用该加热装置的热处理装置、计算机程序以及存储介质。
本发明提供一种用于加热被处理体的加热装置,其特征在于:包括多个加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED(Light Emitting Diode)元件。
这样,因为具有多个加热光源,且该加热光源包括可以向上述被处理体射出波长在360~520nm范围内的加热用光的LED元件,所以能够使从LED元件所发出的加热用的光的波长最优化,结果,能够只对半导体晶片等被处理体的表面较浅地、并且与膜的种类没有关系、在均匀的温度分布的状态下,进行高速升温以及高速降温。
本发明是一种加热装置,其特征在于:所述LED元件由射出紫外光的紫外光LED元件、射出紫色光的紫色光LED元件、和射出蓝色光的蓝色光LED元件中的至少一种构成。
本发明是一种加热装置,其特征在于:所述蓝色光LED元件射出中心波长为470nm的加热用光。
本发明是一种加热装置,其特征在于:还包括与所述各加热光源对应设置、反射从该加热光源射出的光并朝向所述被处理体的第一反射器。
本发明是一种加热装置,其特征在于:来自所述各第一反射器的反射光被设定为分别朝向所述被处理体的不同区域聚光。
本发明是一种加热装置,其特征在于:所述第一反射器的反射面形成为曲面状。
本发明是一种加热装置,其特征在于:所述第一反射器是由铝或在铝的表面覆盖氟化镁膜而形成的。
本发明是一种加热装置,其特征在于:所述各加热光源包括由热管构成的元件安装棒、和安装在该元件安装棒的前端部上的多个所述LED元件。
本发明是一种加热装置,其特征在于:所述各加热光源具有基部,该加热光源的基部由外壳进行支承。
本发明是一种加热装置,其特征在于:所述外壳形成为半球状,其内侧形成为曲面状,成为作为第二反射器发挥功能的反射面。
本发明是一种加热装置,其特征在于:所述第二反射器是由铝或在铝的表面覆盖氟化镁膜而形成的。
本发明是一种加热装置,其特征在于:在所述外壳中设置用于冷却所述元件安装棒的基部的安装棒冷却单元。
本发明是一种加热装置,其特征在于:所述各加热光源的元件安装棒是沿着与所述被处理体的表面正交的方向、或者沿着与该正交方向相近似的方向设置的。
本发明是一种加热装置,其特征在于:还包括用于测定所述被处理体的温度的放射温度计,设定该放射温度计的测定波长带域,使之与所述LED元件所发出的光的波长带域不同。
本发明是一种热处理装置,用于对被处理体实施规定的热处理,其特征在于,包括:可排气并具有开口着的顶棚部的处理容器;设置在所述处理容器内并在其上面侧载置所述被处理体用的载置台;气密地覆盖所述处理容器的顶棚部的光透过窗;向所述处理容器内导入必要气体的气体导入单元;和设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,其中所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED元件。
本发明是一种热处理装置,其特征在于:在所述载置台的上部,设置多个热电转换元件。
本发明是一种热处理装置,其特征在于:在所述载置台上,设置有在必要时流过热介质的热介质通路。
本发明是一种热处理装置,其特征在于:所述热处理装置还包括用于控制该热处理装置整体动作的控制单元,该控制单元按照如下方式进行控制,在所述被处理体的加热时,接通所述加热装置,并且在所述热电转换元件中向着加热所述被处理体的万向流过电流,在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
本发明是一种热处理装置,其特征在于:所述热处理装置还包括用于控制该热处理装置整体动作的控制单元,该控制单元按照如下方式进行控制,在所述被处理体的加热时,首先接通所述热电转换元件,向着加热所述被处理体的方向流过电流,进行预加热后,接通所述加热装置,在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
本发明是一种热处理装置,其特征在于:所述控制单元能够对各热电转换元件分别控制其电流的方向和电力,在所述被处理体的加热时,为了提高所述被处理体的温度的面内均匀性,对每个所述热电转换元件,控制加热或冷却。
本发明是一种热处理装置,其特征在于:所述光透过窗由石英玻璃构成。
本发明是一种计算机程序,其特征在于:在用于对被处理体实施规定的热处理的热处理装置中,包括,可排气并具有开口着的顶棚部的处理容器;设置在所述处理容器内并在其上面侧载置所述被处理体用的载置台;气密地覆盖所述处理容器的顶棚部的光透过窗;向所述处理容器内导入必要气体的气体导入单元;和设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED元件,其中,在使用在所述载置台的上部设置有多个热电转换元件的热处理装置对被处理体实施规定的热处理时,所述计算机程序进行如下控制:在所述被处理体的加热时,接通所述加热装置,并且在所述热电转换元件中流过可加热所述被处理体的方向上的电流;在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中流过可冷却所述被处理体的方向上的电流。
本发明是一种计算机程序,其特征在于:在用于对被处理体实施规定的热处理的热处理装置中,包括,可排气并具有开口着的顶棚部的处理容器;设置在所述处理容器内并在其上面侧载置所述被处理体用的载置台;气密地覆盖所述处理容器的顶棚部的光透过窗;向所述处理容器内导入必要气体的气体导入单元;和设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED元件,其中,在使用在所述载置台的上部设置有多个热电转换元件的热处理装置对被处理体实施规定的热处理时,所述计算机程序进行如下控制:在所述被处理体的加热时,首先接通所述热电转换元件,向着加热所述被处理体的方向流过电流,进行预加热后,接通所述加热装置;在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
本发明是一种存储介质,其特征在于:存储有下述计算机存储程序,在用于对被处理体实施规定的热处理的热处理装置中,包括,可排气并具有开口着的顶棚部的处理容器;设置在所述处理容器内并在其上面侧载置所述被处理体用的载置台;气密地覆盖所述处理容器的顶棚部的光透过窗;向所述处理容器内导入必要气体的气体导入单元;和设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED元件,其中,在使用在所述载置台的上部设置有多个热电转换元件的热处理装置对被处理体实施规定的热处理时,所述计算机程序进行如下控制:在所述被处理体的加热时,接通所述加热装置,并且在所述热电转换元件中流过可加热所述被处理体的方向上的电流;在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中流过可冷却所述被处理体的方向上的电流。
本发明是一种存储介质,其特征在于:存储有下述计算机存储程序,在用于对被处理体实施规定的热处理的热处理装置中,包括,可排气并具有开口着的顶棚部的处理容器;设置在所述处理容器内并在其上面侧载置所述被处理体用的载置台;气密地覆盖所述处理容器的顶棚部的光透过窗;向所述处理容器内导入必要气体的气体导入单元;和设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的LED元件,其中,在使用在所述载置台的上部设置有多个热电转换元件的热处理装置对被处理体实施规定的热处理时,所述计算机程序进行如下控制:在所述被处理体的加热时,首先接通所述热电转换元件,向着加热所述被处理体的方向流过电流,进行预加热后,接通所述加热装置;在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
根据本发明所涉及的加热装置、采用此加热装置的热处理装置、程序以及存储介质,能够发挥出以下的优良效果。
因为具有多个加热光源,且该加热光源包括可以向上述被处理体射出波长在360~520nm范围内的加热用光的LED元件,所以能够使从LED元件所发出的加热用的光的波长最优化,结果,能够只对半导体晶片等被处理体的表面较浅地、并且与膜的种类没有关系、在均匀的温度分布的状态下,进行高速升温以及高速降温。
附图说明
图1为本发明的热处理装置的一例的截面结构图。
图2为热电转换元件的排列状态平面图。
图3为从载置台方向看加热装置时的反射器(reflector)排列的图。
图4为从作为加热光源的半导体光射出元件射出的加热用光的光路图。
图5为安装有半导体光射出元件的元件安装棒放大截面图。
图6为元件安装棒前端部分的扩大立体图。
图7为表示光向Si基板的厚度方向(深度方向)的侵入深度与波长依存性的图表。
图8为Si基板的线吸收系数与光的波长的依存关系的图表。
图9为晶片表面各膜种的反射率与光波长依存关系的图表。
图10为Si基板的放射率(吸收)与波长和温度的依存关系的图表。
图11为反射器材料的反射率与光的波长的依存关系的图表。
图12为石英玻璃的穿透率和波长的依存关系的图表。
图13为晶片管一般结构的代表例子的门结构的示意图。
图14为闪光灯波长带域示意图。
具体实施方式
以下,将根据附加的图对本发明所涉及的加热装置、热处理装置、以及存储介质的一个实施方式进行说明。
图1为本发明的热处理装置的一例的截面结构图。图2为热电转换元件的排列状态平面图。图3为从载置台方向看加热装置时反射器的排列状态图。图4为从作为加热光源的半导体光射出元件所射出的加热用光的光路图。图5为安装有半导体光射出元件的元件安装棒放大截面图。图6为元件安装棒前端部分的扩大立体图。
如图1所示,这个热处理装置22包括具有由铝构成的形成为筒体状并开着口的顶棚部24a的处理容器24;以及设置在处理室24内,其上面侧用来放置半导体晶片(被处理体)W的载置台38。该处理容器24被设置成能够收纳例如300mm晶片的大小。这个处理容器24的顶棚部24a开口,在该开口部经由O形环等的密封材料26气密地设置有相对后述加热用光为透明的光透过窗28。作为该光透过窗28的材料,针对本发明所使用的加热用光的波长,特别采用了透明的例如石英玻璃等材料。
另外,这个处理容器24的侧壁上设置有开口27,同时在这个开口27上设置了在半导体晶片W被搬出搬入时可开闭的闸阀30。而处理容器24另外的侧壁上还设置有作为在处理时向内部导入必要气体的气体导入单元的气体喷嘴32。另外在处理容器24底部的周边部上形成有排气口34,在该排气口34连接着图中未表示出的设置有真空泵的排气系统,处理容器24内的气氛可以实现抽真空。而且,也可以根据处理将处理容器24内维持在大气压程度。另外,该处理容器24的底部被较大的开口,如上所述,该开口经由例如O形环等的密闭部件36气密地安装并固定有兼作底部且壁厚的载置台38。
这个载置台38具有:例如铝制壁厚的载置台本体40、设置在其上部的多个热电转换元件42、以及设置在热电转换元件42的上侧的薄的圆板状载置板44。作为被处理体的半导体晶片W被直接放置在这个载置板44上。具体来说的话,上述的热电转换元件42采用例如珀耳帖元件。该珀耳帖元件是通过电极串联连接不同种的导体或半导体,如果流过电流,则在接点间除了焦耳热以外产生热和吸热的元件,通过例如可以在200℃以下的温度下使用的Bi2Te3(铋·碲)元件、能够在更高温度下使用的PbTe(铅·碲)元件、以及SiGe(硅·锗)元件等形成,经由导线48电气连接在热电转换元件控制部46上。热电转换元件控制部46可以控制在对上述晶片W进行热处理时所供给热电转换元件42的电流大小、方向。
图2是表示由珀耳帖元件构成的热电转换元件42的排列的一例。在图2中所示的例子是,相对于直径300mm的晶片W,将60个热电转换元件42几乎毫无间隙的覆盖在上述载置板44的背面侧的整个面上。如果像这样紧密相接配置热电转换元件42的话,则能够均匀加热晶片W和载置板44。热电转换元件42的形状不仅仅限于四角形也可以是圆形或六角形的。这里所说的热电转换是指由热能转换为电能或者由电能转换为热能。另外,这里所介绍的上述热电转换元件42,是为了只由使用着成为后述本发明特征的LED元件的加热装置来进行必要的热处理而设置的,也可以不设置。
回到图1,在上述载置台本体40的内部,横跨其平面方向的几乎整个平面形成有热介质通路50。该热介质通路50设置在上述热电转换元件42的下部,在晶片W降温时,通过供给制冷剂(水)作为热介质从上述的热电转换元件42下面吸收热量从而对其进行冷却。另外,在晶片W升温时,通过根据需要供给温介质从上述的热电转换元件42的下面吸收冷热从而对其进行加热。此外,热介质通路50通过热介质导入管54以及热介质排出管56与供给热介质的介质循环器52相连。由此,介质循环器52将热介质循环供给到热介质通路50中。
另外,作为设置在上述的热电转换元件42上的载置板44的材料来说,由SiO2、A1N、SiC、Ge、Si、以及金属材料等构成。载置台38上设置有图中未表示出的升降晶片W的升降机构,这个升降机构包括贯穿载置台本体40以及载置板44,从下方支承晶片W的多个可以自由升降的支承销;以及用来使这些支承销升降的驱动装置。
另外,在载置台本体40中形成有在上下方向将其贯穿的贯通孔57,在这里设置放射温度计58。具体来讲,在气密状态下将一直延伸到上述载置板44下面的光纤(fibre)60通插入上述的贯通孔57,以使得可以对来自载置板44的辐射光进行导向。而且,在该光纤60的端部连接着放射温度计本体62,能够利用规定的测定波长带域的光测定载置板44的温度,也就是晶片的温度。在这里,设定上述放射温度计58的测定波长带域,使之与后叙的LED元件射出的光的波长带域为不同的值。
在处理容器24的光透过窗28的上方,设置有可以对上述晶片W发射加热用光的加热装置62。具体来讲,这个加热装置62有成形为穹顶半球状的外壳64,该外壳以覆盖上述光透过窗28上方的方式进行设置。这个穹顶状的外壳64是由铝(包含铝合金)或铜等导热性能良好的材料构成,全体形成为例如半球的形状。在这个外壳64的下端部的一部与处理容器24的上端部的一部之间由图中并未表示出的链状物(hinge)连接,上述的外壳64可以展开。
在这个外壳64的内周面上,成为实施过氟化镁膜(MgF2)或镀金等的高反射率的反射面,该外壳64的反射面发挥作为第二反射器66的功能。此外,作为该第二反射器66来说,如后所述,优选在铝(包含铝合金)的表面涂上(coating)氟化镁膜。而且,在该外壳64的内周面侧安装着多个加热光源68,以此来射出加热用的光(光线)。该加热光源68比较均匀地分布在穹顶状外壳64内周面的几乎整个区域,例如,在这里共设置了39个左右。不过图3中加热光源68的表示被省略了。
另外,如图3图4所示,与各加热光源68相对应,分别设置了凹陷为曲面状进行成形的第一反射器70。这个第一反射器70的内周面成为实施过氟化镁膜或镀金等的高反射率的反射面。并且该第一反射器70和上述的第二反射器66一样,优选在铝(包含铝合金)的表面涂上(coating)氟化镁膜。该第一反射器70的开口面形成为圆形或者椭圆形,设置成从下方的载置台40看过来的投影图为同一形状。如上所述,外壳64采用了半球状的曲面形状,由此可以比平面形状的情况安装更多的上述的加热光源68,这样一来就可以为加热用投入更大的电力。
另外,上述的各加热光源68,如图5及图6所示,包括微小的棒状的元件安装棒72;以及安装在其几乎整体上的作为本发明特征的多个LED元件74,这个元件安装棒72的基部72a连接安装在上述外壳64的第一反射器70的中央部所设置的连接端子76(参照图4)上,由此,在支承固定元件安装棒72的同时,也可以给上述的LED元件74提供必要的电力。上述的连接端子76通过图中未表示出的配线连接在电源系统上。由此,大部分的元件安装棒72都被设置在了沿着与晶片W的表面正交的方向或者接近正交的方向上。
而且,在本发明中,LED元件74采用了射出的加热用的光的波长在360~520nm范围内(分别对应紫外光~紫色光~绿色光)的LED元件,因此,如后所述,与晶片面内的膜的种类无关,可以在维持温度的面内均一性的状态下实现快速的升温和快速的降温。即是说,该LED元件74采用主体发出蓝色光的蓝色光LED元件或主体发出紫色光的紫色光LED元件,在一般的LED元件中,输出的光的波长具有100nm左右的宽度。
另外,上述的元件安装棒72为一个中空状的例如热管(heat pipe),如图5所示,在其内面上粘贴了管芯(wick)78,并且在内部密封有工作流体。这个元件安装棒72由铝或铜等导热性良好的金属材料做成。这个元件安装棒72形成为多角形,比如在图6中形成为六角形,在其大致整体的侧面上,使上述的LED元件74集中进行安装,整个看起来就像是点光源的大小。而这个LED元件74在现有的技术下正在开发每一个元件都能够得到高输出的元件。例如正在开发能够得到LED元件的每个元件都为最大30W左右的高输出的元件。例如,这里,在上述的六角形的元件安装棒72的各面上,分别排列42个LED元件74进行设置,因此,对于一个元件安装棒72就可设置252个(=42个×6列)LED元件74。
这里,假设一个LED元件74的输出为7.5W(瓦特),则从一个加热光源68能够得到7.5W×252=1890W(瓦特)的高输出。而且,如果假设加热光源68全部的数量为如上所述的39个,则总输出就有1890W×39=73.71kW。这个输出是可以使晶片达到1000℃/sec的升温速度的必要能量。此外,在上述元件安装棒72自身,也设置了与上述接续端子76和各LED元件74电连接的配线,但在图中并未表示出配线。
上述的元件安装棒72总长为60mm左右,六角形的每条边长L1为1~3mm左右,非常小型化。
如图4所示,如果假定上述第一反射器70的曲面形状为具有两个焦点f1、f2的旋转椭圆面,将被视作点光源的加热光源68的LED元件74群设置在焦点f1,则加热光源68放射出的光线中经第一反射器70反射后的反射光80A聚焦在第二焦点f2。但是,因为实际上并不是完全的点光源,从加热光源68射出的经过第一反射器70反射后的反射光80A中有一部分被扩散并没有聚焦在第二焦点f2,而是照在了周围。另外,从加热光源68射出的并没有照在第一反射器70上的直射光80B中有一部分直接照射到了晶片W的表面,其他的一部分照在第二反射器66上反射后照射到晶片W的表面。照射晶片W的光中,被晶片W吸收的量最大为70%左右,剩下的被反射或是穿透。这其中,反射后的光被第二反射器66反射之后再次照射晶片W。于是,直射光中,照射在载置台38与载置板44上的光成为损失。这些损失的光线的量能够通过改变第一反射器70的大小、倾角、开口直径等来尽力缩小。
加热光源68的数量根据晶片W的大小、1个加热光源在晶片W上的照射面积S1、晶片W升温速度的设计指标、加热光源68整体的功率、和第二反射器66的直径等来决定。
在这里,设定由各加热光源68照射到的照射面积S1的区域,使得在晶片W表面朝向各个不同区域聚光,并能够覆盖晶片W表面的全部区域。
回到图1,在设置有上述加热光源68的外壳64上,设置用来冷却上述元件安装棒72的基部侧的安装棒冷却单元82。具体来说,这个安装棒冷却单元82具有通过上述元件安装棒72的基部附近而形成的冷却介质通路84,从制冷剂入口84A导入冷却介质,比如冷却水,然后从制冷剂出口84B排出。并且对上述的外壳64的内侧空间也可以进行空冷。另外,为了不发生导致测量误差的杂散光现象,将上述的放射温度计58的测定波长带域与上述的LED元件74的光的波长(360~520nm)设定为不同的值,例如设定3μm左右的波长,作为测定波长带域。
该热处理装置22整体由通过例如微型计算机等构成的控制单元86控制。同时,该控制单元86包括存储了控制整个装置动作用的程序的存储介质88,比如软盘、闪存、硬盘、DVD以及CD-ROM等等。
接下来,说明通过如上所述形成的热处理装置22对晶片W进行的热处理动作。如上所述,以下所说明的动作都是根据存储在上述存储介质88中的程序而进行的。这里,以对在表面注入有杂质的晶片W进行退火为例进行说明。
首先说明一般的动作,打开设置在处理容器24侧壁的闸阀30,将需要处理的晶片W由开口27运入处理容器24内,并放在载置台38的载置板44上。随后,关闭闸阀30,将处理容器24密闭。接下来由排气单元对处理容器24内进行真空排气,通过气体供给源送入处理气体,置换为例如氩气或氮气,维持在规定的处理压力(例如100~10000Pa)。
接下来,对由珀耳帖元件构成的热电转换元件42通电,对晶片W进行预备加热。预备加热的温度为500~600℃左右。在该预备加热温度中,晶片W中所注入的杂质不会扩散。
由放射温度计58来检测晶片W的温度,当放射温度计58检测到温度达到规定预备加热的温度后,接通加热装置62全部的加热光源68,各LED元件74放射光,这些光照射在晶片W的表面,使其瞬间升温至规定的处理温度(例如1000℃)。这时,为热电转换元件42提供的电力也作为例如满功率(full power),从上下两面使得晶片W迅速升温。
这种情况下,在不使用热电转换元件42对晶片W进行预备加热的时候,可以在接通加热光源68的同时对热电转换元件42通电。
此外,在晶片W温度的面内均匀性非常重要时,可以通过对各个热电转换元件42的电流方向以及电力进行控制,使热电转换元件42单独加热或冷却,以此来修正加热装置62的加热光源68的热量不均匀。如此一来可以更好的提高晶片温度的面内均匀性。
另外,在载置台38上没有设置热点转换元件42的情况下,通过加热装置62的驱动进行升温至上述预备加热以及处理温度。于是,通过将该高温状态维持规定的时间,进行退火处理。这样,晶片W被加热,提高升温速度直至例如100~1000℃/sec左右,能够实现高速升温。
特别地,集合多个可高输出的LED元件74,将其点光源化而成为加热光源68,配置多个该加热光源,各加热光源68可发射更高输出的加热用光,因此能够使晶片表面上的光的照度非常高,可以实现迅速的升温。此外,在本发明中,设定LED元件74所发出的光的波长在波长带域,也就是360~520nm范围内,该波长带域与膜种对光的反射特性(吸收特性)之间的依存性少,并且向沿晶片深度(厚度)方向的穿透少,从而能够有选择性的只加热晶片表面侧,所以,能够在维持晶片表面温度的面内均匀性的同时仅使晶片表面的浅的部分高速的升温。这种情况下,显而易见,控制加热部位的深度,只要控制光的照射时间就可以了。
在进行退火处理时,由珀耳帖元件构成的热电转换元件42的背面侧会产生冷热,因此为了排出此冷热,在载置台本体40上设置的加热介质流路50中流通入加热介质,可以使热电转换元件42有效地动作。
另外,加热装置62的LED元件74,与伴随着大量的焦耳热产生的电阻加热器,在发光形态上不同,但是也不可避免其自身会有一定程度的发热。但是,因为装有LED元件74的这个元件安装棒72由热管构成,所以可以将上述的LED元件74所产生的热带到元件安装棒72的另一端,同时传导到由铝等制的外壳64侧,进一步的,因为在外壳64上所设置的元件安装棒冷却单元82的制冷剂通路84里通入了冷却用水来排热,所以LED元件74和元件安装棒72能够得到有效的冷却。
另外,因为多数由热管构成的元件安装棒72都被设置在了沿相对晶片W的表面正交的方向或者接近该正交的方向上,所以,可以使主要通过重力动作的热管更有效率地动作,因此LED元件74的冷却效率得到了提高。
而且,通过第一反射器70与第二反射器66来有效地反射发光效率很高的LED元件74发出的光,能够均匀地对晶片表面进行照射,因此能够提高加热的效率,同时,也能够使晶片温度的面内均匀性提高。特别的,因为在上述的反射器66、70的表面上涂有MgF2膜的情况下,能够提高反射率,所以能够进一步有效率地进行加热。
如此一来,如果要在规定的短时间内进行退火处理的话,为了防止晶片W中的杂质过度扩散,需要尽量迅速地冷却晶片W。即、这种情况下,为了能使晶片的温度迅速下降,需要对由珀耳帖元件构成的热电转换元件42通入与加热时相反方向的电流,对其上面进行冷却。由此,载置板44被冷却,可急速地冷却晶片W。这时,因为热电转换元件42的下面会产生热量,被加热,所以,为了将其冷却,与加热晶片时正好相反,要向加热介质流路50里注入制冷剂。由此,能够使热电转换元件42有效地动作。
而且,在进行上述动作的同时,将设置在外壳64上的加热装置62的各个加热光源68关闭,切断电力供给。此时,持续向元件安装棒冷却单元82的制冷剂通路84中流入制冷剂,比如冷水,来对各加热光源68的元件安装棒72以及LED元件74降温。这种情况下,在使用加热灯作为晶片加热源的情况下,因为加热灯本身具有巨大的热容量,即使关灯以后加热灯本身也还是高温状态,加热灯自身发出的辐射热也会加热晶片,即使使用冷却单元加热速度也会有界限,进一步提高降温速度还是很困难的,而在本发明装置中,采用了元件本身发热量非常少的LED元件74,并且还用元件安装棒冷却单元82冷却LED元件74和元件安装棒72,所以不仅能够抑制元件本身的发热量,也能够迅速地对其进行冷却,因此,将大幅度地削减所放出的辐射热,结果,将大幅提高晶片W的降温速度,能够实现高速降温。
这种情况下,与加热晶片时的说明一样,因为使用热管作为元件安装棒72,并且多数的元件安装棒72都被设置在沿着与晶片表面正交的方向(铅直方向)或接近正交的方向上,以使得热管可以有效率地工作,所以能够更加有效果乃至有效率地对LED元件74进行冷却,结果,能够以更大的降温速度进行降温,实现高速降温。根据本发明装置,能够以100~150℃/sec左右的高速的降温速度冷却晶片。并且,与加热灯相比,LED元件74的寿命更长。
在上述的实施方式中,每个加热光源68所设置的第一反射器70的曲面形状为旋转椭圆面,但是并不限定于此,也可以设定为近似旋转椭圆面的曲面,例如旋转抛物面(抛物线状)或者半球面等。
另外,也可以将设置在外壳64上的各加热光源68间隔为例如同心圆状的多个区域,能够对每个区域控制供给电力。
另外,作为气体导入单元32并不一定限定为喷嘴,例如,对于加热用的光,也可采用透明的材料、例如石英制的喷淋头结构。
再者,在上述的实施方式中,虽然以半球曲面形状的外壳64为例进行了说明,但是并不仅限于此,可以是旋转椭圆形状或者与其近似的曲面形状,再者,虽然加热光源68的安装数量变少,平面形状也是可以采用的,不管采用哪一种,都是根据各加热光源68的输出功率或晶片W的加热温度来决定的。
接下来,关于将在本发明中使用的LED元件74所射出的光的波长限定在360~520nm范围内,即限定在紫光(包含一部分紫光)到蓝光的范围内的理由进行说明。
首先,如参照图13所进行的说明,由于对晶体管等器件更高的高速操作化、以及高集成化的要求,在掺杂有杂质的源极或漏极等的扩散区域,杂质的浓度更高,并且该扩散区域有趋于更浅(变薄)的倾向。
因此,在对晶片进行退火处理的时候,为了尽可能地抑制杂质在晶片深度方向(厚度方向)上的扩散,有必要只对晶片的表面部迅速地升温及降温,并且,为了抑制在晶片表面的细微区域上产生横向应力,在对上述晶片升降温时,应该防止晶片表面温度分布的不均一并尽量维持面内温度的均一性。
依据上述观点,对光的各个波长的特性进行评价。
首先,就在作为半导体晶片的硅基板的厚度方向(深度方向)上的光的侵入深度与波长的依存性进行评价。图7是在硅基板的厚度方向(深度方向)上光的侵入深度的波长依存性的示意图。这里,使光的波长在370(包含一部分紫外光)~1000nm范围间变化,测定此时的硅基板厚度方向(深度方向)上光的透过率。在此,透过率在深度方向上急剧减少意味着只对晶片的表面部进行加热,透过率在深度方向上平缓的减少意味着加热至晶片的深部。从该图可以很明显地看出,波长越短深度方向上的透过率减少的越多,因此,可以判断波长越短就越能有选择性的只对晶片的表面部进行加热。换言之,波长越长,越能够加热至晶片的深部。这样的情况下,在最近的设计标准中,杂质的注入深度从晶片表面开始最大为50nm左右,变得非常浅。因此,具有如下判断,光的波长在700nm左右或者以上的,会加热到晶片的深部,所以并不优选,有必要使光的波长比470nm(蓝光)左右小。
这里,对于硅基板的线吸收系数与光的波长依存性一般具有图8所示的特性。图8是相对于硅基板的线吸收系数与光的波长依存性的示意图。这里,光的波长在100~1000nm范围内。依照该图,以波长300nm(紫外光)为峰值(peak)越向其前后方向,线吸收系数逐渐降低。因此,判断出波长300nm附近的光能够最有效地对晶片加热。另外,依照该图,光的波长如果变得比520nm附近更长或者比180nm附近更小,则线吸收系数都变得太小从而对晶片的加热效率大幅度降低。
接着,对晶片表面的各种膜的反射率与光的波长依存性进行评述。图9是晶片表面的各种膜的反射率与光的波长依存性的示意图。这里,反射率相互之间的差别越小,就越能够以相互之间的差更少的升温速度进行加热。这里,在硅氧化膜(SiO2)上,分别形成SiN膜、TEOS构成的Si膜、Poly Si膜并进行测定。并且作为参考也对Bare Si(纯硅)进行测定。正如图13所示的晶体管那样,在晶片表面的细微区域上,各个膜种被显露出来。
如图9所示,包含各Bare Si的各膜种依据光的波长,其反射率在不同的形成图形上有很大的上下变动。但是,如果对于各反射率之间的差非常小的波长区域进行讨论,这样的区域的光的波长是360~520nm的范围,在360~520nm的范围中,各反射率的差大约是0.15以内。这个事实意味着,即使在晶片表面上存在多个膜种不同的微小区域,在这些微小区域之间并不会产生很大的温度差,能够以温度均匀分布的状态升温。
换句话说,如果在膜种不同的微小区域间有较大的温度差,即在产生温度分布差的状态下升温的话,由于热膨胀差的原因在微小区域间就会产生很大的横向应力,最坏的情况是,元件本身有可能会被损坏,如上所述,通过将光的波长设定在360~520nm范围内,就能够防止由上述微小区域之间的温度差引起的元件本身的损害。这样的情况下,如图9,由于能够使得各膜种的反射率的差更小,更优选的波长范围是400~470nm。
另外,光的波长在360~520nm的范围内时也满足前述图7所述的限定条件(比470nm左右小)以及图8所述的限定条件(180~520nm的范围),其结果是,能够有如下的确认,作为LED元件来说优选使用所发射的光的波长范围在360~520nm的范围内的LED元件。
如前所述,实际上的LED元件中,产生具有相对于中心波长有100nm左右的宽度的宽的(broad)光。然而现在,大量生产能够发出中心波长470nm的蓝色光的蓝色LED元件,所以,如果使用该蓝色光LED元件,则能够以较低的价格提供装置本身。此外,也能够使用发出包含一部分紫外光或不包含紫外光的紫色光的紫色LED元件、或者发出紫外光的紫外光LED元件等。或者也能够将这些元件混合设置。
接着,关于依据上述内容求出的光的波长360~520nm的带域,就Si基板的放射率(吸收)的波长和温度的依存性进行探讨,对其探讨结果进行说明。图10是Si基板的放射率(吸收)的波长和温度的依存性的示意图。该图是在T.Sato.Jpn.J.Appl.Phys.Vol.6(1967)339.中表示的。该图中,表示光的波长范围是从0.4μm(400nm)附近到20μm左右。依据该图10,能够确认,在波长400~520nm(0.4~0.52μm)范围内,晶片温度在543K(270℃)~1073K(800℃)的范围内,放射率(吸收)被维持在0.5~0.6的较高的值上。这意味着,能够以高放射率(吸收)高效地将晶片从低温升温到高温。因此,如上所述,用波长在360~520nm范围内的光对晶片进行加热可以使晶片高效地升温。
接着,关于如上所述求出的光的波长360~520nm的带域,对反射器的材料进行评述,并说明其评述结果。图11是反射器的材料与反射率的光的波长依存性的示意图。
这里,作为反射器的材料,讨论了Au(金)的情况,讨论了只有Al(包含铝合金)的情况,并讨论了在Al(包含铝合金)的表面涂上MgF2(氟化镁)膜的情况。
该图中明显的表示出,光的波长在360~520nm范围内时,Au的情况下,反射率为30~40%左右,并不是优选的。与此相对,在只有Al及在Al的表面覆盖了MgF2膜的情况时,反射率显示在80~90%的范围的高值,因此,能够确认,这些材料作为第一及第二反射器70、66的材料是非常合适的。
接着,关于如上所述求出的光的波长360~520nm的带域,对石英玻璃的透过率进行论述,并说明其结果。图12是石英玻璃的透过率的波长依存性的示意图。
这里,光的波长在150~950nm的范围内变化。该图中明显的表示出,光的波长在360~520nm范围内时,石英玻璃的透过率是90~94%左右的高值。因此,构成处理容器24的顶棚部的光透过窗28如果使用石英玻璃的话,则光的吸收很少而透过率变高,可以确认该石英玻璃是适合的。
并且,在这里,作为热处理以退火处理为例进行说明,但并不仅限于此,本发明对于氧化扩散处理、成膜处理、改性处理、蚀刻处理等其他的热处理也是适用的。
并且,作为被处理体是以半导体晶片为例进行说明的,但并不仅限于此,本发明也能够适用于玻璃基板、LCD基板、陶瓷基板等中。

Claims (21)

1.一种加热装置,用于加热被处理体,其特征在于:
包括多个加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的发光二极管元件。
2.根据权利要求1所述的加热装置,其特征在于:
所述发光二极管元件由射出紫外光的紫外光发光二极管元件、射出紫色光的紫色光发光二极管元件、和射出蓝色光的蓝色光发光二极管元件中的至少一种构成。
3.根据权利要求2所述的加热装置,其特征在于:
所述蓝色光发光二极管元件射出中心波长为470nm的加热用光。
4.根据权利要求1所述的加热装置,其特征在于:
还包括与所述各加热光源对应设置、反射从该加热光源射出的光并朝向所述被处理体的第一反射器。
5.根据权利要求4所述的加热装置,其特征在于:
来自所述各第一反射器的反射光被设定为分别朝向所述被处理体的不同区域聚光。
6.根据权利要求4所述的加热装置,其特征在于:
所述第一反射器的反射面形成为曲面状。
7.根据权利要求4所述的加热装置,其特征在于:
所述第一反射器是由铝或在铝的表面覆盖氟化镁膜而形成的。
8.根据权利要求1所述的加热装置,其特征在于:
所述各加热光源包括由热管构成的元件安装棒、和安装在该元件安装棒的前端部上的多个所述发光二极管元件。
9.根据权利要求1所述的加热装置,其特征在于:
所述各加热光源具有基部,该加热光源的基部由外壳进行支承。
10.根据权利要求9所述的加热装置,其特征在于:
所述外壳形成为穹顶半球状,其内侧形成为曲面状,成为作为第二反射器发挥功能的反射面。
11.根据权利要求10所述的加热装置,其特征在于:
所述第二反射器是由铝或在铝的表面覆盖氟化镁膜而形成的。
12.根据权利要求9所述的加热装置,其特征在于:
在所述外壳中设置用于冷却所述元件安装棒的基部的安装棒冷却单元。
13.根据权利要求8所述的加热装置,其特征在于:
所述各加热光源的元件安装棒是沿着与所述被处理体的表面正交的方向设置的。
14.根据权利要求1所述的加热装置,其特征在于:
还包括用于测定所述被处理体的温度的放射温度计,设定该放射温度计的测定波长带域,使之与所述发光二极管元件所发出的光的波长带域不同。
15.一种热处理装置,用于对被处理体实施规定的热处理,其特征在于,包括:
可排气并具有开着口的顶棚部的处理容器;
设置在所述处理容器内的载置台,在该载置台上面侧载置所述被处理体;
气密地覆盖所述处理容器的顶棚部的光透过窗;
向所述处理容器内导入必要气体的气体导入单元;和
设置在所述光透过窗的上方,向所述被处理体照射加热用光的加热装置,其中
所述加热装置包括加热光源,该加热光源具有向所述被处理体射出波长在360~520nm范围内的加热用光的发光二极管元件。
16.根据权利要求15所述的热处理装置,其特征在于:
在所述载置台的上部,设置多个热电转换元件。
17.根据权利要求15所述的热处理装置,其特征在于:
在所述载置台上,设置有流过热介质的热介质通路。
18.根据权利要求16所述的热处理装置,其特征在于:
所述热处理装置还包括用于控制该热处理装置整体动作的控制单元,
该控制单元按照如下方式进行控制,在所述被处理体的加热时,接通所述加热装置,并且在所述热电转换元件中向着加热所述被处理体的方向流过电流,在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
19.根据权利要求16所述的热处理装置,其特征在于:
所述热处理装置还包括用于控制该热处理装置整体动作的控制单元,
该控制单元按照如下方式进行控制,在所述被处理体的加热时,首先接通所述热电转换元件,向着加热所述被处理体的方向流过电流,进行预加热后,接通所述加热装置,在所述被处理体的冷却时,断开所述加热装置,并且在所述热电转换元件中向着冷却所述被处理体的方向流过电流。
20.根据权利要求18或19所述的热处理装置,其特征在于:
所述控制单元能够对各热电转换元件分别控制其电流的方向和电力,在所述被处理体的加热时,为了提高所述被处理体的温度的面内均匀性,对每个所述热电转换元件,控制加热或冷却。
21.根据权利要求15所述的热处理装置,其特征在于:
所述光透过窗由石英玻璃构成。
CN2006800016272A 2005-11-14 2006-10-31 加热装置、热处理装置、计算机程序及存储介质 Active CN101091236B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2005329381A JP4940635B2 (ja) 2005-11-14 2005-11-14 加熱装置、熱処理装置及び記憶媒体
JP329381/2005 2005-11-14
PCT/JP2006/321764 WO2007058068A1 (ja) 2005-11-14 2006-10-31 加熱装置、熱処理装置、コンピュータプログラム及び記憶媒体

Publications (2)

Publication Number Publication Date
CN101091236A CN101091236A (zh) 2007-12-19
CN101091236B true CN101091236B (zh) 2010-04-21

Family

ID=38048458

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800016272A Active CN101091236B (zh) 2005-11-14 2006-10-31 加热装置、热处理装置、计算机程序及存储介质

Country Status (5)

Country Link
US (1) US8041197B2 (zh)
JP (1) JP4940635B2 (zh)
KR (1) KR101089929B1 (zh)
CN (1) CN101091236B (zh)
WO (1) WO2007058068A1 (zh)

Families Citing this family (396)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
US7981779B2 (en) * 2003-10-09 2011-07-19 Panasonic Corporation Method for making junction and processed material formed using the same
JP5055756B2 (ja) * 2005-09-21 2012-10-24 東京エレクトロン株式会社 熱処理装置及び記憶媒体
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP2008182180A (ja) * 2006-12-26 2008-08-07 Epicrew Inc 加熱装置及び半導体製造装置
JP5351479B2 (ja) * 2008-01-28 2013-11-27 東京エレクトロン株式会社 加熱源の冷却構造
CN101552212B (zh) * 2008-04-02 2011-01-12 展晶科技(深圳)有限公司 半导体元件与热管的接合方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8314408B2 (en) 2008-12-31 2012-11-20 Draka Comteq, B.V. UVLED apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR20110020035A (ko) * 2009-08-21 2011-03-02 에이피시스템 주식회사 냉각수가 상하층으로 구분되어 흐르는 급속열처리장치의 히터블록
US20120074126A1 (en) * 2010-03-26 2012-03-29 Applied Materials, Inc. Wafer profile modification through hot/cold temperature zones on pedestal for semiconductor manufacturing equipment
EP2388239B1 (en) 2010-05-20 2017-02-15 Draka Comteq B.V. Curing apparatus employing angled UV-LEDs
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
DK2418183T3 (en) 2010-08-10 2018-11-12 Draka Comteq Bv Method of curing coated glass fibers which provides increased UVLED intensity
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP5786487B2 (ja) * 2011-06-22 2015-09-30 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) * 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9960059B2 (en) * 2012-03-30 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Honeycomb heaters for integrated circuit manufacturing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9842753B2 (en) * 2013-04-26 2017-12-12 Applied Materials, Inc. Absorbing lamphead face
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
KR102302122B1 (ko) * 2013-09-11 2021-09-13 헤라우스 노블라이트 아메리카 엘엘씨 많은 작은 방사체들을 가진 대면적 높은-균일성 uv 소스
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
KR101818720B1 (ko) * 2013-10-21 2018-01-16 에이피시스템 주식회사 가열 모듈 및 이를 가지는 기판 처리 장치
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9130030B1 (en) * 2014-03-07 2015-09-08 Applied Materials, Inc. Baking tool for improved wafer coating process
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10699922B2 (en) * 2014-07-25 2020-06-30 Applied Materials, Inc. Light pipe arrays for thermal chamber applications and thermal processes
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TW201639063A (zh) * 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) * 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
JP6820866B2 (ja) * 2015-05-29 2021-01-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated リフレクタを有する処理チャンバ
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR20170016562A (ko) 2015-08-03 2017-02-14 삼성전자주식회사 박막 증착 장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10180248B2 (en) 2015-09-02 2019-01-15 ProPhotonix Limited LED lamp with sensing capabilities
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
CN106231696A (zh) * 2016-07-19 2016-12-14 武汉新芯集成电路制造有限公司 一种加热装置及加热方法
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11476167B2 (en) 2017-03-03 2022-10-18 SCREEN Holdings Co., Ltd. Heat treatment method and heat treatment apparatus of light irradiation type
JP7265314B2 (ja) * 2017-03-03 2023-04-26 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US11107708B2 (en) * 2017-11-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Heating platform, thermal treatment and manufacturing method
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102337481B1 (ko) * 2017-12-15 2021-12-08 스미도모쥬기가이고교 가부시키가이샤 척플레이트, 어닐링장치, 및 어닐링방법
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP7244256B2 (ja) * 2018-11-08 2023-03-22 住友重機械工業株式会社 レーザアニール装置、ウエハ保持装置及びレーザアニール方法
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7338441B2 (ja) * 2019-12-13 2023-09-05 ウシオ電機株式会社 光加熱装置
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
JP7480656B2 (ja) 2020-09-23 2024-05-10 ウシオ電機株式会社 光源ユニット及び加熱処理装置
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TWI765571B (zh) * 2021-02-09 2022-05-21 華邦電子股份有限公司 熱板冷卻系統
JP7230077B2 (ja) * 2021-02-12 2023-02-28 ウシオ電機株式会社 温度測定方法、光加熱方法及び光加熱装置
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4435092A (en) * 1980-07-25 1984-03-06 Nippon Steel Corporation Surface temperature measuring apparatus for object within furnace
US4975561A (en) * 1987-06-18 1990-12-04 Epsilon Technology Inc. Heating system for substrates
US5155336A (en) 1990-01-19 1992-10-13 Applied Materials, Inc. Rapid thermal heating apparatus and method
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5937142A (en) * 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5802099A (en) * 1996-08-26 1998-09-01 Moore Epitaxial, Inc. Method for measuring substrate temperature in radiant heated reactors
US6210484B1 (en) * 1998-09-09 2001-04-03 Steag Rtp Systems, Inc. Heating device containing a multi-lamp cone for heating semiconductor wafers
US6156079A (en) * 1998-10-21 2000-12-05 Ho; Henry Window support member for a semiconductor processing system
US6143079A (en) * 1998-11-19 2000-11-07 Asm America, Inc. Compact process chamber for improved process uniformity
JP2001085408A (ja) 1999-09-13 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
US6598559B1 (en) * 2000-03-24 2003-07-29 Applied Materials, Inc. Temperature controlled chamber
US6376804B1 (en) * 2000-06-16 2002-04-23 Applied Materials, Inc. Semiconductor processing system with lamp cooling
US6529686B2 (en) * 2001-06-06 2003-03-04 Fsi International, Inc. Heating member for combination heating and chilling apparatus, and methods
JP2003077857A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2005513815A (ja) 2001-12-29 2005-05-12 杭州富陽新穎電子有限公司 発光ダイオード及び発光ダイオード・ランプ
JP2004031557A (ja) * 2002-06-25 2004-01-29 Ushio Inc 光加熱装置
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP2004134674A (ja) 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
JP2004296245A (ja) * 2003-03-26 2004-10-21 Matsushita Electric Works Ltd Ledランプ
JP2005011941A (ja) 2003-06-18 2005-01-13 Tokyo Electron Ltd 半導体製造装置及び熱処理方法
US20040253839A1 (en) 2003-06-11 2004-12-16 Tokyo Electron Limited Semiconductor manufacturing apparatus and heat treatment method
JP4442171B2 (ja) * 2003-09-24 2010-03-31 東京エレクトロン株式会社 熱処理装置
US7141763B2 (en) * 2004-03-26 2006-11-28 Tokyo Electron Limited Method and apparatus for rapid temperature change and control
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
US7112763B2 (en) * 2004-10-26 2006-09-26 Applied Materials, Inc. Method and apparatus for low temperature pyrometry useful for thermally processing silicon wafers
US7351665B2 (en) * 2005-03-28 2008-04-01 Tokyo Electron Limited Plasma etching method, plasma etching apparatus, control program, computer recording medium and recording medium having processing recipe recorded thereon
US7133604B1 (en) * 2005-10-20 2006-11-07 Bergstein David M Infrared air heater with multiple light sources and reflective enclosure

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JP特开2004-296245A 2004.10.21

Also Published As

Publication number Publication date
JP2007141896A (ja) 2007-06-07
US20080226272A1 (en) 2008-09-18
WO2007058068A1 (ja) 2007-05-24
KR101089929B1 (ko) 2011-12-05
US8041197B2 (en) 2011-10-18
CN101091236A (zh) 2007-12-19
KR20080058488A (ko) 2008-06-25
JP4940635B2 (ja) 2012-05-30

Similar Documents

Publication Publication Date Title
CN101091236B (zh) 加热装置、热处理装置、计算机程序及存储介质
US8107801B2 (en) Heat treatment apparatus, computer program, and storage medium
US9029739B2 (en) Apparatus and methods for rapid thermal processing
US6963692B2 (en) Heat-treating methods and systems
CN100394544C (zh) 发光型热处理设备
KR102126119B1 (ko) 열처리 방법
CN1856863A (zh) 热处理装置
US8005352B2 (en) Heat treating device
CN100557773C (zh) 热处理装置
US6809035B2 (en) Hot plate annealing
US20140270731A1 (en) Thermal management apparatus for solid state light source arrays
JP2008042127A (ja) 熱処理装置および熱処理用サセプタ
KR100395661B1 (ko) 급속 열처리 장치
KR20220099910A (ko) 열처리 장치 및 열처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant