KR101728796B1 - Led 기판 처리 - Google Patents

Led 기판 처리 Download PDF

Info

Publication number
KR101728796B1
KR101728796B1 KR1020117027744A KR20117027744A KR101728796B1 KR 101728796 B1 KR101728796 B1 KR 101728796B1 KR 1020117027744 A KR1020117027744 A KR 1020117027744A KR 20117027744 A KR20117027744 A KR 20117027744A KR 101728796 B1 KR101728796 B1 KR 101728796B1
Authority
KR
South Korea
Prior art keywords
semiconductor substrate
substrate
light emitting
light
emitting diodes
Prior art date
Application number
KR1020117027744A
Other languages
English (en)
Other versions
KR20120006553A (ko
Inventor
스티븐 모파트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120006553A publication Critical patent/KR20120006553A/ko
Application granted granted Critical
Publication of KR101728796B1 publication Critical patent/KR101728796B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명의 실시예들은 기판들을 열적으로 처리하기 위하여 발광 다이오드(LED)들을 통합하는 방법들 및 기판 처리 장비와 관련된다. 그러한 광원들은 더욱 높은 효율 및 더욱 신속한 응답 시간들을 포함하는 다양한 장점들을 제공한다. 펄스 폭들은 밀리초 미만에 이르기까지 선택가능하나, 1초에 달하는 그리고 1초를 초과하는 긴 펄스들에 대해서도 선택가능할 수 있다. LED들이 50%를 초과하는 효율을 갖는 광을 생성하고 텅스텐-할로겐 램프들이 5% 미만의 효율로 작동하기 때문에, 더 긴 처리 시간들을 허용하는 환경들에서조차 텅스텐-할로겐 램프들에 비해 LED들이 바람직하다.

Description

LED 기판 처리 {LED SUBSTRATE PROCESSING}
본 출원은 2009년 4월 20일자로 출원된 미국 가출원 번호 제61/171,020호의 이익을 청구하며, 그 모든 내용은 모든 목적으로 본 명세서에 참조로서 통합된다.
반도체 산업에서 사용되는 몇몇 프로세스들에서, 기판을 처리하는데 드는 시간을 감소시키기 위하여 기판을 신속하게 가열하는 것이 바람직하다. 통상적으로, 급속 열 처리 시스템들은 때때로 진공 조건들 하에서 처리 챔버 내에서 보유되는 기판을 급속하게 가열하기 위하여 고강도 광원(high intensity light source)을 이용한다. 고강도 램프들의 어레이로 구성될 수 있는 고강도 광원은 챔버 내부에 또는 챔부 외부에, 그리고 투명 윈도우에 인접하도록 위치되며, 투명 윈도우를 통해 광이 챔버로 들어간다. 챔버 내부에서 기판은 기판 온도가 유입 광에 신속하게 응답할 수 있도록 (일반적으로 에지 주변에) 매우 적은 물리적 콘택으로 지지된다. 웨이퍼의 전면은 노출되고, 고강도 램프들로부터 광을 수용한다. 램프들은 본질적으로 흑채 방열기(black-body radiator)들이며, 작동 온도로 가능한 한 빠르게(통상적으로 300 내지 500 ms) 가열된다. 다수의 기판들에 대하여, 집적 회로들의 제작에서 공통적으로 사용되는 실리콘 기판들처럼, 광학적 흡수성은 웨이퍼가 실온에 더 가까워질 때, 특히 가열 사이클의 시작부에서 파장들이 짧을수록 더 크다. 신속한 실리콘 기판 가열은 램프들이 높은 온도들(약, 3000℃)에 도달한 이후 시작되며, 이 때 램프들은 단파장 광의 상당 부분을 방출하기 시작한다.
도 1은 챔버(105)에 배치되는 웨이퍼(100)가 챔버 덮개(120)상에 장착되는 램프들(125)로부터의 복사선(radiation)에 의하여 가열되는 플러드 타입 급속 가열 장치(flood type rapid thermal heating apparatus)의 개략적인 단면도를 도시한다. 램프들(125)은 통상적으로 텅스텐-할로겐 램프들이며, 기판의 열 프로파일을 고르게 하기 위하여 상이한 온도들을 야기시킬 수 있다. 고온측정법(pyrometry) 측정들은 챔버(105) 내의 윈도우들(135)를 통해 광을 모니터링함으로써 이루어질 수 있다. 램프들(125)이 스위치 온(switch on)될 수 있는 속도는 통상적인 적외선 램프(heat lamp)들로 제한되고, 얼마나 빨리 기판이 가열될 수 있는지에 대한 제한들을 초래한다. 텅스텐-할로겐 램프들을 사용하는 펄스 지속기간에 대한 실제적 최소치는 필라멘트의 반응 시간으로 인하여 약 1초이다.
대안적인 광원들이 처리 시간 목표치들 내에 머무르도록 더 짧은 펄스 지속기간들을 제공하기 위하여 그리고 효율을 향상시키기 위하여 사용되었다. 100 μs 내지 1 ms의 펄스 지속기간들을 제공하는 플래시 램프들은 더 짧은 펄스 지속기간을 갖는다. 그러나, 기판을 가열하기 위하여 플래시 램프들을 사용할 때, 기판의 최대 온도 또는 기판의 가까운 표면 영역(near surface region)의 최대 온도는 펄스 지속기간에 의하여 제한된다.
약 1 ms를 초과하는 펄스 지속기간들을 위한 고효율 램프들은 없다(lack for). 따라서, 약 1 ms 내지 1초의 펄스 지속기간을 제공할 수 있는 고강도 기판 조명 소스들이 필요하다.
본 발명의 실시예들은 기판들을 열적으로 처리하기 위하여 발광 다이오드(LED)들을 통합하는 방법들 및 기판 처리 장비와 관련된다. 그러한 광원들은 더욱 높은 효율 및 더욱 신속한 응답 시간들을 포함하는 다양한 장점들을 제공한다. 펄스 폭들은 밀리초 미만에 이르기까지 선택가능하나, 1초에 달하는 그리고 1초를 초과하는 긴 펄스들에 대해서도 선택가능하다. LED들이 50%를 초과하는 효율을 갖는 광을 생성하고 텅스텐-할로겐 램프들이 5% 미만의 효율로 작동하기 때문에, 더 긴 처리 시간들을 허용하는 환경들에서조차 텅스텐-할로겐 램프들에 비해 LED들이 바람직하다.
기판 처리 시스템은 기판을 변형시키기 위하여 기판의 제1 표면을 조명하기 위한 다수의 발광 다이오드들을 포함하며, 여기서 발광 다이오드들로부터의 조명은 하나 이상의 파장들 근처의 광의 하나 이상의 펄스들을 포함한다. 다수의 발광 다이오드들은 기판의 가까운 표면 영역을 변형시킬 수 있다. 광의 하나 이상의 펄스들은 약 1 밀리초 내지 약 1초 범위일 수 있거나, 또는 약 10 마이크로초 내지 약 1 밀리초 범위일 수 있거나, 또는 약 1초보다 클 수도 있다. 광의 하나 이상의 펄스들은 500 Watts/cm2보다 큰 피크 광학 전력을 가질 수 있다. 기판의 제1 표면은 100 cm2보다 클 수 있다. 다수의 발광 다이오드들은 단일 투명 기판상에 형성될 수 있다.
다른 실시예에서, 기판 처리 시스템은 처리 챔버 내에 배치되는 기판을 지지하기 위한 기판 지지 어셈블리, 및 제1 발광 다이오드 어셈블리를 포함한다. 제1 발광 다이오드 어셈블리는 기판을 변형시키기 위하여 제1 표면을 조명하기 위해 기판의 제1 표면 근처에 배치되는 다수의 발광 다이오드들을 더 포함하며, 여기서 발광 다이오드들로부터의 조명은 하나 이상의 파장들 근처의 광의 펄스들을 포함한다. 다수의 발광 다이오드들은 기판의 가까운 표면 영역을 변형시킬 수 있다. 광의 펄스들은 약 1 밀리초 내지 약 1초의 지속기간을 가질 수 있다. 광의 펄스들은 200 Watts/cm2보다 큰 피크 광학 전력을 가질 수 있다. 광학적 펄스들은 기판 내에 도펀트를 활성화시킴으로써 기판을 변형시킬 수 있다. 광학적 펄스들은 원자들을 기판으로 확산시킴으로써 기판을 변형시킬 수 있다. 기판 처리 시스템은 기판의 제2 표면을 조명하기 위하여 기판의 제2 표면 근처에 배치되는 다수의 제2 발광 다이오드들을 포함하는 제2 발광 다이오드 어셈블리를 추가로 포함할 수 있다.
다른 실시예에서, 기판을 처리하는 방법은 기판을 제공하는 단계, 기판을 처리하기에 충분한 적어도 하나의 광학적 펄스의 LED 펄스 강도 및 LED 펄스 지속기간을 선택하는 단계, 및 LED 어셈블리로부터의 광의 적어도 하나의 광학적 펄스를 이용하여 기판을 처리하는 단계를 포함한다. 적어도 하나의 광학적 펄스는 하나 이상의 LED 파장들을 포함한다.
본 개시물의 적용가능성의 추가적 영역들은 이하에서 제공되는 상세한 설명으로부터 명백해질 것이다. 다양한 실시예들을 표시하면서, 상세한 설명 및 특정 실시예들은 단지 예시를 목적으로 의도되며, 개시물의 범위를 반드시 제한하도록 의도되지는 않는다는 것을 이해해야 한다.
발명의 성질 및 장점들의 추가적인 이해는 하기에 제시되는 도면들 및 명세서의 나머지 부분들을 참고하여 실현될 수 있다. 도면들은 본 발명의 상세한 설명부에 통합된다.
도 1은 종래의 기판 처리 시스템의 가열 및 모니터링 시스템의 개략적 단면도가다.
도 2a-2b는 발명의 실시예들에 따른 기판 처리 시스템 및 발광 컴포넌트의 개략적 단면도들이다.
도 3a-3b는 발명의 실시예들에 따른 기판 처리 시스템 및 발광 컴포넌트의 개략적 단면도들이다.
도 4는 발명의 실시예들에 따른 기판 처리 시스템의 개략적 단면도가다.
도 5는 발명의 실시예들로부터 이익을 얻는 예시적인 기판 처리 시스템의 단면도가다.
도 6은 개시되는 실시예들에 따라 기판의 표면을 처리하는데 사용될 수 있는 예시적인 방법을 보여주는 흐름도이다.
첨부 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들이 점선에 의한 후속하는 참조 라벨 및 유사한 컴포넌트들 사이에서 구분하는 제2 라벨에 의하여 구분될 수 있다. 단지 제1 참조 라벨만이 명세서에서 사용된다면, 설명은 제2 참조 라벨과 무관하게 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 임의의 것에 적용가능하다.
본 발명의 실시예들은 기판들을 열적으로 처리하기 위한 발광 다이오드(LED)들을 통합하는 방법들 및 기판 처리 장비에 관한 것이다. 그러한 광원들은 더 높은 효율 및 더욱 신속한 응답 시간들을 포함하는 다양한 장점들을 제공한다. 펄스 폭들은 선택가능하며, 밀리초 미만에서 1초를 초과하는 범위일 수 있다. LED들이 50%를 초과하는 효율을 갖는 광을 생성하고 텅스텐-할로겐 램프들이 5% 미만의 효율성으로 작동하기 때문에, 더 긴 처리 시간들을 허용하는 환경들에서조차 텅스텐-할로겐 램프들에 비해 LED들이 바람직하다.
개시되는 실시예에서, 발광 다이오드들은 기판의 가까운 표면 영역을 처리하기 위하여 기판의 표면을 조명하고 가열하는데 사용된다. 프로세스들은 막들을 형성하는 단계, 도펀트들을 처리하는 단계, 및 기판 자체를 재정렬하는 단계를 포함한다. 기판 처리는 HR-LEDS의 이용가능성으로 인하여 몇몇 프로세스들에 대해 가능해졌고, 더 높은 출력 강도들이 이용가능해진다 하더라도 더욱 많은 프로세스들이 HR-LEDS로부터 이익을 얻을 수 있다. 고-휘도(high radiance) 발광 다이오드들(HR-LEDS)은 기판의 가까운 표면 영역을 처리하는데 사용될 때 장점들을 제공한다. HR-LEDS는 오랜 시간 지속되며, 출력 강도가 출력 조명의 파장(들)과 독립적으로 선택되도록 허용한다. 발광 다이오드들(LEDs)은 활성 영역의 Ⅲ-Ⅴ 물질들의 밴드갭에 의하여 결정되는 하나 이상의 파장들에 가까운 광을 방출하도록 구성되는 투명 기판상에 성장되는 갈륨 질화물, 알루미늄 질화물, 이들의 조합들, 또는 다른 Ⅲ-Ⅴ 물질들로 구성될 수 있다. 인광체는 또한 방출된 파장을 더 긴 파장으로 변환하는데 사용될 수 있어, 방출된 파장의 에너지를 감소시킬 수 있다. 본 명세서에 개시되고 나머지 도면들에 도시되는 LED들은 흡수를 향상시키거나 화학 반응을 향상시키기 위하여 인광체를 이용할 수 있다는 것을 이해할 것이다.
수반되는 케미스트리(chemistry)들에 따라, 가스 선구물질의 존재하에 표면을 조명하는 것은 열적인 수단 또는 다른 수단에 의해 화학 반응의 속도를 향상시킬 수 있다. 예를 들어, 광은 기체상(gas phase) 분자들, 흡수된 분자들을 여기시키거나, 또는 심지어 표면상의 화학 반응을 촉진시키기 위하여 기판을 전자적으로 여기시킬 수 있다. LED의 파장은 예를 들어, 반응 속도를 향상시키기 위하여 분자 전자 전이(molecular electronic transition)와 공진하는 파장을 선택함으로써, 원하는 막 프로세스들을 촉진시키도록 선택될 수 있다. 파장은 또한 기판에 의한 복사선(radiation)의 흡수를 향상시키도록 선택될 수 있어, 기판을 보다 효율적으로 가열할 수 있다.
10 Watts를 초과하는 전력을 계속해서 방출하는 개별적인 고휘도(HR) LED들이 현재 이용가능하다. 다가오는 미래에, LED가 백열 전구들을 추가로 대체하는 것을 가능하게 할, 더 높은 전력들의 이용가능성이 예측된다. LED들을 사용하는 하나의 장점은 작은 크기의 LED들이 LED들로 하여금 기판의 표면을 실질적으로 동시에 조명하는데 적합한 고강도 소스들을 생성하는 1차원 및 2차원 어레이들로 정렬되도록 허용한다는 점이다. 상이한 실시예들에서, 200 Watts/cm2를 초과하는, 500 Watts/cm2를 초과하는, 또는 1000 Watts/cm2를 초과하는 광학 전력을 방출하기 위한 적절한 LED 어셈블리들이 본 명세서에 개시된다.
Si 웨이퍼들에 대한 다양한 증착, 어닐링, 및 다른 열 처리들은 짧은 가열 사이클들로 이득을 얻는다. 플래시 램프 기반 시스템들은 100 μs 내지 1 ms 시간 범위의 펄스 지속기간으로 작동할 수 있는 반면, 종래의 RTP 램프 기반 시스템들은 1 내지 100초의 펄스 지속기간들로 작동한다. 종래의 RTP 램프들은 연속적으로 전력을 방출하며, 램프 및 웨이퍼의 응답 시간에 의하여 제한된다(bounded). LED 램프 기반 시스템들은 1 밀리초 내지 1초의 펄스 지속기간들로 작동하기 때문에, LED 램프 기반 시스템들은 플래시 램프 시스템들과 종래의 RTP 램프 시스템들 사이의 격차를 바람직하게 메운다. 전력이 인가된 이후에 수 마이크로초 동안 단파장 복사선을 방출하기 때문에 신속하게 가열할 수 있는 LED 램프 기반 시스템들은 기판들 또는 기판의 가까운 표면 영역들이 이전에 도달불가능한 펄스 지속기간들(예를 들어, 1 밀리초 내지 1초) 동안 가열되도록 허용한다. 1 밀리초 내지 1초로 기판을 처리하는 것은 기판을 처리하는데 드는 시간을 추가로 감소시키고, 기판을 처리하는 반도체 장치의 수율을 증가시킨다. 약 10-20 밀리초 미만의 LED 범위의 로우 엔드(low end)에서의 펄스들에 대하여, 통상적인 기판들은 평형화(equilibrate)를 위한 시간을 갖지 않아, 기판의 상부는 펄스 동안에 기판의 바닥부와 상이한 온도에 있을 수 있다.
펄스 지속기간, 반복률, 반복들의 개수, 및 강도를 제어할 수 있는 것 이외에, LED들은 다이오드들에 인가되는 전압을 간단히 제어함으로써 광학적 펄스 형태가 가변되게 할 수 있다. 펄스 성형(shaping)은 가열 속도로 하여금 프로세스 동안과 프로세스 이후에 웨이퍼 및 증착된 막들의 응력 그래디언트(stress gradient)들 및 프로세스 효율을 밸런싱하도록 제작되게 한다.
LED들은 또한 1 밀리초 내지 1초 범위의 시간 영역 밖에서(outside) 기판들을 처리할 때 장점들을 제공할 수 있다. 몇몇 실시예들에서, LED들은 조명을 개시하기 위하여 요구되는 시간에 이르기까지 1 밀리초 미만에서 펄스들을 생성하는데 사용될 수 있으며, 조명을 개시하는데 요구되는 시간은 10 마이크로초 미만일 수 있다. 이러한 LED 펄스들은 플래시 램프들에 의하여 커버되는 펄스 범위(pulse regime)를 부분적으로 오버랩한다. 다른 실시예들에서, LED들은 플래시 램프들의 제한보다 더 작은 펄스 지속기간들 동안 사용될 수 있다. LED들로부터의 조명은 여기된 상태들의 재구성(repopulation)을 요구하는 Q-스위칭된 레이저들 및 플래시 램프들로 도달불가능한 펄스 지속기간들 및 듀티 사이클들을 허용하는 구동 전압에 기반한다. 몇몇 실시예들에서, LED들은 지속적 동작 모드에서 작동된다. 1초보다 더 높은 펄스들에 대하여, LED들은 텅스텐-할로겐 백열등들에 대하여 바람직한데, 이는 LED들의 작동 효율이 텅스텐-할로겐 백열등들의 작동 효율보다 적어도 약 10배(one order of magnitude) 더 높기 때문이다.
본 발명의 더 나은 이해와 인식을 위하여, 도 2a 및 2b에 대한 참조가 이루어지며, 도 2a 및 2b는 발명의 실시예들에 따른 발광 컴포넌트를 통합하는 기판 처리 시스템의 횡단면 개략도들이다. 발광 다이오드(LED) 어셈블리(225)는 챔버(205)의 기판(200) 위에 위치된다. LED 어셈블리(225)를 또한 물리적으로 지지할 수 있는 전기 접속부들(224)이 리드(lid)(220)를 통해 집어넣어진다. 전기 접속부들(224)은 LED 어셈블리(225)를 형성하는 LED들의 어레이의 각각의 LED에 전력을 전달한다. 전압은 LED 어셈블리(225)에 공급되며, 그 후 LED 어셈블리는 기판(200)의 전면(201)을 조명하고 가열한다. 몇몇 실시예들에서, 적어도 100 cm2의 영역이 조명된다.
처리 동안에, 고온계들(235-1 및 235-2)은 기판(200)의 후면(202) 및 전면(201)상의 다양한 위치들에서 기판(200)의 온도를 감지하며, 감지되는 온도는 다이나믹하게 LED 어셈블리(225)에 전달되는 전압을 결정하는 것을 돕는데 사용될 수 있다. 대안적으로, 온도는 후속하는 웨이퍼들에 대하여 LED 어셈블리(225)에 인가되는 전압을 결정하는데 사용될 수 있다. 이러한 2개의 제어 구현들은 피드백 제어에 대한 변형들이다. 기판은 기판의 에지 주위의 지지 메커니즘들(204)에 의하여 작은 콘택으로 지지될 수 있다. 지지부는 원형의 또는 직사각형의 기판들에 대하여 에지 주변에 분포되는 개별적 탭(tab)들 또는 원형 기판의 경우에 연속 링의 형태로 제공될 수 있다. 이러한 방식으로 기판을 지지하는 것은 열적 부하를 감소시키고, 그 결과, 기판(200)을 선택된 온도로 가열하기 위하여 LED 어셈블리(225)에 의하여 요구되는 전력을 감소시킨다.
고온계들(235-1 및 235-2)은 기판을 조명하고 가열하는데 사용되는 LED 어셈블리(225)로부터의 광과 상이한 파장의 광을 검출할 수 있으며, 그 결과 기판 온도의 더욱 정확한 결정이 이루어진다. 고온계들(235-1 및 235-2)이 기판의 표면 또는 처리 챔버(204) 내의 다른 대상으로부터 반사된 또는 산란된 광을 검출하는 것을 방지하도록 구성될 때, 정확성은 향상된다. LED들로부터 발생되는 산란된 또는 반사된 광은 기판의 온도를 표시하지 않아, 기판 온도의 정확한 결정을 초래할 수 있다. 실리콘 기판과 관련되는 일 실시예에서, LED 어셈블리(225)에 의하여 생성되는 파장은 약 0.75 m 미만일 수 있는 반면, 고온계들(235-1 및 235-2)에 의하여 검출되는 파장은 약 0.75 μm 내지 1.2 μm이다. 다른 실리콘 기판 실시예에서, LED 어셈블리(225)는 0.5 μm 미만의 광을 방출하고, 고온계들(235-1 및 235-2)은 0.5 μm 내지 1.2 μm의 광을 검출할 수 있다.
LED 어셈블리는 텅스텐-할로겐 램프들보다 더 많은 LED들을 포함할 수 있어, 유사한 전력 밀도들이 달성되는 것을 가능하게 한다. 동일한 전력 출력에 대하여, LED들은 최신식 텅스텐-할로겐 전구들보다 약 10배 낮은 열을 생성하지만, LED 어셈블리는 LED 컴포넌트들의 수명을 증가시키기 위하여 재순환류(미도시)로 후면측상에서 여전히 수냉될(water cooled) 수 있다. LED 어셈블리(225) 내의 LED들에 대한 전력 분포는 다이오드 어셈블리에 부착되는 각각의 다이오드 또는 와이어들로 향하는 자유로운 배선(free wire)들을 수반할 수 있다. 광학 확산기(optical diffuser)는 기판의 표면에서 광학적 강도의 공간적 분포를 고르게 하기 위하여 LED들과 기판 사이에 위치될 수 있다. 광학적 확산기들은 정밀 그라운드(precision ground) 투명 윈도우들로 만들어질 수 있다.
LED 어셈블리(225)를 형성하는 LED들의 어레이의 예시적인 엘리먼트가 도 2b에 도시된다. 전기 도선들(261)은 도전성 패드들(265)을 통해 LED 적층물의 어느 한 면에 부착된다. n-도핑된 갈륨 질화물층(272)과 p-도핑된 갈륨 질화물층(271) 사이의 인터페이스에서 전압차를 생성하는 우측 측면에 비해 좌측 측면에 더 높은 전압이 인가되어, 인터페이스(활성 영역) 근처로부터 광이 방출될 수 있다. 고휘도 LED들은 도 2b에 도시되는 것보다 더욱 복잡한 활성 영역들을 수반할 수 있으나, 아키텍쳐는 여전히 투명 기판(255)상에 도핑된 층들의 형성을 수반할 것이다. 활성 영역으로부터의 조명은 일반적으로 불투명한 층들 및 반사성 인터페이스들에 의하여 한정되는 투명 기판(255)의 평면 내에서 전파되어, 기판 쪽으로 아래로 향하는 광의 방출이 초래된다(도 2a). 이것은 기판의 가까운 표면 영역을 변형시키기 위하여 LED 어셈블리를 이용하는 하나의 예시적인 아키텍쳐이다.
도 3a-3b는 발명의 실시예들에 따른 기판 처리 시스템 및 발광 컴포넌트의 부가적인 횡단면 개략도들이다. LED 어셈블리(325)는 챔버(305)의 기판(300) 위에 다시 위치된다. 전기 접속부들(324)은 리드(320)를 거쳐 제공되고, 기판(300)의 전면(301)을 조명하고 가열하는 LED들의 어레이에 전력을 전달한다. 고온계들(335-1 및 335-2)은 기판(300)의 후면(302) 및 전면(301)상의 다양한 다수의 위치들에서 기판(300)의 온도를 다시 결정한다. 이러한 실시예에서, 전력은 LED 어셈블리(325)의 상부상에 인쇄되는 와이어들을 통해 분배될 수 있다.
이러한 개시된 실시예에서, LED들의 어레이의 대표적 엘리먼트가 도 3b에 도시된다. 전기 도선들(361)은 도전성 패드들(365)(2개가 도시됨)을 통해 LED 적층물의 상부에 부착된다. 예를 들어, n-도핑된 갈륨 질화물층(372)과 p-도핑된 갈륨 질화물층(371) 사이의 인터페이스에서 전압차를 생성하는 우측상의 도전성 패드에 관하여 좌측상의 도전성 패드에 더 높은 전압이 인가되어, 광이 활성 영역(355)으로부터 방출되게 할 수 있다. 이러한 경우에 활성 영역(355)으로부터 전파되는 조명은 아래쪽으로부터 제한되지 않고, 도 3a의 기판(300)을 향해 아래쪽으로 광을 방출할 것이다. 이러한 아키텍쳐는 LED들의 2차원 어레이가 더욱 단순하게 그리고 통상적으로 도 2a-b의 밀도보다 더 높은 밀도를 갖도록 조립되는 것을 허용한다. 전기 접속부들 모두는 위쪽편로부터 만들어지고, LED 엘리먼트들은 기판(300)을 조명하고 가열하기 위하여 쪼개지지 않은 채로 남겨지고 통합 유닛으로서 사용될 수 있는 대형 기판상에 형성될 수 있다. 일 실시예에서 LED 어셈블리(325)를 제작하는데 사용되는 투명 기판은 기판과 동일한 크기이고, 한꺼번에(all at once) 기판(300)의 상부(301)를 조명하고 가열하는데 사용될 수 있다.
모든 이러한 예시적인 실시예들에서, 기판의 고른 가열을 제공하기 위하여 LED들 각각이 상이한 광학적 펄스 지속기간들, 형태들, 및 강도들을 갖는 영역들로 분리될 수 있다. 통상적으로 에지에 더 가까운 기판들의 부분들은 중심부 근처의 부분들과 상이하게 냉각되고 가열되어, 특히 20 밀리초보다 긴 펄스들에 대한 영역 제어가 바람직해지게 한다. 원형 기판들(웨이퍼들)과 관련된 실시예들에서, 영역들은 웨이퍼의 중심부로부터의 거리에 기반하여 분할된다.
도 4는 발명의 실시예들에 따른 기판 처리 시스템의 횡단면 개략도이다. 2개의 LED 어셈블리들(425-1 및 425-2)이 기판(400)을 가열하는데 사용된다. LED 어셈블리(425-1)는 챔버(405)내에서 기판(400) 위에 다시 위치되는 반면, 제2 LED 어셈블리(425-2)는 챔버(405)내에서 기판(400) 아래에 위치된다. 제1 LED 어셈블리(425-1)는 기판(400)의 상부(401)를 가열하는데 사용되는 반면, 제2 LED 어셈블리(425-2)는 기판(400)의 바닥부(402)를 가열하는데 사용된다. 전기 접속부들의 2개의 세트들(424-1 및 424-2)은 기판(400)의 표면들 모두를 조명하고 가열하는 LED들의 어레이들로 전력을 전달하기 위하여 각각 덮개(420) 및 챔버(405)를 거쳐 제공된다. 고온계들(435-1 및 435-2)은 다시 기판(400)의 후면(402) 및 전면(401)상의 다양한 다수의 위치들에서 기판(400)의 온도를 결정한다. 고온계들(435-1 및 435-2)의 위치들은 표면들에 대해 방해받지 않는 액세스를 제공하기 위하여 조정된다.
기판은 다른 광원들, 예를 들어, 텅스텐 할로겐 램프들과 결합하여 LED들에 의하여 처리될 수 있다. LED들은 기판을 거의 실온으로부터 몇몇 기판 프로세스들에 대하여 충분한, 낮은 처리 온도로 가열하는데 이용될 수 있다. 훨씬 더 높은 온도들을 요구하는 부가적인 프로세스들은 그러한 더 높은 온도들을 달성하기 위하여 텅스텐-할로겐 램프들을 이용할 수 있다. 기판을 낮은 처리 온도로 승강시키는(elevating) 것은 백열 텅스텐-할로겐 램프들에 의하여 방출되는 광의 흡수를 향상시킬 수 있어, 램프들의 가열 효율을 향상시킨다. LED들 및 상보적 복사선 소스들은 하나의 광학적 어셈블리 또는 기판 처리 시스템에서 결합될 수 있거나, 또는 개별적인 어셈블리들에서 구현될 수도 있다.
예시적인 기판 처리 시스템
도 5는 12-인치(300 밀리미터(mm)) 직경 실리콘(Si) 웨이퍼들일 수 있는 디스크형 기판들(505)을 처리하기 위한 처리 챔버(500)를 포함하는 기판 처리 시스템을 도시한다.
처리 동안에, 발명의 일 실시예에 따라, 기판(505)은 챔버(500) 내부에서 기판 지지 어셈블리(508)상에서 지지되고, 기판(505) 바로 위에 위치되는 조명 엘리먼트(502)에 의하여 가열된다. 조명 엘리먼트(502)는 수냉식 석영 윈도우 어셈블리(503)를 통해 처리 챔버(500)에 진입할 수 있는 복사선(512)을 생성한다. 윈도우 어셈블리(503)와 기판(505) 사이의 갭은 조정가능할 수 있으며, 실시예들에서 약 10 내지 50 밀리미터(mm)이다. 기판(505) 아래에는 일반적으로 원통형 베이스를 갖는 중앙 어셈블리(521)상에 장착되는 반사기(520)가 위치된다. 반사기(520)는 반사성이 높은 표면 코팅을 가질 수 있다. 기판(505)의 밑면 및 반사기(520)의 상부는 기판(505)의 유효 방사율을 향상시키기 위한 반사 공동(reflecting cavity)에 접한다. 기판(505)과 반사기(520)간의 간격은 또한 조정될 수 있다. 300 mm 기판 처리 시스템들에서, 갭은 약 3mm 내지 20mm이거나, 또는 상이한 실시예들에서 약 5mm 내지 8mm일 수 있다.
다수의 온도 프로브들(도 5에 3개로 도시됨)은 광 파이프들(523)을 통해 광을 수집하고 광학 센서들(525) 및 보조 전자 장치들을 이용하여 검출된 광의 강도를 측정함으로써, 밑면으로부터 기판(505)의 상이한 영역들에서의 온도를 모니터링하기 위하여 고온측정 방법들을 이용할 수 있다. 각각의 온도 프로브는 중앙 어셈블리(521)의 후면측으로부터 반사기(520)의 상부를 통과하는 도관 속으로 삽입되는 광 파이프(523)를 포함할 수 있다. 광 파이프들(523)은 0.080 인치의 직경일 수 있으며, 도관들은 광 파이프들(523)의 도관들 안으로의 삽입을 용이하게 하기 위하여 약간 더 클 수 있다. 광 파이프들(523)은 광섬유들(524)을 통해 광학 센서들(525)에 광학적으로 연결될 수 있다. 온도 프로브들은 기판의 영역들 근처에서 측정된 온도를 표시하는 신호들을 생성하며, 신호들은 시스템 제어기(562)일 수 있다.
처리 영역(513)은 기판(505) 위에 위치된다. 기판을 재정렬하고 그리고/또는 기판(505) 및 프로세스 가스들을 수반하는 화학 반응들을 도울 수 있게, 기판은 조명 엘리먼트(502)로부터 기판(505) 쪽으로 광(512)을 비춤으로써 변형된다. 예를 들어, 기판(505) 내의 도펀트들은 활성화되거나 확산될 수 있으며, 기판(505)의 규칙도(degree of order)는 증가될 수 있거나 또는 막(실리사이드, 질화물, 또는 산화물과 같은)은 기판(505)상에 성장될 수 있다. 유입구 매니폴드(572)는 챔버(500)의 측벽에 위치되고, 가스가 탱크들(541)과 같은 가스 또는 가스들의 소스로부터 챔버(500)로 진입하도록 구성된다. 탱크들(541)로부터의 가스들의 흐름은 바람직하게는 수동 밸브들 및 컴퓨터 제어된 흐름 제어기들(542)을 이용하여 독립적으로 제어된다. 배기 캡(exhaust cap)(573)은 유입구 매니폴드(572)와 정반대쪽 맞은편의 챔버(500)의 측면에 위치되고, 증착 챔버(500)로부터 펌핑 시스템(미도시) 안으로 프로세스 폐기물(effluent)들을 배기시키도록 구성된다.
중앙 어셈블리(521)는 냉각수 유입구(colant inlet)들에 결합되는 내부 챔버들(522)을 포함하는 순환 회로를 포함하며, 중앙 어셈블리(521)를 냉각시키기 위하여 순환 회로를 통해 냉각 유체가 순환된다. 일 실시예에서, 실온수(room temperature water)는 중앙 어셈블리(521)를 가열된 기판(505)의 온도보다 훨씬 아래 온도로 유지시키는데 사용된다. 중앙 어셈블리(521)의 온도는 실시예들에서 150℃ 미만으로 유지된다.
작은 반사성 공동들(519)은 반사기(520)의 상부에 형성될 수 있으며, 여기서 광 파이프들(523)은 반사기(520)의 상부를 통과한다. 광 파이프들(523)은 광 파이프들의 가장 위의 단부들이 각각의 마이크로공동(519)에 대한 입구와 동일 평면상에 또는 그보다 약간 아래에 있도록 위치된다.
광 파이프들(523)은 사파이어와 같은 높은 광학 인덱스 물질로 만들어질 수 있다. 사파이어 광 파이프들은 일반적으로 상대적으로 작은 광 분산 계수들을 갖는 것이 바람직하며, 사파이어 광 파이프들은 가로방향(transverse) 광에 대해 더 크게 거부하는 경향이 있다. 그 결과 광 파이프들은 더 큰 측정 국지화(localization)를 제공하는데, 이는 광 파이프들이 측정에 대한 더 작은 입체각(solid angle) 및 이에 따른 측정의 더 작은 영역으로부터의 유입 광선들을 수용하기 때문이다. 광 파이프들은 임의의 적절한 내열성 및 내식성 물질, 예를 들어, 석영으로 만들어질 수 있으며, 광 파이프들은 샘플링된 복사선을 가능한 중간에 있는(intervening) 광섬유 케이블들(524)을 통해 고온계로 전송할 수 있다. 대안적으로, 방사 샘플링 시스템은 반사기(520)에 장착되는 작은-반경 대물 렌즈 및 각각의 렌즈에 의하여 수집되는 복사선을 각각의 고온계로 전달하는 렌즈들 및 거울들의 시스템을 포함하는 광학 시스템일 수 있다. 적절한 규격품(off-the-shelf) 광학 엘리먼트들이 이용가능하다면 그러한 설계는 사파이어 광 파이프들보다 훨씬 덜 비쌀 수 있다. 대안적으로, 광 파이프들은 연마된 반사성이 높은 내부 표면을 갖는 튜브로 만들어질 수 있다.
상기 표시되는 바와 같이, 단 3개의 온도 프로브들만이 도 5에 도시되나, 실제 시스템은 상이한 반경 방향(radial) 및 방위각(azimuthal) 위치들에서 온도를 측정하도록 반사기(520) 위에 분포되는 7개의 또는 8개의 측정 프로브들을 사용할 수 있다. 열 처리 동안에, 기판 지지 어셈블리(508)는 종종 기판(505)의 열 분포를 고르게 하기 위하여 회전된다. 기판 지지 어셈블리(508)는 또한 발광 다이오드들로부터의 자신의 거리가 조정될 수 있도록 이동가능할 수 있다. 회전율들은 약 20 내지 200 분당 회전수(RPM)일 수 있다. 기판(505)이 회전되는 경우들에서, 각각의 프로브는 기판에 대해 고리형 링 영역의 온도 프로파일을 실제로 샘플링한다. 기판 지지 어셈블리(508)는 자기-부상된(magnetically-levitated) 회전 프레임일 수 있다. 기판 지지 어셈블리(508)는 에지로부터 기판(505)을 지지하면서 회전자 웰(well)(509)로 연장될 수 있다. 이러한 방식으로, 기판(505)은 기판(505) 온도 균일성을 촉진시키기 위하여 조명 엘리먼트(502) 아래에서 회전된다.
에지 링(511)은 내부 직경을 따라 기판(505)이 접촉하도록 쉘프(shelf) 또는 웨지(wedge)형일 수 있다. 에지 링(511)은 기판(505)의 외측 둘레 부근에서 기판(505)에 접촉하여, 기판(505)의 밑면의 최소 부분을 가린다(obscure). 에지 링(511)은 대략 0.75 인치의 반경 방향 폭을 갖는다. 에지 링(511)의 부분들은 기판(505)에 근접하며, 막을 형성하거나 기판(505)을 다른 방식으로 변형하기 위하여 선택되는 프로세스 가스들에 의하여 부식 또는 오염 처리될 수 있다. 에지 링(511)에 대하여 사용되는 물질은 실리콘 탄화물과 같은 화학 공격(chemical attack)에 대하여 저항성일 수 있다.
에지 링(511)은 실린더(510)와 차광성(light tight) 밀봉을 생성하도록 설계된다. 에지 링(511)의 바닥부 표면으로부터의 연장부는 광이 실린더(510) 외부 영역과 실린더(510) 내부 영역 사이에서 직접 이동하는 것을 방지하는, 실린더(510)의 외부 직경보다 약간 더 크거나 약간 더 작은 외부 직경을 갖는 실린더형 립(lip) 또는 스커트(skirt)이다. 에지 링(511)은 실린더(510) 너머로 연장되도록 실린더(510)의 반경보다 더 큰 외측(outer) 반경을 갖는다. 에지 링(511)의 실린더(510) 너머로의 이러한 고리 형상의 연장부는 표유광(stray light)이 반사 공동(519)에 진입하고 기판 온도를 표시하는 것으로 오역되는 것을 방지하는 배플(baffle)로서 기능한다. 표유광이 반사 공동(519)으로 진입할 가능성을 추가로 감소시키기 위하여, 에지 링(511)은 조명 엘리먼트(502)에 의하여 생성되는 복사선을 흡수하는 물질(예를 들어, 실리콘 탄화물과 같은 흑색 또는 회색 물질)로 코팅될 수 있다. 반사 캐비티(519)로 진입하는 표유광의 양을 추가로 감소시키기 위하여, 공동-회전 에지 링 연장부(513)가 사용될 수 있다. 실린더(510)는 석영으로 만들어질 수 있으며, 반사 캐비티(519)로의 광의 침투를 추가로 제한하기 위하여 Si로 코팅될 수 있다.
도 5에 예증되는 기판 처리 시스템은 처리 장치(500)는 조명 엘리먼트(502) 강도들, 가스 흐름들, 기판 온도, 및 챔버 압력을 제어하는 것과 같은, 기판 처리 시스템의 다양한 동작들을 제어하는 시스템 제어기(562)를 포함한다. 본 발명의 실시예에서, 시스템 제어기(562)는 하드 디스크 드라이브(메모리(564)) 및 프로세서(966)를 포함한다. 프로세서는 기계적 인터페이스 보드들 뿐 아니라, 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입력/출력 보드들(568)을 포함한다.
시스템 제어기(562)는 기판 처리 시스템의 동작들을 제어한다. 시스템 제어기는 시스템 제어 소프트웨어를 실행하며, 시스템 제어 소프트웨어는 메모리(564)와 같은 컴퓨터-판독가능 매체에 저장되는 컴퓨터 프로그램이다. 메모리(564)는 하드 디스크 드라이브일 수 있으나, 메모리(564)는 또한 DRAM, 플래시 및 다른 종류의 메모리를 포함할 수 있다. 메모리(964)는 또한 하나 이상의 타입의 메모리의 조합일 수 있다. 컴퓨터 프로그램은 타이밍, 가스들의 혼합물, 챔버 압력, 챔버 온도, 램프 전력 레벨들, 기판 지지 어셈블리 위치, 및 특정 프로세스의 다른 파라미터들을 지시하는 명령들의 세트들을 포함한다. 물론, 예를 들어, 플로피 디스크 또는 다른 적절한 드라이브를 포함하는 다른 메모리 디바이스상에 저장된 것과 같은 다른 컴퓨터 프로그램들이 또한 시스템 제어기(562)를 작동시키는데 사용될 수 있다. 장비와의 인터페이싱 이외에, 입력/출력(I/O) 디바이스들(568)은 LCD 모니터, 키보드, 및 포인팅 디바이스와 같은 휴먼 인터페이스 디바이스들을 포함할 수 있다. 시스템 제어기(562)는 시스템 기능들의 원격 제어 또는 모니터링을 허용하기 위하여 네트워크에 연결될 수 있다. 제어는 또한 제어 책임들을 분배하기 위하여 네트워크를 통해 통신하는 다수의 시스템 제어기들(562) 사이에 분배될 수 있다.
도 6은 개시되는 실시예들에 따라 기판의 표면을 처리하는데 사용될 수 있는 예시적인 방법을 보여주는 흐름도이다. 기판은 처리 챔버로 이송된다(동작(600)). 조명 펄스 지속기간 및 강도는 펄스(들)가 기판을 어닐링하기에 충분한 전력을 갖도록 선택된다(동작(603)). 다수의 LED들을 포함하고 기판 처리 시스템의 일부인 광학적 어셈블리는 기판을 설정치 온도로 어닐링하기 위하여 기판의 표면을 조명하고 가열한다(동작(605)). 고온계는 어닐링 동안에 기판으로부터 복사선을 검출하고(동작(610)), LED들에 의하여 방출되는 파장(들)과 상이한 파장 근처에서 방출된 복사선의 양으로부터 실제 온도를 계산(동작(615))하기 위하여 사용된다. 고온계 및 조명을 위한 개별적 파장들을 사용하는 것은 고온계에 의하여 결정되는 온도의 정확성을 향상시킨다. 실제 온도와 설정치 온도간의 차에 기반하여, 새로운 전력 레벨이 계산되고 저장된다(동작(620)). 새로운 전력 레벨이 후속 기판들을 처리하는데 사용될 수 있다. 대안적인 실시예에서, 상기 차는 기판의 처리 동안에 LED 전력을 조정하기 위하여 실시간 피드백 루프 내에서 사용된다.
본 명세서에서 사용될 때, "기판"은 상부에 형성된 층들을 갖는 또는 갖지 않는 지지 기판일 수 있다. 층들의 일부 또는 전부, 및 심지어 기판은 패터닝될 수 있다. 지지 기판은 다양한 도핑 농도들 및 프로파일들의 반도체 또는 절연체일 수 있으며, 예를 들어, 집적 회로들의 제작에 사용되는 타입의 반도체 기판일 수 있다. "광", "광학적" 및 "광학"이라는 용어들의 사용은 수반되는 전자기 복사선이 스펙트럼의 가시 부분으로부터 산출되어야 한다는 어떠한 암시도 주지 않는다. 광은 임의의 파장을 가질 수 있다.
일 실시예에서, 기판 처리 시스템은 제1 발광 다이오드 및 제2 발광 다이오드를 포함하는 다수의 발광 다이오드들을 포함하고, 다수의 발광 다이오드들은 반도체 기판의 제1 표면을 적어도 200 ℃로 가열한다. 제1 발광 다이오드는 광 에너지의 다수의 제1 펄스들을 방출하고, 제2 발광 다이오드는 광 에너지의 다수의 제2 펄스들을 방출한다.
기판 처리 시스템의 다른 실시예에서, 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 1초 미만인 지속기간을 갖는다.
기판 처리 시스템의 또 다른 실시예에서, 다수의 발광 다이오드들은 반도체 기판을 실질적으로 균일하게 가열하는 패턴으로 정렬된다. 패턴은 동심원들일 수 있으며, 각각의 동심원상의 다수의 발광 다이오드들의 에너지 출력은 반도체 기판의 제1 표면을 균일하게 가열하기 위하여 가변될 수 있다.
기판 처리 시스템의 또 다른 실시예에서, 패턴은 반도체 기판의 외측 에지 근처의 동심원이다.
기판 처리 시스템의 또 다른 실시예에서, 다수의 발광 다이오드들로부터의 조명은 반도체 기판의 가까운 표면 영역을 변형시킨다.
기판 처리 시스템의 또 다른 실시예에서, 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 약 1 밀리초 내지 약 1초 범위의 지속기간을 갖는다.
기판 처리 시스템의 또 다른 실시예에서, 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 약 10 마이크로초 내지 약 1 밀리초 범위의 지속기간을 갖는다.
기판 처리 시스템의 또 다른 실시예에서, 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 500 Watts/cm2보다 큰 피크 광학 전력을 갖는다.
기판 처리 시스템의 또 다른 실시예에서, 반도체 기판의 제1 표면의 영역은 100 cm2보다 크다.
기판 처리 시스템의 또 다른 실시예에서, 다수의 발광 다이오드들은 단일 투명 기판상에 형성된다.
다른 실시예에서, 기판 처리 시스템은, 처리 챔버 내에 배치되는 반도체 기판을 지지하는 기판 지지 어셈블리, 및 제1 발광 다이오드 및 제2 발광 다이오드를 포함하는 다수의 발광 다이오드들을 포함하며, 다수의 발광 다이오드들은 반도체 기판의 제1 표면을 적어도 200 ℃로 가열한다. 제1 발광 다이오드는 광 에너지의 다수의 제1 펄스들을 방출하고, 제2 발광 다이오드는 광 에너지의 다수의 제2 펄스들을 방출한다. 다수의 발광 다이오드들은 반도체 기판을 실질적으로 균일하게 가열하는 패턴으로 정렬된다. 다수의 발광 다이오드들은 반도체 기판의 가까운 표면 영역을 변형시킬 수 있다. 광의 펄스들은 약 1 밀리초 내지 약 1초 범위의 지속기간을 가질 수 있다. 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 200 Watts/cm2보다 큰 피크 광학 전력을 가질 수 있다. 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 반도체 기판 내의 도펀트를 활성화시킬 수 있다. 광의 다수의 제1 펄스들 및 광의 다수의 제2 펄스들은 반도체 기판 내로 원자들을 확산시킬 수 있다. 기판 처리 시스템은 반도체 기판의 제2 표면을 조명하고 가열하기 위하여 반도체 기판의 제2 표면 근처에 배치되는 다수의 제2 발광 다이오드들을 포함하는 제2 발광 다이오드 어셈블리를 추가로 포함할 수 있다.
다른 실시예에서, 기판 처리 시스템은, 처리 챔버 내에 배치되는 반도체 기판을 지지하는 기판 지지 어셈블리, 및 제1 발광 다이오드 및 제2 발광 다이오드를 포함하는 다수의 발광 다이오드들을 포함하는 제1 발광 다이오드 어셈블리를 포함하며, 다수의 발광 다이오드들은 반도체 기판의 제1 표면을 적어도 200 ℃로 가열한다. 제1 발광 다이오드는 하나 이상의 제1 처리 파장들을 포함하는 광 에너지의 다수의 제1 펄스들을 방출하고, 제2 발광 다이오드는 하나 이상의 제2 처리 파장들을 포함하는 광 에너지의 다수의 제2 펄스들을 방출한다. 다수의 발광 다이오드들은 반도체 기판을 실질적으로 균일하게 가열하는 패턴으로 정렬된다. 기판 처리 시스템은 반도체 기판의 일부의 온도를 결정하기 위하여 적어도 하나의 고온측정 파장 근처에서 광을 검출하는 적어도 하나의 고온계를 추가로 포함하며, 여기서 적어도 하나의 고온측정 파장은 하나 이상의 제1 및 제2 처리 파장들과 상이하다. 하나 이상의 제1 및 제2 처리 파장들은 약 0.75 μm 미만의 파장을 포함할 수 있으며, 적어도 하나의 고온측정 파장은 약 0.75 μm를 초과하는 파장을 포함한다. 하나 이상의 제1 및 제2 처리 파장들은 약 0.50 μm 미만의 파장을 포함할 수 있으며, 적어도 하나의 고온측정 파장은 약 0.50 μm를 초과하는 파장을 포함한다.
다른 실시예에서, 반도체 기판을 처리하는 방법은, 반도체 기판을 제공하는 단계, 반도체 기판을 처리하기에 충분한 적어도 하나의 광학적 펄스의 LED 펄스 강도 및 LED 펄스 지속기간을 선택하는 단계, 및 LED 어셈블리로부터의 광의 적어도 하나의 광학적 펄스를 이용하여 반도체 기판을 적어도 200 ℃로 가열하는 단계를 포함한다. 적어도 하나의 광학적 펄스는 하나 이상의 LED 파장들을 포함한다. 방법은 반도체 기판의 표면으로부터 방출된 광을 수용하는 단계, 및 프로세스 모니터링 파장 근처에 방출된 광의 강도를 결정하는 단계를 추가로 포함할 수 있으며, 여기서 프로세스 모니터링 파장은 하나 이상의 LED 파장들과 상이하다. 반도체 기판을 처리하는 것은 반도체 기판을 어닐링하는 것을 포함할 수 있다. 반도체 기판을 처리하는 것은 반도체 기판 내에 도펀트들을 확산시키는 것을 포함할 수 있다.
또한, 본 발명이 바람직한 실시예들의 관점에서 상기에 설명되었으나, 발명이 이에 제한되지 않는다는 것이 본 기술분야의 당업자들에 의하여 인지될 것이다. 상기 개시되는 발명의 다양한 특징들 및 양상들은 개별적으로 또는 함께 사용될 수 있다. 추가로, 발명이 특정 환경에서의 그리고 특정 애플리케이션에 대한 발명의 구현의 문맥에서 설명되었으나, 본 기술분야의 당업자들은 발명의 유용성이 이에 제한되지 않으며, 본 발명이 임의의 개수의 환경들 및 구현들에서 이용될 수 있다는 것을 인지할 것이다.

Claims (25)

  1. 반도체 기판들을 열적으로 처리하기 위한 시스템으로서,
    반도체 기판의 전체 상부 표면을 조명하고, 상기 반도체 기판을 어닐링하기 위해 적어도 200℃까지 상기 반도체 기판의 전체 상부 표면을 급속하게 그리고 균일하게 가열하기 위해, 1 밀리초 내지 1 초 범위의 지속기간을 갖는 광의 펄스들을 방출하도록 구성된 발광 다이오드들의 어레이를 포함하는 광학 어셈블리를 포함하고,
    상기 광학 어셈블리는 텅스텐 할로겐 램프들을 포함하고, 상기 광학 어셈블리는, 제 1 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 발광 다이오드들의 어레이를 사용하고 그리고 상기 제 1 온도보다 더 큰 제 2 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 텅스텐 할로겐 램프들을 사용하도록 구성되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  2. 제 1 항에 있어서,
    상기 광의 펄스들은 1초 미만이거나 또는 1초와 동일한 지속기간을 갖는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  3. 제 1 항에 있어서,
    상기 발광 다이오드들은 상기 반도체 기판의 상부 표면에 균일한 가열을 제공하는 패턴으로 정렬되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  4. 제 3 항에 있어서,
    상기 패턴은 동심원들이며; 그리고
    각각의 동심원상으로부터의 상기 발광 다이오드들의 에너지 출력은 상기 반도체 기판의 상부 표면에 상기 균일한 가열을 제공하기 위하여 가변될 수 있는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  5. 제 3 항에 있어서,
    상기 패턴은 상기 반도체 기판의 외측 에지 근처에서의 동심원을 포함하는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  6. 청구항 6은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 발광 다이오드들로부터의 조명은 상기 반도체 기판의 표면 근처의 영역을 변형시키는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  7. 제 1 항에 있어서,
    상기 광의 펄스들은 500 Watts/cm2보다 큰 피크 광학 전력을 갖는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  8. 청구항 8은(는) 설정등록료 납부시 포기되었습니다.
    제 1 항에 있어서,
    상기 반도체 기판의 상부 표면의 영역은 100cm2보다 큰,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  9. 제 1 항에 있어서,
    상기 발광 다이오드들은 단일 투명 기판상에 배치되어 형성되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  10. 반도체 기판들을 열적으로 처리하기 위한 시스템으로서,
    처리 챔버;
    상기 처리 챔버 내에 배치되는 반도체 기판을 지지하도록 구성되는 기판 지지 어셈블리; 및
    제 1 발광 다이오드 어셈블리를 포함하는 광학 어셈블리
    를 포함하고,
    상기 제 1 발광 다이오드 어셈블리는 수직인 입사각으로 상기 반도체 기판의 전체 상부 표면을 조명하기 위해 1 밀리초 내지 1 초 범위의 지속기간을 갖는 광의 펄스들을 방출하도록 구성된 발광 다이오드들의 제 1 어레이를 포함하고, 상기 발광 다이오드들의 제 1 어레이는 상기 반도체 기판을 어닐링하기 위해 적어도 200℃까지 상기 반도체 기판의 전체 상부 표면을 급속하게 그리고 균일하게 가열하는 패턴으로 배열되고,
    상기 광학 어셈블리는 텅스텐 할로겐 램프들을 포함하고, 상기 광학 어셈블리는, 제 1 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 발광 다이오드들의 제 1 어레이를 사용하고 그리고 상기 제 1 온도보다 더 큰 제 2 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 텅스텐 할로겐 램프들을 사용하도록 구성되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  11. 청구항 11은(는) 설정등록료 납부시 포기되었습니다.
    제 10 항에 있어서,
    상기 발광 다이오드들의 제 1 어레이로부터의 조명은 상기 반도체 기판의 상부 표면 근처의 영역을 변형시키는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  12. 제 10 항에 있어서,
    상기 광의 펄스들은 200 Watts/cm2보다 큰 피크 광학 전력을 갖는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  13. 청구항 13은(는) 설정등록료 납부시 포기되었습니다.
    제 10 항에 있어서,
    상기 광의 펄스들은 상기 반도체 기판 내의 도펀트들을 활성화시키는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  14. 제 10 항에 있어서,
    상기 광학 어셈블리는 제 2 발광 다이오드 어셈블리를 더 포함하고, 상기 제 2 발광 다이오드 어셈블리는 상기 반도체 기판의 하부 표면 근처에 배치된 발광 다이오드들의 제 2 어레이를 포함하고, 상기 발광 다이오드들의 제 2 어레이는 상기 반도체 기판의 하부 표면을 조명하기 위해 1 밀리초 내지 1 초 범위의 지속기간을 갖는 광의 펄스들을 방출하도록 구성되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  15. 반도체 기판들을 열적으로 처리하기 위한 시스템으로서,
    처리 챔버;
    상기 처리 챔버 내에 배치되는 반도체 기판을 지지하도록 구성되는 기판 지지 어셈블리;
    광학 어셈블리; 및
    상기 반도체 기판의 부분의 온도를 결정하기 위해 적어도 하나의 고온측정(pyrometric) 파장 근처의 광을 검출하도록 구성된 적어도 하나의 고온계(pyrometer)
    를 포함하고,
    상기 광학 어셈블리는:
    제 1 발광 다이오드 및 제 2 발광 다이오드를 포함하는 복수의 발광 다이오드들을 포함하고, 상기 복수의 발광 다이오드들은 상기 반도체 기판을 어닐링하기 위해 적어도 200℃까지 상기 반도체 기판의 제 1 표면을 가열하도록 구성되고;
    상기 제 1 발광 다이오드는 1 밀리초 내지 1 초 범위의 지속기간을 갖고, 하나 이상의 제 1 처리 파장들을 포함하는 제 1 복수의 펄스들의 광 에너지를 방출하고, 상기 제 2 발광 다이오드는 1 밀리초 내지 1 초 범위의 지속기간을 갖고, 하나 이상의 제 2 처리 파장들을 포함하는 제 2 복수의 펄스들의 광 에너지를 방출하고;
    상기 복수의 발광 다이오드들은 상기 반도체 기판의 전체 상부 표면을 급속하게 그리고 균일하게 가열하는 패턴으로 배열되고; 그리고
    상기 적어도 하나의 고온측정 파장은 상기 하나 이상의 제 1 처리 파장들 및 상기 하나 이상의 제 2 처리 파장들과는 상이하고,
    상기 광학 어셈블리는 텅스텐 할로겐 램프들을 더 포함하고, 상기 광학 어셈블리는, 제 1 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 복수의 발광 다이오드들을 사용하고 그리고 상기 제 1 온도보다 더 큰 제 2 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 텅스텐 할로겐 램프들을 사용하도록 구성되는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  16. 제 15 항에 있어서,
    상기 하나 이상의 제 1 및 제 2 처리 파장들은 0.75㎛ 미만의 파장을 포함하고, 상기 적어도 하나의 고온측정 파장은 0.75㎛ 초과의 파장을 포함하는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  17. 제 15 항에 있어서,
    상기 하나 이상의 제 1 및 제 2 처리 파장들은 0.50㎛ 미만의 파장을 포함하고, 상기 적어도 하나의 고온측정 파장은 0.50㎛ 초과의 파장을 포함하는,
    반도체 기판들을 열적으로 처리하기 위한 시스템.
  18. 반도체 기판을 열적으로 처리하기 위한 방법으로서,
    상기 반도체 기판을 제공하는 단계; 및
    상기 반도체 기판의 전체 상부 표면을 조명하기 위해 1 밀리초 내지 1 초 범위의 지속기간을 갖는 광의 펄스들을 방출하는 발광 다이오드들의 어레이를 포함하는 광학 어셈블리를 사용하여 적어도 200℃까지 상기 반도체 기판의 전체 상부 표면을 급속하게 그리고 균일하게 가열하는 단계
    를 포함하고,
    상기 광의 펄스들은 하나 이상의 LED 파장들을 포함하고,
    상기 광학 어셈블리는 텅스텐 할로겐 램프들을 포함하고, 상기 광학 어셈블리는, 제 1 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 발광 다이오드들의 어레이를 사용하고 그리고 상기 제 1 온도보다 더 큰 제 2 온도까지 상기 반도체 기판의 상부 표면을 가열하기 위해 상기 텅스텐 할로겐 램프들을 사용하도록 구성되는,
    반도체 기판을 열적으로 처리하기 위한 방법.
  19. 제 18 항에 있어서,
    상기 반도체 기판의 표면으로부터 방출된 광을 수용하는 단계; 및
    프로세스 모니터링 파장 근처에서의 상기 방출된 광의 강도를 결정하는 단계
    를 더 포함하고,
    상기 프로세스 모니터링 파장은 상기 하나 이상의 LED 파장들과는 상이한,
    반도체 기판을 열적으로 처리하기 위한 방법.
  20. 청구항 20은(는) 설정등록료 납부시 포기되었습니다.
    제 18 항에 있어서,
    상기 반도체 기판을 균일하게 가열하는 단계는 상기 반도체 기판을 어닐링하는,
    반도체 기판을 열적으로 처리하기 위한 방법.
  21. 청구항 21은(는) 설정등록료 납부시 포기되었습니다.
    제 18 항에 있어서,
    상기 반도체 기판을 균일하게 가열하는 단계는 상기 반도체 기판 내의 도펀트들을 확산시키는,
    반도체 기판을 열적으로 처리하기 위한 방법.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
KR1020117027744A 2009-04-20 2010-04-16 Led 기판 처리 KR101728796B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17102009P 2009-04-20 2009-04-20
US61/171,020 2009-04-20
PCT/US2010/031403 WO2010123772A2 (en) 2009-04-20 2010-04-16 Led substrate processing

Publications (2)

Publication Number Publication Date
KR20120006553A KR20120006553A (ko) 2012-01-18
KR101728796B1 true KR101728796B1 (ko) 2017-04-20

Family

ID=42981300

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117027744A KR101728796B1 (ko) 2009-04-20 2010-04-16 Led 기판 처리

Country Status (7)

Country Link
US (1) US8404499B2 (ko)
JP (1) JP2012524400A (ko)
KR (1) KR101728796B1 (ko)
CN (1) CN102405513B (ko)
SG (1) SG174858A1 (ko)
TW (1) TWI525668B (ko)
WO (1) WO2010123772A2 (ko)

Families Citing this family (317)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5254308B2 (ja) * 2010-12-27 2013-08-07 東京エレクトロン株式会社 液処理装置、液処理方法及びその液処理方法を実行させるためのプログラムを記録した記録媒体
KR101883360B1 (ko) * 2011-03-28 2018-07-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
KR20140091203A (ko) * 2013-01-10 2014-07-21 삼성전자주식회사 반도체의 잔류 응력 제거장치 및 잔류 응력 제거방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9406653B2 (en) 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
WO2014179010A1 (en) 2013-05-01 2014-11-06 Applied Materials, Inc. Apparatus and methods for low temperature measurement in a wafer processing system
US9442007B2 (en) * 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for monitoring ultraviolet light for a fiber cure system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
CN106415810B (zh) * 2014-01-17 2020-03-20 皇家飞利浦有限公司 包括半导体光源的加热系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9899242B2 (en) 2015-04-06 2018-02-20 Varian Semiconductor Equipment Associates, Inc. Device and method for substrate heating during transport
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI585911B (zh) * 2015-08-12 2017-06-01 精材科技股份有限公司 一種感應器封裝體及其製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102090152B1 (ko) * 2015-12-30 2020-03-17 맷슨 테크놀로지, 인크. 밀리세컨드 어닐 시스템을 위한 챔버 벽 가열
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB201900912D0 (en) * 2019-01-23 2019-03-13 Lam Res Ag Apparatus for processing a wafer, and method of controlling such an apparatus
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US20200375027A1 (en) * 2019-05-21 2020-11-26 Applied Materials, Inc. Single Layer PCB Circuit Layout For Uniform Radial LED Array
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
NL2023537B1 (en) * 2019-07-19 2021-02-08 Xeikon Prepress Nv Apparatus and method for exposure of relief precursors
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2022017022A (ja) * 2020-07-13 2022-01-25 ウシオ電機株式会社 光加熱装置
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005536045A (ja) 2002-08-09 2005-11-24 エーエスエム アメリカ インコーポレイテッド Cvd加熱用のled加熱ランプアレイ
JP2007141896A (ja) * 2005-11-14 2007-06-07 Tokyo Electron Ltd 加熱装置、熱処理装置及び記憶媒体
JP2008016545A (ja) * 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法
US7514305B1 (en) 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5005519A (en) * 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
US5773329A (en) * 1996-07-24 1998-06-30 International Business Machines Corporation Polysilicon grown by pulsed rapid thermal annealing
US6740906B2 (en) * 2001-07-23 2004-05-25 Cree, Inc. Light emitting diodes including modifications for submount bonding
JP2006059931A (ja) 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
KR100700836B1 (ko) * 2005-11-16 2007-03-28 삼성에스디아이 주식회사 레이저 열 전사 장치 및 레이저 열 전사법 그리고 이를이용한 유기 발광소자의 제조방법
WO2008016116A1 (fr) * 2006-08-04 2008-02-07 Tokyo Electron Limited Dispositif et procédé de recuit
JP4107513B1 (ja) * 2007-02-04 2008-06-25 国立大学法人鳥取大学 電子装置の発光制御方法
DE102007015233A1 (de) * 2007-03-29 2008-10-02 Osram Gesellschaft mit beschränkter Haftung Leuchtdiodenlampe, Leuchte mit einer Leuchtdiodenlampe, Verfahren zum Betrieb einer Leuchte und Verfahren zur Erzeugung einer elektrischen Verlustleistung bei einer Leuchtdiodenlampe
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005536045A (ja) 2002-08-09 2005-11-24 エーエスエム アメリカ インコーポレイテッド Cvd加熱用のled加熱ランプアレイ
JP2007141896A (ja) * 2005-11-14 2007-06-07 Tokyo Electron Ltd 加熱装置、熱処理装置及び記憶媒体
US7514305B1 (en) 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
JP2008016545A (ja) * 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法

Also Published As

Publication number Publication date
US8404499B2 (en) 2013-03-26
CN102405513A (zh) 2012-04-04
WO2010123772A2 (en) 2010-10-28
JP2012524400A (ja) 2012-10-11
US20100267174A1 (en) 2010-10-21
TWI525668B (zh) 2016-03-11
CN102405513B (zh) 2016-05-25
TW201103078A (en) 2011-01-16
WO2010123772A3 (en) 2011-01-13
SG174858A1 (en) 2011-11-28
KR20120006553A (ko) 2012-01-18

Similar Documents

Publication Publication Date Title
KR101728796B1 (ko) Led 기판 처리
US6771895B2 (en) Heating device for heating semiconductor wafers in thermal processing chambers
US9029739B2 (en) Apparatus and methods for rapid thermal processing
KR101654274B1 (ko) 광섬유 기판 처리
US9842753B2 (en) Absorbing lamphead face
KR20080102335A (ko) 제어식 어닐링 방법
US10455642B2 (en) Rapid thermal processing chamber with linear control lamps
KR102214288B1 (ko) 가요성 스탠드오프들을 갖는 램프헤드 pcb
KR101605079B1 (ko) 급속 열처리 장치
WO2014176174A1 (en) Absorbing lamphead face

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)