JP2012524400A - Led基板処理 - Google Patents

Led基板処理 Download PDF

Info

Publication number
JP2012524400A
JP2012524400A JP2012505959A JP2012505959A JP2012524400A JP 2012524400 A JP2012524400 A JP 2012524400A JP 2012505959 A JP2012505959 A JP 2012505959A JP 2012505959 A JP2012505959 A JP 2012505959A JP 2012524400 A JP2012524400 A JP 2012524400A
Authority
JP
Japan
Prior art keywords
substrate
light emitting
light
semiconductor substrate
processing system
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012505959A
Other languages
English (en)
Other versions
JP2012524400A5 (ja
Inventor
スティーヴン モファット,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012524400A publication Critical patent/JP2012524400A/ja
Publication of JP2012524400A5 publication Critical patent/JP2012524400A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本発明の実施形態は、基板を熱的に処理するために発光ダイオード(LED)を組み込んでいる基板処理機器および方法に関連する。かかる光源は、より高い効率およびより早い反応時間を含む各種の利点を提供する。パルス幅は、1ミリ秒以下まで選択可能であるが、1秒までおよび1秒を超える長いパルスに及ぶ場合がある。LEDが50%よりも高い効率で光を生成し、タングステン−ハロゲンランプが5%よりも低い効率で動作するので、より長い処理時間を認める環境においてさえ、LEDがタングステン−ハロゲンランプよりも好ましい。

Description

関連出願の相互参照
本出願は、2009年4月20日に出願した、米国特許仮出願第61/171,020号の利益を主張し、その出願は、すべての目的のためにその全体が参照により本明細書中に組み込まれている。
半導体産業において使用するいくつかのプロセスでは、基板を処理するためにかかる時間を短縮するために、急速に基板を加熱することが望ましい。典型的には、急速サーマル処理システムは、ときには真空条件下で、処理チャンバの内部に保持されている基板を急速に加熱するために、高輝度光源を利用する。高輝度ランプのアレイから構成される場合がある高輝度光源を、チャンバの内側または外側で、光がチャンバ中へと通過する透明窓に隣接して置く。チャンバの内側で、基板温度が入ってくる光に迅速に応答できるように、基板を、(通常、端部の周りで)ほんの少しの物理的な接触により支持する。ウェーハの表面は、高輝度ランプからの光に曝され、光を受ける。ランプは、基本的には、黒体放射器であり、動作温度まで可能な限り早く(典型的には300msから500ms)加熱する。集積回路の製造の際に一般的に使用されるようなシリコン基板と同様に、多くの基板に関して、基板が室温に近いときに、特に、加熱サイクルの始めにおいて、光学的な吸収はより短い波長に対して大きい。ランプが短波長光のかなりの部分を放出し始める高温(約3000℃)にランプが達した後で、急速シリコン基板加熱が始まる。
図1は、チャンバ105内に配置されたウェーハ100が、チャンバ蓋120上にマウントされたランプ125からの放射光によって加熱されるフラッド型急速サーマル加熱装置の概略的な断面図を示す。ランプ125は、典型的には、タングステン−ハロゲンランプであり、基板の熱プロファイルを一様にするために、複数のランプを異なる温度にすることができる。チャンバ105内の窓135を通る光を監視することによって、高温測定を行うことができる。ランプ125を点灯することができる割合が、典型的な加熱ランプに関しては制限され、いかに早く基板を加熱できるかについての制限という結果になる。タングステン−ハロゲンランプを使用するパルス持続期間についての実際的な最小値は、フィラメントの反応時間のために約1secである。
処理時間目標値の範囲内に留めるために、効率を改善し、より短いパルス持続期間を実現するように、代替光源を使用してきている。100μsから1msのパルス持続期間を実現するフラッシュランプは、より短いパルス持続期間を有する。しかしながら、基板を加熱するためにフラッシュランプを使用するときには、基板の最大温度、すなわち、表面近傍域の基板の温度が、パルス持続期間によって制限される。
高効率ランプは、約1msより長いパルス持続期間を欠いている。それゆえ、約1msと1secとの間のパルス持続期間を実現できる高輝度基板照明源が必要である。
本発明の実施形態は、基板を熱的に処理するために発光ダイオード(LED)を組み込んでいる基板処理機器および方法に関連する。かかる光源は、より高い効率およびより早い反応時間を含む各種の利点を提供する。パルス幅は、1ミリ秒以下まで選択可能であるが、1秒までおよび1秒を超える長いパルスに及ぶ場合がある。LEDが50%よりも高い効率で光を生成し、タングステン−ハロゲンランプが5%よりも低い効率で動作するので、より長い処理時間を認める環境においてさえ、LEDがタングステン−ハロゲンランプよりも好ましい。
基板処理システムは、基板に変化を生じさせるために、基板の第1の表面を照明するための複数の発光ダイオードを含み、発光ダイオードからの照明が、1つまたは複数の波長近くの1つまたは複数の光のパルスを含む。複数の発光ダイオードは、基板の表面近傍域に変化を生じさせることができる。1つまたは複数の光のパルスが、約1ミリ秒と約1秒との間の範囲に及ぶことがある、または約10マイクロ秒と約1ミリ秒との間の範囲に及ぶことがある、または約1秒よりも長いことがある持続期間を有する。1つまたは複数の光のパルスは、500ワット/cmよりも大きな光学的ピークパワーを有することができる。基板の第1の表面が、100cmよりも大きいことがある。複数の発光ダイオードが、1つの透明基板上に形成されることがある。
別の一実施形態では、基板処理システムは、処理チャンバの内部に配置された基板を支持するための基板支持アセンブリと、第1の発光ダイオードアセンブリとを含む。第1の発光ダイオードアセンブリは、基板に変化を生じさせるために、第1の表面を照明するために基板の第1の表面近くに配置された複数の発光ダイオードをさらに含み、発光ダイオードからの照明が、1つまたは複数の波長近くの光のパルスを含む。複数の発光ダイオードは、基板の表面近傍域に変化を生じさせることができる。光のパルスは、約1ミリ秒と約1秒との間の持続期間を有することができる。光のパルスは、200ワット/cmよりも大きな光学的ピークパワーを有することができる。光学的パルスは、基板の内部のドーパントを活性化させることによって基板に変化を生じさせることができる。光学的パルスは、基板中へと原子を拡散させることによって基板に変化を生じさせることができる。基板処理システムは、基板の第2の表面を照明するために基板の第2の表面近くに配置された第2の複数の発光ダイオードを含む第2の発光ダイオードアセンブリをさらに含むことができる。
別の一実施形態では、基板を処置する方法は、基板を用意するステップと、基板を処置するために十分な少なくとも1つの光学的パルスのLEDパルス持続期間およびLEDパルス輝度を選択するステップと、LEDアセンブリからの少なくとも1つの光の光学的パルスを用いて基板を処置するステップとを含む。少なくとも1つの光学的パルスは、1つまたは複数のLED波長を含む。
本開示の適用可能性があるさらなる領域は、以降に与えられる詳細な説明から明白になるであろう。様々な実施形態を示しているが、詳細な説明および具体的な例が、実例のみの目的のつもりであり、本開示の範囲を必然的に限定するつもりがないことが、理解されるはずである。
下記に提示する明細書および図面の残りの部分を参照することによって、本発明の本質および利点のさらなる知見を理解することができる。図を、本発明の詳細な説明部へと組み込んでいる。
先行技術基板処理システム内の加熱システムおよび監視システムの断面概略図である。 本発明の実施形態による基板処理システムおよび発光構成要素の断面概略図である。 本発明の実施形態による基板処理システムおよび発光構成要素の断面概略図である。 本発明の実施形態による基板処理システムおよび発光構成要素の断面概略図である。 本発明の実施形態による基板処理システムおよび発光構成要素の断面概略図である。 本発明の実施形態による基板処理システムの断面概略図である。 本発明の実施形態から恩恵を受ける例示的な基板処理システムの断面図である。 開示した実施形態にしたがって基板の表面を処置するために使用することができる例示的な方法を示す流れ図である。
添付した図では、類似の構成要素および/またはフィーチャは、同じ参照標識を有することができる。さらに、ダッシュおよび類似の構成要素間を識別する2番目の標識を参照標識に続けることによって、同じタイプの様々な構成要素を識別することができる。主参照標識だけが明細書中で使用される場合には、2番目の参照標識に拘わらず同じ1番目の参照標識を有する類似の構成要素のうちのいずれか1つに、説明が適用可能である。
本発明の実施形態は、基板を熱的に処理するために発光ダイオード(LED)を組み込んでいる基板処理機器および方法に関連する。かかる光源は、より高い効率およびより早い反応時間を含む各種の利点を提供する。パルス幅は、選択可能であり、1ミリ秒以下から1秒以上までの範囲に及ぶ。LEDが50%よりも高い効率で光を生成し、タングステン−ハロゲンランプが5%よりも低い効率で動作するので、より長い処理時間を認める環境においてさえ、LEDはタングステン−ハロゲンランプよりも好ましい。
開示した実施形態では、基板の表面を照明し加熱して基板の表面近傍域を処理するために、発光ダイオードを使用する。プロセスは、膜を形成することや、ドーパントを取り扱うことや、基板自体を再配列させることを含む。高放射輝度発光ダイオード(HR−LEDS)の利用可能性のために、基板処理が、いくつかのプロセスに対して使用可能になってきており、より一層高い出力輝度が利用可能になるので、はるかに多くのプロセスが、HR−LEDから恩恵を受けることができる。高放射輝度発光ダイオード(HR−LEDS)は、基板の表面近傍域を処理するために使用するときに利点を提供する。HR−LEDは、長時間持続し、出力照明光の(1つまたは複数の)波長とは無関係に出力輝度を選ぶことを可能にする。発光ダイオード(LED)は、窒化ガリウムか、窒化アルミニウムか、これらの組み合わせか、または能動域内のIII−V族材料のバンドギャップによって決定される1つまたは複数の波長に近い光を放出するように構成された透明基板上に成長させた他のIII−V族材料からなる場合がある。放出される波長をより長い波長へと変換するために、リンをやはり使用することができ、放出される波長のエネルギーを小さくする。吸収を高めるためまたは化学反応を高めるために、本明細書中に説明され残りの図に図示されるLEDが、リンを採用することができることを、理解するであろう。
包含される化学的性質に応じて、ガス前駆体が存在する中で照明することは、熱的手段または他の手段によって化学反応の速度を高めることができる。例えば、表面における化学反応を促進させるために、光が、ガス相分子もしくは吸着した分子を励起することができる、または基板を電気的に励起することさえある。例えば、反応速度を高めるために分子の電子的遷移と共鳴する波長を選ぶことによって、望ましい膜プロセスを促進させるように、LEDの波長を選択することができる。基板による放射光の吸収を高めるために、波長をやはり選ぶことができ、それによってより効率的に基板を加熱する。
連続的に10ワットよりも大きなパワーを放出する個々の高放射輝度(HR)LEDが、現在入手可能である。LEDが白熱電球をさらに置き換えることを可能にするもっと大きなパワーの利用可能性が、今後数年間に予想されている。LEDを使用することの1つの利点は、LEDの小さなサイズが、基板の表面を同時に実質的に照明するために適した高輝度光源を生成する1次元および2次元アレイにLEDを配列することを可能にすることである。適したLEDアセンブリを、異なる実施形態において、200ワット/cmよりも大きいか、500ワット/cmよりも大きいか、または1000ワット/cmよりも大きな光学的パワーを放出するために本明細書中に開示する。
Siウェーハに対する多種多様な堆積や、アニーリングや、他の熱トリートメントは、短い加熱サイクルから恩恵を受ける。フラッシュランプに基づくシステムは、100μsから1msの時間範囲にあるパルス持続期間で動作することができるが、一方で、旧来のRTPランプに基づくシステムは、1秒と100秒との間のパルス持続期間で動作する。旧来のRTPランプは、連続的なパワーを放出し、ランプおよびウェーハの応答時間によって拘束されている。LEDランプに基づくシステムが1ミリ秒と1秒との間のパルス持続期間で動作するので、LEDランプに基づくシステムは、フラッシュランプシステムと旧来のRTPランプシステムとの間のギャップを都合よく埋める。LEDランプに基づくシステムが電源を挿入された数マイクロ秒後に短波長放射光を放出するので、急速に加熱することができるLEDランプに基づくシステムは、基板または基板の表面近傍域を以前には得ることができなかったパルス持続期間の間(例えば、1ミリ秒と1秒との間)加熱することを可能にする。1ミリ秒と1秒との間基板を処理することは、基板を処理するためにかかる時間をさらに短縮し、基板を処理する半導体装置のスループットを増加させる。約10〜20ミリ秒より短いLEDの範囲の下端のパルスに関しては、パルスの持続期間中に基板の上面が基板の底面とは異なる温度である場合があるので、典型的な基板は、平衡に達するまでの時間がない。
パルス持続期間や、繰返し速度や、繰返しの数や、輝度を制御できることに加えて、LEDは、ダイオードに印加する電圧を単に制御することによって光学的パルス形状を変えることを可能にするであろう。パルス整形は、プロセス効率と、プロセス中およびプロセス後の堆積した膜およびウェーハの両方の中の応力勾配とを均衡させるように加熱速度を操作することを可能にする。
1ミリ秒から1秒までの範囲に及ぶ時間域外で基板を処理するときに、LEDは、やはり利益を与えることができる。ある実施形態では、10マイクロ秒よりも短いことがある、照明を開始するまでに必要な時間までの1ミリ秒以下のパルスを生成するために、LEDを使用することができる。これらのLEDパルスは、フラッシュランプによってカバーされるパルスレジームと部分的に重なる。他の実施形態では、LEDを、フラッシュランプの限界よりも短いパルス持続期間に対して使用することができる。LEDからの照明光は、駆動電圧に基づいており、フラッシュランプおよび励起状態の再増殖を必要とするQ−スイッチレーザでは得ることができないパルス持続期間およびデューティサイクルを可能にする。ある実施形態では、LEDを、連続動作モードで動作させる。1秒より長いパルスに関しては、LEDの動作効率がタングステン−ハロゲン白熱ランプの動作効率よりも少なくとも約1桁高いので、LEDがタングステン−ハロゲン白熱ランプよりも好ましい。
本発明をより良く理解し認識するために、本発明の実施形態による発光構成要素を組み込んでいる基板処理システムの断面概略図である図2Aおよび図2Bを参照する。発光ダイオード(LED)アセンブリ225を、チャンバ205内の基板200の上方に設置する。LEDアセンブリ225をやはり物理的に支持することができる蓋220を介して、電気的接続部224を送り入れる。電気的接続部224は、LEDアセンブリ225を形成するLEDのアレイ内の各LEDに電力を配送する。基板200の表面201を照明し加熱するLEDアセンブリ225に、電圧を供給する。ある実施形態では、少なくとも100cmの面積を照明する。
処理中には、パイロメータ235−1および235−2は、基板200の裏面202および表面201上のいろいろな位置における基板200の温度を検知し、その温度を、動的にLEDアセンブリ225に配送する電圧の決定を助けるために使用することができる。あるいは、温度を、引き続くウェーハのためにLEDアセンブリ225に印加する電圧を決定するために使用することができる。これら2つの制御実装形態は、フィードバック制御における変形である。基板の端部の周りの支持機構204によって、基板をほんの少しの接触で支持することができる。円形基板のケースでは連続するリングの形で、または円形基板もしくは長方形基板に対しては端部の周りに分布する分離したタブの形で、支持部を設けることができる。この方式で基板を支持することは、熱負荷を減少させ、その結果として、選択した温度に基板200を加熱するためにLEDアセンブリ225が必要とする電力を減少させる。
パイロメータ235−1および235−2は、基板を照明し加熱するために使用するLEDアセンブリ225からの光とは異なる波長の光を検出することができ、基板温度のより正確な決定をもたらす。基板の表面または処理チャンバ205の内部の別の対象物からの反射光または散乱光を検出することを避けるようにパイロメータ235−1および235−2を構成すると、精度を高められる。LEDに由来する散乱光または反射光は、基板の温度の指標ではなく、基板の温度の誤った決定をもたらす場合がある。シリコン基板に関連する実施形態では、LEDアセンブリ225によって発生される波長を、約0.75μmより短くすることができ、一方で、パイロメータ235−1および235−2によって検出される波長は、約0.75μmと1.2μmとの間である。もう1つのシリコン基板の実施形態では、LEDアセンブリ225は、約0.5μmより短い光を放出し、パイロメータ235−1および235−2は、約0.5μmと1.2μmとの間の光を検出することができる。
LEDアセンブリは、タングステン−ハロゲンランプよりもはるかに多くのLEDを含有することができ、類似のパワー密度を実現することを可能にする。同じパワー出力のために、LEDは、最先端のタングステン−ハロゲン電球の約10分の1の少ない熱しか発生しない、しかしながら、LEDアセンブリは、LED構成要素の寿命期間を長くするために、循環流(図示せず)を用いて裏側を依然として水冷する場合がある。LEDアセンブリ225の内部のLEDへの電力分配部は、各ダイオードに向けた固定しない導線またはダイオードアセンブリに張り付けた導線を包含することができる。基板の表面における光学的な輝度の空間分布を一様にするために、光学的拡散器を、LEDと基板との間に設置することができる。光学的拡散器を、精密に磨いた透明窓から作ることができる。
LEDアセンブリ225を形成するLEDのアレイ内の例示的な素子を、図2Bに示す。電気的リード線261を、導電性パッド265を介してLEDスタックの両側に取り付ける。右側と比較して、より高い電圧を左側に印加することができ、p−ドープした窒化ガリウム層271とn−ドープした窒化ガリウム層272との間の界面のところに電圧差を作り出し、光が界面の近く(能動域)から放出されるようにする。高放射輝度LEDは、図2Bに図示したものよりももっと複雑な能動域を包含する場合があるが、構造は、透明基板255上にドープした層の形成を依然として包含するであろう。能動域からの照明光は、一般に透明基板255の面の内部を伝搬し、不透明層および反射界面によって閉じ込められ、これが基板に向けて下向きの光の放出をもたらす(図2A)。これは、基板の表面近傍域に変化を生じさせるためにLEDアセンブリを採用する1つの例示的な構造である。
図3A〜図3Bは、本発明の実施形態による基板処理システムおよび発光構成要素の追加の断面概略図である。LEDアセンブリ325を、チャンバ305内の基板300の上方に再び設置する。電気的接続部324を、蓋320を介して送り入れ、基板300の表面301を照明し加熱するLEDのアレイに電力を配送する。パイロメータ335−1および335−2は、基板300の裏面302および表面301上の複数のいろいろな位置における基板300の温度を再び決定する。この実施形態では、電力を、LEDアセンブリ325の上面上にプリントした電線を介して分配することができる。
この開示した実施形態では、LEDのアレイ内の代表的な素子を、図3Bに示す。電気的リード線361を、(2つ示した)導電性パッド365を介してLEDスタックの上面に取り付ける。より高い電圧を、例えば、右の導電性パッドと比較して左の導電性パッドに印加することができ、p−ドープした窒化ガリウム層371とn−ドープした窒化ガリウム層372との間の界面のところに電圧差を作り出し、光が能動域355から放出されるようにする。伝搬する能動域355からの照明光は、このケースでは下方から拘束されず、図3Aの基板に向けて下向きに光を一般に放出するであろう。この構造は、図2A〜図2Bのものよりも、さらに単純で典型的にはより大きな密度でアセンブルされるLEDの2次元アレイを可能にする。2つの電気的接続部を上方から作り、LED素子を、劈開されずに残り、かつ基板300を照明し加熱するための集積ユニットとして使用することができる大きな基板上に形成することができる。LEDアセンブリ325を製造するために使用する透明基板は、基板と同じサイズのものであり、ある実施形態では、一度に基板300の上面301を照明し加熱するために使用することができる。
すべてのこれらの例示的な実施形態では、基板の一様な加熱を実現するために、LEDを、各々が異なった光学的パルス持続期間や、形状や、輝度を具備するゾーンへと分けることができる。端部に近い基板の部分は、典型的には、中心に近い部分とは異なるように冷却し加熱し、特に、20ミリ秒よりも長いパルスについて望ましいゾーン制御にする。円形基板(ウェーハ)に関連する実施形態では、ゾーンを、ウェーハの中心からの距離に基づいて区切る。
図4は、本発明の実施形態による基板処理システムの断面概略図である。基板400を加熱するために、2つのLEDアセンブリ425−1および425−2を使用する。1つのLEDアセンブリ425−1を、チャンバ405内の基板400の上方に再び設置するが、一方で、第2のLEDアセンブリ425−2を、チャンバ405内の基板400の下方に設置する。第1のLEDアセンブリ425−1を、基板400の上面401を加熱するために使用するが、一方で、第2のLEDアセンブリ425−2を、基板400の底面402を加熱するために使用する。基板400の両方の表面を照明し加熱するLEDのアレイに電力を配送するために、2セットの電気的接続部224を、それぞれ、蓋420およびチャンバ405を介して送り入れる。パイロメータ435−1および435−2は、基板400の裏面402および表面401上の複数のいろいろな位置における基板400の温度を再び決定する。表面への妨害されないアクセスを与えるように、パイロメータ435−1および435−2の位置を調節する。
別の光源、例えば、タングステン−ハロゲンランプとの組み合わせでLEDによって、基板を処理することができる。室温近くから、ある基板プロセス用に十分である低い処理温度まで加熱するために、LEDを利用することができる。さらに高い温度を必要とする追加のプロセスは、これらのより高い温度を実現するために、タングステン−ハロゲンランプを採用することができる。低い処理温度まで基板を上昇させることは、白熱タングステン−ハロゲンランプによって放出される光の吸収を高めることができ、ランプの加熱効率を高める。LEDおよび補足する放射光源を、1つの光学的アセンブリ、もしくは基板処理システムに統合することができる、または、これらを別々のアセンブリ中に実装することができる。
例示的な基板処理システム
図5は、円盤形状の基板505を処理するための処理チャンバ500を含んでいる基板処理システムを示し、基板を12インチ(300ミリメートル(mm))直径シリコン(Si)ウェーハとすることができる。
処理中には、本発明の実施形態によれば、基板505を、チャンバ500の内側で基板支持アセンブリ508上に支持し、基板505の直ぐ上方に位置する照明素子502によって加熱する。照明素子502は、水冷された石英窓アセンブリ503を通って処理チャンバ500に入ることができる放射光512を発生する。窓アセンブリ503と基板505との間のギャップを、調節可能にすることができ、実施形態では10ミリメートル(mm)と50mmとの間である。基板505の下方にあるものは、一般に円柱状の基部を有する中央アセンブリ521上にマウントされた反射鏡520である。反射鏡520は、高反射表面コーティングを持っていることがある。基板505の下側面および反射鏡520の上面は、基板505の実効放射率を高めるための反射性キャビティと境を接する。基板505と反射鏡520との間の距離間隔を、やはり調節することができる。300mm基板処理システムでは、ギャップを、約3mmと20mmとの間に、異なる実施形態では約5mmと8mmとの間とすることができる。
光パイプ523を介して光を集め、光学センサ525および付属の電子機器を用いて検出した光の輝度を測定することによって下側から基板505の異なる区域における温度を監視するために、複数の温度プローブ(図5には3つを示す)が、高温測定法を採用することができる。各温度プローブは、中央アセンブリ521の裏側面から反射鏡520の上面へ貫通する導管中へと挿入された光パイプ523を含むことができる。光パイプ523を直径で0.080インチとすることができ、導管中への光パイプ523の挿入を容易にするために、導管はわずかに大きい。光パイプ523を、光学ファイバ524を経由して光学的センサ525に光学的に接続することができる。温度プローブは、基板の区域近くの測定した温度を指示する信号を生成し、信号をシステムコントローラ562に送ることができる。
処理域513は、基板505の上方に位置する。基板505に向けて照明素子502から光512を当てることによって、基板に変化を生じさせ、その光は基板を再配列させることができるおよび/またはプロセスガスおよび基板505に関与する化学反応を支援することができる。例えば、基板505の内部のドーパントを、活性化させるまたは分散させることができ、基板505中の配列秩序の程度を、大きくすることができる、または(シリサイドか、窒化物か、もしくは酸化物などの)膜を、基板505上に成長させることができる。注入口マニフォールド572を、チャンバ500の側壁中に設置し、タンク541などの1つまたは複数のガスの供給源からチャンバ500中へのガスを容認するように適合させる。タンク541からのガスの流れを、手動弁およびコンピュータ制御したフローコントローラ542を用いて好ましくは独立して制御する。排出キャップ573を、注入口マニフォールド572の直径方向に反対のチャンバ500の側面に設置し、チャンバ500からポンプシステム(図示せず)中へとプロセス流出物を排出するように適合させる。
中央アセンブリ521は、中央アセンブリ521を冷却するために冷却した流体が循環する冷却剤注入口(図示せず)に連結された室内チャンバ522を含んでいる循環回路を含む。加熱した基板505の温度よりも十分に低く中央アセンブリ521を維持するために、室温の水をある実施形態では使用する。中央アセンブリ521の温度を、実施形態では150℃より低く保つ。
光パイプ523が反射鏡520の上面を通過する反射鏡520の上面に、小さな反射キャビティ519を形成することができる。光パイプ523の最上端が各マイクロキャビティ519への入り口と同じ高さであるまたはわずかに低くなるように、光パイプ523を設置する。
光パイプ523を、サファイアなどの高光学的屈折率材料から作ることができる。サファイア光パイプが比較的小さな光散乱係数を有し、より大きな横光除去率を有する傾向があるので、サファイア光パイプが一般的に好ましい。その結果、光パイプがより小さな立体角、従って、より小さな測定の領域から入ってくる光線を受け入れるという理由で、光パイプは、優れた測定の局所集中を実現する。光パイプを、任意の適切な熱に強く腐食耐性のある材料、例えば、石英から作ることができ、おそらく介在する光学ファイバケーブル524を介してパイロメータへサンプリングした放射光を送ることができる。あるいは、放射光サンプリングシステムは、反射鏡520内にマウントされた小さな半径の対物レンズを含む光学システム、ならびに各パイロメータへ各レンズによって集められた放射光を伝達する鏡およびレンズのシステムであり得る。適切な即座に入手可能な光学素子が入手可能である場合には、かかる組み合わせは、サファイア光パイプよりも安価である場合がある。あるいは、光パイプを、高度に研磨した反射内表面を有する管から作ることができる。
上に指摘したように、3つの温度プローブだけを図5に示しているが、実際のシステムは、異なる半径位置およびアジマス位置のところの温度を測定するように、反射鏡520全域にわたって分布する7つまたは8つの測定プローブを使用することができる。熱的な処理中には、基板支持アセンブリ508を、基板505の熱分布を一様にするために多くの場合回転させる。発光ダイオードから遠くへ基板支持アセンブリの距離を調節できるように、基板支持アセンブリ508をやはり可動とすることができる。回転速度を約20回転毎分(RPM)と200RPMとの間とすることができる。基板505を回転させるケースでは、各プローブは、基板上の対応する環状リング領域の温度プロファイルを実際にサンプリングする。基板支持アセンブリ508を、磁気浮揚式回転フレームとすることができる。基板支持アセンブリ508は、端部から基板505を支持しつつロータウェル509中へと延伸することができる。このようにして、基板505温度を均一にするために、基板505を照明素子502の下で回転させる。
端部リング511の内径に沿った部分を基板505と接触させるために、棚状またはくさび状の形状とすることができる。端部リング511は、基板505の外縁の周りで基板505と接触し、それによって、基板505の下側面の最少部分しか覆い隠さない。端部リング511は、ほぼ0.75インチの半径方向幅を有する。端部リング511の一部が基板505に近づき、膜を形成するために、それ以外では基板505に変化を生じさせるために選択したプロセスガスによって腐食しがちであるまたは汚染しがちである場合がある。端部リング511用に使用する材料を、炭化シリコンなど、化学的な攻撃に対して耐性を持たせることができる。
端部リング511を、シリンダ510との光密封を作り出すように設計する。端部リング511の底表面から延伸しているものは、円柱形状をした穴のへりまたはスカートであり、シリンダ510の外径よりもわずかに小さなまたは大きな外径を有し、シリンダ510の外側域と内側域との間を光が直接進むことを防止する。端部リングがシリンダ510を超えて外に延伸するように、端部リング511は、シリンダ510の半径よりも大きな外半径を有する。シリンダ510を超える端部リング511のこの環状延伸部は、迷光が反射性キャビティ519に入ることを防止し、基板温度の指標であるとして誤解されることを防止するバッフルとして機能する。反射性キャビティ519に入る迷光の可能性をさらに減少させるために、端部リング511を、照明素子502によって発生される放射光を吸収する材料(例えば、炭化シリコンなどの黒色または灰色材料)でコーティングすることができる。反射性キャビティ519に入る迷光の量をさらに減少させるために、共に回転する端部リング延伸部513を使用することができる。シリンダ510を石英から作ることができ、反射性キャビティ519中への光の侵入をさらに制限するためにSiでコーティングすることができる。
図5に図説した基板処理システムは、システムコントローラ562を含み、照明素子502輝度や、ガス流量や、基板温度や、チャンバ圧力を制御することなどの基板処理システムの様々な動作を制御する。本発明の実施形態では、システムコントローラ562は、ハードディスク駆動装置(メモリ564)およびプロセッサ566を含む。プロセッサは、シングルボードコンピュータ(SBC)や、アナログおよびディジタル入力/出力ボード568ならびにメカニカルインターフェースボードを含有する。
システムコントローラ562は、基板処理システムの活動を制御する。システムコントローラは、メモリ564などのコンピュータ可読媒体中に記憶したコンピュータプログラムであるシステム制御ソフトウェアを実行する。メモリ564をハードディスク駆動装置とすることができるが、メモリ564は、やはりDRAMや、フラッシュメモリや、別の種類のメモリを含むことができる。メモリ564を、やはり、1つまたは複数のタイプのメモリの組み合わせとすることができる。コンピュータプログラムは、タイミングや、ガスの混合や、チャンバ圧力や、チャンバ温度や、ランプパワーレベルや、基板支持アセンブリ位置や、特定のプロセスの他のパラメータを指令する命令のセットを含む。当然のことながら、例えば、フロッピディスクまたはもう1つの適切な駆動装置を含むもう1つのメモリデバイスに記憶されたものなどの別のコンピュータプログラムを、やはりシステムコントローラ562を動作させるために使用することができる。入力/出力(I/O)デバイス568は、機器とインターフェースすることに加えて、LCDモニタや、キーボードや、ポインティングデバイスなどのヒューマンインターフェースデバイスを含むことができる。システム機能の遠隔制御または監視を可能にするために、システムコントローラ562をネットワークに接続することができる。制御責任を分配するためにネットワークをわたって通信する複数のシステムコントローラ562間で、制御をやはり分配することができる。
図6は、開示した実施形態による基板の表面を処置するために使用することができる例示的な方法を示している流れ図である。基板を処理チャンバ中へと搬送する(操作600)。(1つまたは複数の)パルスが基板をアニールするために十分なパワーを有するように、照明パルス持続期間および輝度を選ぶ(操作603)。基板処理システムの一部であり、複数のLEDを含んでいる光学的アセンブリは、設定点温度まで基板をアニールするために、基板の表面を照明し加熱する(操作605)。アニール中に基板からの放射光を検出すために、パイロメータを使用し(操作610)、LEDによって放出される(1つまたは複数の)波長とは異なる波長近くの放出される放射光の量から実際の温度を計算する(操作615)。照明および高温測定のために別の波長を使用することは、パイロメータによって決定される温度の精度を向上させる。新しいパワーレベルを、実際の温度と設定点温度との間の差異に基づいて計算し(操作620)記憶させる。新しいパワーレベルを、次の基板を処理するために使用することができる。代替実施形態では、基板の処理中にLEDパワーを調節するために、差異をリアルタイムフィードバックループの内部で使用する。
本明細書中で使用するように、「基板」を、その上に形成した層のあるまたは層のない支持基板とすることができる。層の一部またはすべておよび基板でさえ、パターニングすることができる。支持基板を、絶縁体またはいろいろなドーピング濃度およびプロファイルの基板とすることができ、例えば、集積回路の製造の際に使用するタイプの半導体基板とすることができる。用語「光」や、「光学的」や、「光学」の使用は、包含された電磁放射光がスペクトルの可視部分からでなければならないことの何らかの暗示を伝えるものではない。光を任意の波長のものとすることができる。
一実施形態では、基板処理システムは、第1の発光ダイオードおよび第2の発光ダイオードを含む複数の発光ダイオードであって、複数の発光ダイオードが少なくとも200℃まで半導体基板の第1の表面を加熱する、複数の発光ダイオードを含む。第1の発光ダイオードが第1の複数の光エネルギーのパルスを放出し、第2の発光ダイオードが第2の複数の光エネルギーのパルスを放出する。
基板処理システムの別の一実施形態では、第1の複数の光のパルスおよび第2の複数の光のパルスが、1秒以下である持続期間を有する。
基板処理システムのさらに別の一実施形態では、複数の発光ダイオードが、半導体基板を実質的に均一に加熱するパターンに配列される。パターンは、同心円である場合があり、各同心円上の複数の発光ダイオードのエネルギー出力が、半導体基板の第1の表面を均一に加熱するように変えられることが可能である。
基板処理システムのさらに別の一実施形態では、パターンは、半導体基板の外側端部近くで同心円である。
基板処理システムのさらに別の一実施形態では、複数の発光ダイオードからの照明が、半導体基板の表面近傍域に変化を生じさせる。
基板処理システムのさらに別の一実施形態では、第1の複数の光のパルスおよび第2の複数の光のパルスが、約1ミリ秒から約1秒までの範囲に及ぶ持続期間を有する。
基板処理システムのさらに別の一実施形態では、第1の複数の光のパルスおよび第2の複数の光のパルスが、約10マイクロ秒から約1ミリ秒までの範囲に及ぶ持続期間を有する。
基板処理システムのさらに別の一実施形態では、第1の複数の光のパルスおよび第2の複数の光のパルスが、500ワット/cmよりも大きな光学的ピークパワーを有する。
基板処理システムのさらに別の一実施形態では、半導体基板の第1の表面の面積は、100cmよりも大きい。
基板処理システムのさらに別の一実施形態では、複数の発光ダイオードが、1つの透明基板上に形成される。
別の一実施形態では、基板処理システムは、処理チャンバの内部に配置された半導体基板を支持する基板支持アセンブリと、第1の発光ダイオードおよび第2の発光ダイオードを含んでいる複数の発光ダイオード含む第1の発光ダイオードアセンブリであって、複数の発光ダイオードが少なくとも200℃まで半導体基板の第1の表面を加熱する、第1の発光ダイオードアセンブリとを含む。第1の発光ダイオードが、第1の複数の光エネルギーのパルスを放出し、第2の発光ダイオードが、第2の複数の光エネルギーのパルスを放出する。複数の発光ダイオードが、半導体基板を実質的に均一に加熱するパターンに配列される。複数の発光ダイオードは、半導体基板の表面近傍域に変化を生じさせることができる。光のパルスは、約1ミリ秒から約1秒までの範囲に及ぶ持続期間を有することがある。第1の複数の光のパルスおよび第2の複数の光のパルスは、200ワット/cmよりも大きな光学的ピークパワーを有することができる。第1の複数の光のパルスおよび第2の複数の光のパルスは、半導体基板の内部のドーパントを活性化させることができる。第1の複数の光のパルスおよび第2の複数の光のパルスは、半導体基板中へと原子を拡散させることができる。基板処理システムは、半導体基板の第2の表面を照明し加熱するために、半導体基板の第2の表面近くに配置された第2の複数の発光ダイオードを含んでいる第2の発光ダイオードアセンブリをさらに含むことができる。
別の一実施形態では、基板処理システムは、処理チャンバの内部に配置された半導体基板を支持する基板支持アセンブリと、第1の発光ダイオードおよび第2の発光ダイオードを含んでいる複数の発光ダイオードを含む第1の発光ダイオードアセンブリであって、複数の発光ダイオードが少なくとも200℃まで半導体基板の第1の表面を加熱する、第1の発光ダイオードアセンブリとを含む。第1の発光ダイオードが、1つまたは複数の第1の処理波長を含んでいる第1の複数の光エネルギーのパルスを放出し、第2の発光ダイオードが、1つまたは複数の第2の処理波長を含んでいる第2の複数の光エネルギーのパルスを放出する。複数の発光ダイオードが、半導体基板を実質的に均一に加熱するパターンに配列される。基板処理システムは、半導体基板の一部の温度を決定するために、少なくとも1つの高温測定波長近くの光を検出する少なくとも1つのパイロメータであって、少なくとも1つの高温測定波長が1つまたは複数の第1の処理波長および第2の処理波長とは異なる、少なくとも1つのパイロメータをさらに含む。1つまたは複数の第1の処理波長および第2の処理波長は、約0.75μmより短い波長を含むことができ、少なくとも1つの高温測定波長が、約0.75μmより長い波長を含む。1つまたは複数の第1の処理波長および第2の処理波長は、約0.50μmより短い波長を含むことができ、少なくとも1つの高温測定波長が、約0.50μmより長い波長を含む。
別の一実施形態では、基板を処置する方法は、半導体基板を用意するステップと、半導体基板を処置するために十分な少なくとも1つの光学的パルスのLEDパルス持続期間およびLEDパルス輝度を選択するステップと、LEDアセンブリからの少なくとも1つの光の光学的パルスを用いて少なくとも200℃まで半導体基板を加熱するステップとを含む。少なくとも1つの光学的パルスが、1つまたは複数のLED波長を含む。方法は、半導体基板の表面から放出された光を受けるステップと、プロセス監視波長近くの放出された光の輝度を決定するステップであって、プロセス監視波長が1つまたは複数のLED波長とは異なる、決定するステップとをさらに含むことができる。半導体基板を処置するステップは、半導体基板をアニールするステップを含むことができる。半導体基板を処置するステップは、半導体基板の内部のドーパントを拡散させるステップを含むことができる。
本発明を、好ましい実施形態の観点から上に説明してきているが、本発明がこれらに限定されないことを、当業者であればやはり理解するであろう。上記の発明の様々なフィーチャおよび態様を、個々にまたは一緒に使用することができる。さらに、特定の周囲環境における本発明の実装形態の背景において、および特定の応用例について、本発明を説明してきているが、本発明の有用性がこれらに限定されず、本発明を任意の複数の周囲環境および実装形態において利用することができることを、当業者であれば理解するであろう。

Claims (25)

  1. 第1の発光ダイオードおよび第2の発光ダイオードを備えた複数の発光ダイオードであって、前記複数の発光ダイオードが少なくとも200℃まで半導体基板の第1の表面を加熱する、複数の発光ダイオード
    を備え、
    前記第1の発光ダイオードが第1の複数の光エネルギーのパルスを放出し、前記第2の発光ダイオードが第2の複数の光エネルギーのパルスを放出する、
    基板処理システム。
  2. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、1秒以下である持続期間を有する、請求項1に記載の基板処理システム。
  3. 前記複数の発光ダイオードが、前記半導体基板を実質的に均一に加熱するパターンに配列される、請求項1に記載の基板処理システム。
  4. 前記パターンが同心円であり、
    各同心円上の前記複数の発光ダイオードの前記エネルギー出力が、前記半導体基板の前記第1の表面を均一に加熱するように変えられることが可能である、
    請求項3に記載の基板処理システム。
  5. 前記パターンが前記半導体基板の外側端部近くで同心円である、請求項1に記載の基板処理システム。
  6. 前記複数の発光ダイオードからの照明が、前記半導体基板の表面近傍域に変化を生じさせる、請求項1に記載の基板処理システム。
  7. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、約1ミリ秒から約1秒までの範囲に及ぶ持続期間を有する、請求項1に記載の基板処理システム。
  8. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、約10マイクロ秒から約1ミリ秒までの範囲に及ぶ持続期間を有する、請求項1に記載の基板処理システム。
  9. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、500ワット/cmよりも大きな光学的ピークパワーを有する、請求項1に記載の基板処理システム。
  10. 前記半導体基板の前記第1の表面の面積が、100cmよりも大きい、請求項1に記載の基板処理システム。
  11. 前記複数の発光ダイオードが、1つの透明基板上に形成される、請求項1に記載の基板処理システム。
  12. 処理チャンバの内部に配置された半導体基板を支持する基板支持アセンブリと、
    第1の発光ダイオードアセンブリであって、
    第1の発光ダイオードおよび第2の発光ダイオードを備えた複数の発光ダイオードであって、前記複数の発光ダイオードが少なくとも200℃まで前記半導体基板の第1の表面を加熱する、複数の発光ダイオード、を備え、
    前記第1の発光ダイオードが第1の複数の光エネルギーのパルスを放出し、前記第2の発光ダイオードが第2の複数の光エネルギーのパルスを放出し、
    前記複数の発光ダイオードが、前記半導体基板を実質的に均一に加熱するパターンに配列される、第1の発光ダイオードアセンブリと
    を備えた、基板処理システム。
  13. 前記複数の発光ダイオードが、前記半導体基板の表面近傍域に変化を生じさせる、請求項12に記載の基板処理システム。
  14. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、約1ミリ秒から約1秒までの範囲に及ぶ持続期間を有する、請求項12に記載の基板処理システム。
  15. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、200ワット/cmよりも大きな光学的ピークパワーを有する、請求項12に記載の基板処理システム。
  16. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、前記半導体基板の内部のドーパントを活性化させる、請求項12に記載の基板処理システム。
  17. 前記第1の複数の光のパルスおよび前記第2の複数の光のパルスが、前記半導体基板中へと原子を拡散させる、請求項12に記載の基板処理システム。
  18. 前記半導体基板の第2の表面を照明するために前記半導体基板の第2の表面近くに配置された第2の複数の発光ダイオードを備えた第2の発光ダイオードアセンブリをさらに備えた、請求項12に記載の基板処理システム。
  19. 処理チャンバの内部に配置された半導体基板を支持する基板支持アセンブリと、
    第1の発光ダイオードアセンブリであって、
    第1の発光ダイオードおよび第2の発光ダイオードを備えた複数の発光ダイオードであって、前記複数の発光ダイオードが少なくとも200℃まで前記半導体基板の第1の表面を加熱する、複数の発光ダイオード、を備え、
    前記第1の発光ダイオードが1つまたは複数の第1の処理波長を備えた第1の複数の光エネルギーのパルスを放出し、前記第2の発光ダイオードが1つまたは複数の第2の処理波長を備えた第2の複数の光エネルギーのパルスを放出し、
    前記複数の発光ダイオードが、前記半導体基板を実質的に均一に加熱するパターンに配列される、第1の発光ダイオードアセンブリと、
    前記半導体基板の一部の温度を決定するために少なくとも1つの高温測定波長近くの光を検出する少なくとも1つのパイロメータであって、前記少なくとも1つの高温測定波長が1つまたは複数の第1の処理波長および第2の処理波長とは異なる、少なくとも1つのパイロメータと
    を備えた基板処理システム。
  20. 前記1つまたは複数の第1の処理波長および第2の処理波長が約0.75μmより短い波長を備え、前記少なくとも1つの高温測定波長が約0.75μmより長い波長を備える、請求項19に記載の基板処理システム。
  21. 前記1つまたは複数の第1の処理波長および第2の処理波長が約0.50μmより短い波長を備え、前記少なくとも1つの高温測定波長が約0.50μmより長い波長を備える、請求項19に記載の基板処理システム。
  22. 半導体基板を処置する方法であって、
    半導体基板を用意するステップと、
    前記半導体基板を処置するために十分な少なくとも1つの光学的パルスのLEDパルス持続期間およびLEDパルス輝度を選択するステップと、
    LEDアセンブリからの少なくとも1つの光の光学的パルスを用いて少なくとも200℃まで前記半導体基板を加熱するステップであって、
    前記少なくとも1つの光学的パルスが、1つまたは複数のLED波長を備える、
    加熱するステップと
    を備えた方法。
  23. 前記半導体基板の表面から放出された光を受けるステップと、
    プロセス監視波長近くの前記放出された光の輝度を決定するステップであって、前記プロセス監視波長が前記1つまたは複数のLED波長とは異なる、決定するステップと
    をさらに備えた、請求項22に記載の方法。
  24. 前記半導体基板を処置するステップが、前記半導体基板をアニールするステップを備える、請求項22に記載の方法。
  25. 前記半導体基板を処置するステップが、前記半導体基板の内部のドーパントを拡散させるステップを備える、請求項22に記載の方法。
JP2012505959A 2009-04-20 2010-04-16 Led基板処理 Pending JP2012524400A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17102009P 2009-04-20 2009-04-20
US61/171,020 2009-04-20
PCT/US2010/031403 WO2010123772A2 (en) 2009-04-20 2010-04-16 Led substrate processing

Publications (2)

Publication Number Publication Date
JP2012524400A true JP2012524400A (ja) 2012-10-11
JP2012524400A5 JP2012524400A5 (ja) 2013-06-06

Family

ID=42981300

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012505959A Pending JP2012524400A (ja) 2009-04-20 2010-04-16 Led基板処理

Country Status (7)

Country Link
US (1) US8404499B2 (ja)
JP (1) JP2012524400A (ja)
KR (1) KR101728796B1 (ja)
CN (1) CN102405513B (ja)
SG (1) SG174858A1 (ja)
TW (1) TWI525668B (ja)
WO (1) WO2010123772A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014209556A (ja) * 2013-02-28 2014-11-06 ウルトラテック インク 発光ダイオードを利用した材料の製造システムおよび製造方法
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2020161677A (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2021182582A (ja) * 2020-05-19 2021-11-25 株式会社Screenホールディングス 熱処理装置

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5254308B2 (ja) * 2010-12-27 2013-08-07 東京エレクトロン株式会社 液処理装置、液処理方法及びその液処理方法を実行させるためのプログラムを記録した記録媒体
KR101883360B1 (ko) * 2011-03-28 2018-07-30 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 게르마늄 스트레서 합금들의 선택적 증착을 위한 방법 및 장치
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
KR20140091203A (ko) * 2013-01-10 2014-07-21 삼성전자주식회사 반도체의 잔류 응력 제거장치 및 잔류 응력 제거방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9406653B2 (en) 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
WO2014179010A1 (en) 2013-05-01 2014-11-06 Applied Materials, Inc. Apparatus and methods for low temperature measurement in a wafer processing system
US9442007B2 (en) * 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for monitoring ultraviolet light for a fiber cure system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9721802B2 (en) 2013-10-03 2017-08-01 Applied Materials, Inc. LED based optical source coupled with plasma source
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9899242B2 (en) 2015-04-06 2018-02-20 Varian Semiconductor Equipment Associates, Inc. Device and method for substrate heating during transport
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI585911B (zh) * 2015-08-12 2017-06-01 精材科技股份有限公司 一種感應器封裝體及其製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102090152B1 (ko) * 2015-12-30 2020-03-17 맷슨 테크놀로지, 인크. 밀리세컨드 어닐 시스템을 위한 챔버 벽 가열
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB201900912D0 (en) * 2019-01-23 2019-03-13 Lam Res Ag Apparatus for processing a wafer, and method of controlling such an apparatus
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US20200375027A1 (en) * 2019-05-21 2020-11-26 Applied Materials, Inc. Single Layer PCB Circuit Layout For Uniform Radial LED Array
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
NL2023537B1 (en) * 2019-07-19 2021-02-08 Xeikon Prepress Nv Apparatus and method for exposure of relief precursors
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
JP2022017022A (ja) * 2020-07-13 2022-01-25 ウシオ電機株式会社 光加熱装置
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070077A (ja) * 1996-07-24 1998-03-10 Internatl Business Mach Corp <Ibm> パルス状の急速な熱アニーリングによる多結晶シリコンの成長方法
JP2005536045A (ja) * 2002-08-09 2005-11-24 エーエスエム アメリカ インコーポレイテッド Cvd加熱用のled加熱ランプアレイ
JP2007141896A (ja) * 2005-11-14 2007-06-07 Tokyo Electron Ltd 加熱装置、熱処理装置及び記憶媒体
JP2008016545A (ja) * 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法
WO2008016116A1 (fr) * 2006-08-04 2008-02-07 Tokyo Electron Limited Dispositif et procédé de recuit
WO2008096701A1 (ja) * 2007-02-04 2008-08-14 Tottori University 電子装置及び電子装置の発光制御方法
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5005519A (en) * 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
US6740906B2 (en) * 2001-07-23 2004-05-25 Cree, Inc. Light emitting diodes including modifications for submount bonding
JP2006059931A (ja) 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
KR100700836B1 (ko) * 2005-11-16 2007-03-28 삼성에스디아이 주식회사 레이저 열 전사 장치 및 레이저 열 전사법 그리고 이를이용한 유기 발광소자의 제조방법
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
DE102007015233A1 (de) * 2007-03-29 2008-10-02 Osram Gesellschaft mit beschränkter Haftung Leuchtdiodenlampe, Leuchte mit einer Leuchtdiodenlampe, Verfahren zum Betrieb einer Leuchte und Verfahren zur Erzeugung einer elektrischen Verlustleistung bei einer Leuchtdiodenlampe
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1070077A (ja) * 1996-07-24 1998-03-10 Internatl Business Mach Corp <Ibm> パルス状の急速な熱アニーリングによる多結晶シリコンの成長方法
JP2005536045A (ja) * 2002-08-09 2005-11-24 エーエスエム アメリカ インコーポレイテッド Cvd加熱用のled加熱ランプアレイ
JP2007141896A (ja) * 2005-11-14 2007-06-07 Tokyo Electron Ltd 加熱装置、熱処理装置及び記憶媒体
JP2008016545A (ja) * 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法
WO2008016116A1 (fr) * 2006-08-04 2008-02-07 Tokyo Electron Limited Dispositif et procédé de recuit
WO2008096701A1 (ja) * 2007-02-04 2008-08-14 Tottori University 電子装置及び電子装置の発光制御方法
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014209556A (ja) * 2013-02-28 2014-11-06 ウルトラテック インク 発光ダイオードを利用した材料の製造システムおよび製造方法
JP2016122844A (ja) * 2013-02-28 2016-07-07 ウルトラテック インク 発光ダイオードを利用した材料の製造システムおよび製造方法
JP2017509143A (ja) * 2014-01-17 2017-03-30 コーニンクレッカ フィリップス エヌ ヴェKoninklijke Philips N.V. 半導体光源を有する加熱システム
JP2020161677A (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
WO2020195265A1 (ja) * 2019-03-27 2020-10-01 ウシオ電機株式会社 加熱処理方法及び光加熱装置
KR20210127762A (ko) * 2019-03-27 2021-10-22 우시오덴키 가부시키가이샤 가열 처리 방법 및 광 가열 장치
KR102380062B1 (ko) 2019-03-27 2022-03-29 우시오덴키 가부시키가이샤 가열 처리 방법 및 광 가열 장치
US11398394B2 (en) 2019-03-27 2022-07-26 Ushio Denki Kabushiki Kaisha Heating treatment method and optical heating device
JP7198434B2 (ja) 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2021182582A (ja) * 2020-05-19 2021-11-25 株式会社Screenホールディングス 熱処理装置
JP7461214B2 (ja) 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置

Also Published As

Publication number Publication date
KR101728796B1 (ko) 2017-04-20
US8404499B2 (en) 2013-03-26
CN102405513A (zh) 2012-04-04
WO2010123772A2 (en) 2010-10-28
US20100267174A1 (en) 2010-10-21
TWI525668B (zh) 2016-03-11
CN102405513B (zh) 2016-05-25
TW201103078A (en) 2011-01-16
WO2010123772A3 (en) 2011-01-13
SG174858A1 (en) 2011-11-28
KR20120006553A (ko) 2012-01-18

Similar Documents

Publication Publication Date Title
JP2012524400A (ja) Led基板処理
JP5597251B2 (ja) ファイバレーザによる基板処理
US6771895B2 (en) Heating device for heating semiconductor wafers in thermal processing chambers
CN1295745C (zh) 用于热处理衬底的方法和装置
US9029739B2 (en) Apparatus and methods for rapid thermal processing
JP2007116072A (ja) 熱処理装置及び記憶媒体
JP2013197423A (ja) 熱処理装置
TWI663362B (zh) 圓形燈陣列
KR20080102335A (ko) 제어식 어닐링 방법
KR101671160B1 (ko) 다수-스테이지 광학 균질화
US10455642B2 (en) Rapid thermal processing chamber with linear control lamps
WO2014163760A1 (en) Lamphead pcb with flexible standoffs
TW202405982A (zh) 氣相蝕刻反應器中的輻射熱窗及晶圓支撐墊

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130415

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130415

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140328

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140704

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150303

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160909