TWI525668B - Led基板處理系統及方法 - Google Patents

Led基板處理系統及方法 Download PDF

Info

Publication number
TWI525668B
TWI525668B TW099112385A TW99112385A TWI525668B TW I525668 B TWI525668 B TW I525668B TW 099112385 A TW099112385 A TW 099112385A TW 99112385 A TW99112385 A TW 99112385A TW I525668 B TWI525668 B TW I525668B
Authority
TW
Taiwan
Prior art keywords
substrate
semiconductor substrate
light emitting
light
emitting diodes
Prior art date
Application number
TW099112385A
Other languages
English (en)
Other versions
TW201103078A (en
Inventor
莫非特史帝夫
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201103078A publication Critical patent/TW201103078A/zh
Application granted granted Critical
Publication of TWI525668B publication Critical patent/TWI525668B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Chemical Vapour Deposition (AREA)

Description

LED基板處理系統及方法
本發明係關於LED基板處理。
某些用於半導體產業之處理中,樂見快速加熱基板以減少處理基板所需時間。一般而言,快速熱處理系統利用高強度光源以快速加熱固持於處理腔室中(有時在真空環境下)之基板。高強度光源(可由高強度燈泡陣列所構成)係位於腔室內部,或位於腔室外且鄰接透明窗,光經由透明窗進入腔室。腔室內,以非常少的物理接觸支撐基板(通常在邊緣周圍),以致基板溫度可針對進入光線快速地反應。晶圓的正面係暴露於高強度燈泡中且自高強度燈泡接收光線。燈泡基本上係黑體幅射器,且盡可能地快速加熱(一般為300至500 ms)以操作溫度。對於許多基板(例如,一般用於積體電路製造之矽基板)而言,特別在加熱循環初期基板接近室溫時,較短波長的光學吸收較高。在燈到達高溫(約3000℃)後開始快速矽基板加熱,此時燈開始散發顯著部分的短波長光線。
第1圖顯示淹沒式快速熱加熱設備之示意橫剖面圖,其中配置於腔室105中之晶圓100係由架設於腔室蓋120上之燈125的輻射所加熱。燈125通常為鎢-鹵素燈,且可產生不同的溫度以平均基板的熱量分佈。可藉由監控穿過腔室105中之窗135的光線來進行測高溫術(pyrometry)。以典型加熱燈打開燈125之速率係有所限制,並對如何快速加熱基板造成限制。因為燈絲的反應時間,利用鎢-鹵素燈之脈波持續時間的實際最小值約1秒。
已經應用替代光源來改善效率並提供較短的脈波持續時間以保持位於處理時間目標內。提供100 μs至1 ms之脈波持續時間的閃光燈具有較短的脈波持續時間。然而,在利用閃光燈加熱基板時,基板或其接近表面區域之最高溫度係受限於脈波持續時間。
高效率燈泡不具有高於約1 ms之脈波持續時間。因此,需要可提供約1 ms與1秒之間脈波持續時間的高強度基板照明源。
本發明之實施例係關於包括發光二極體(LEDs)以熱處理基板之基板處理裝置及方法。上述光源提供多種優點,包括效率較高且反應時間更快。脈波寬度係可選擇的,可下至少於一毫秒,但對於長脈波而言可上至且超過一秒。即便在允許較長處理時間之環境下,LEDs仍比鎢-鹵素燈更好,因為LEDs產生光的效率大於50%,而鎢-鹵素燈的運作效率小於5%。
基板處理系統包括複數個發光二極體,用以照射基板第一表面好改變基板,其中來自發光二極體之照明包括一或多個波長附近之光線的一或多個脈波。複數個發光二極體可改變基板之接近表面區域。一或多個光線脈波的持續時間可在約1毫秒與約1秒之間,或可在約10微秒與約1毫秒之間,或可大於約1秒。一或多個光線脈波可具有大於500瓦/平方公分的波峰光學功率。基板之第一表面可大於100平方公分。可在單一透明基板上形成複數個發光二極體。
另一實施例中,基板處理系統包括基板支撐組件,用以支撐配置於處理腔室中之基板;及第一發光二極體組件。第一發光二極體組件更包括複數個發光二極體,配置於基板之第一表面附近以照射第一表面好改變基板,其中來自發光二極體的照明包括一或多個波長附近的光線脈波。複數個發光二極體可改變基板之接近表面區域。光線脈波的持續時間在約1毫秒與約1秒之間。光線脈波可具有高於200瓦/平方公分的波峰光學功率。光學脈波可藉由活化基板中之摻雜質來改變基板。光學脈波可藉由散佈原子進入基板來改變基板。基板處理系統可更包括第二發光二極體組件,其包括第二複數個發光二極體,配置於基板之第二表面附近以照射基板之第二表面。
另一實施例中,處理基板之方法包括提供基板、選擇足以處理基板之至少一光學脈波的LED脈波持續時間與LED脈波強度、並以來自LED組件之光線的至少一光學脈波處理基板。至少一光學脈波包括一或多個LED波長。
可由提供於下之詳細描述理解本揭露應用性的進一步範圍。應理解當提及不同實施例之詳細描述與特定實施例時僅意圖作為描述之用,而非必然限制本揭露之範圍。
本發明之實施例係關於包括發光二極體(LEDs)以熱處理基板之基板處理裝置及方法。上述光源提供多種優點,包括效率較高且反應時間更快。脈波寬度係可選擇的,可下至少於一毫秒且上至超過一秒。即便在允許較長處理時間之環境下,LEDs仍比鎢-鹵素燈更好,因為LEDs產生光的效率大於50%,而鎢-鹵素燈的運作效率小於5%。
揭露之實施例中,發光二極體係用來照射且加熱基板表面以處理基板之接近表面區域。處理包括形成薄膜、處理摻雜質及重新整理基板本身。由於可取得HR-LEDS,基板處理已經能用於某些處理,因為可取得更高的輸出強度,更多處理可受益於HR-LEDS。高輻射發光二極體(HR-LEDS)在用於處理基板之接近表面區域時提供優點。HR-LEDS可持續長時間且能獨立於輸出照明之波長選擇輸出強度。發光二極體(LEDs)可由氮化鎵、氮化鋁、其之組合或其他III-V材料所構成,其生成於透明基板上,設以發射接近主動區中之III-V材料的能隙所確定之一或多個波長的光線。磷光體亦可用來將發射之波長轉換成較長的波長,以減少發射波長的能量。可理解本文所述及剩餘圖示所繪之LEDs可應用磷光體來提高吸收或增進化學反應。
取決於牽涉之化學作用,在氣體前驅物存在下照射表面可藉由熱或其他手段提高化學反應之速率。舉例而言,光可激發氣相分子、吸附分子、或甚至電子激發基板以促進表面上的化學反應。LED之波長可經選擇以促進所欲之薄膜處理,舉例而言,藉由選擇與分子電子躍遷共振之波長以提高反應速率。亦可選擇波長以提高基板的輻射吸收,藉此更有效率地加熱基板。
目前可取得能持續發射超過10瓦功率之個別高輻射(HR) LEDs。預期隨後數年中可取得更高功率,這可讓LED進一步取代白熱燈泡。利用LEDs之一優點係LEDs的小尺寸能讓其配置成一維與二維陣列,產生適合實質上同時照射基板表面之高強度源。本文在不同實施例中揭露適當的LED組件,其可發射高於200瓦/平方公分、高於500瓦/平方公分或高於1000瓦/平方公分之光學功率。
許多針對Si晶圓之沉積、退火與其他熱處理受惠於短加熱週期。閃光燈系-系統可在100 μs至1 ms時間範圍之脈波持續時間中運作,然而傳統的RTP燈系-系統則在1與100秒之間的脈波持續時間中運作。傳統的RTP燈持續地發射功率且受限於燈與晶圓之反應時間。由於LED燈系-系統運作之脈波持續時間在1毫秒與1秒之間,LED燈系-系統可有利地填補閃光燈系統與傳統RTP燈系統之間的間隙。LED燈系-系統(由於其在施加功率數個微秒後發射短波長輻射而可快速地加熱)可在先前無法達到之脈波持續時間(例如,1毫秒與1秒之間)處理即將加熱之基板或基板之接近表面區域。在1毫秒與1秒之間處理基板可進一步減少處理基板所需之時間並提高半導體設備處理基板之產量。對於LED範圍低端(低於約10-20毫秒)的脈波而言,基板通常不具有平衡時間,以致基板頂部與基板底部在脈衝過程中具有不同溫度。
除了能夠控制脈波持續時間、重複率、重複數目與強度之外,LEDs可藉由簡單地控制施加至二極體之電壓來改變光學脈波形狀。脈波成形可讓加熱速率經設計以在處理過程中與之後平衡處理效率及沉積薄膜與晶圓中應力梯度兩者。
LEDs亦可在1毫秒至1秒時間範圍外處理基板時提供優點。某些實施例中,LEDs可用來在1毫秒下至起始照明所需時間(可低於10微秒)中產生脈波。這些LED脈波與閃光燈所覆蓋的脈波範圍部分重疊。其他實施例中,LEDs可用於小於閃光燈界限之脈波持續時間。來自LEDs之照明係基於驅動電壓,其可達到閃光燈與Q-開關雷射(需要激發態的重新分配)無法達到之脈波持續時間與工作週期。某些實施例中,LEDs係操作於持續運作模式中。對於高於一秒之脈波而言,LEDs係優於鎢-鹵素白熱燈,因為LEDs的運作效率係比鎢-鹵素白熱燈泡之運作效率高至少約一數量級。
為了更理解與了解本發明可參照第2A圖與第2B圖,其係根據本發明實施例之包括發光部件之基板處理系統的橫剖面示意圖。發光二極體(LED)組件225係位於腔室205中之基板200上方。透過蓋220提供電連結224,蓋220亦物理支撐LED組件225。電連結224輸送功率至形成LED組件225之LEDs陣列中的各個LED。供應電壓至LED組件225,其接著照射且加熱基板200之正面201。某些實施例中,可照射至少100平方公分之區域。
處理過程中,高溫計235-1與235-2在基板200之背面202與正面201上不同位置處感應基板200之溫度,其可用來助於動態地確定施加至LED組件225之電壓。或者,溫度可用來確定針對隨後晶圓施加至LED組件225之電壓。這兩個控制實施方式係反饋控制之變化形式。可在基板邊緣周圍藉由支撐機構204以極少接觸方式支撐基板。在環狀基板實例中,可用連續環形式提供支撐,或者針對圓形或矩形基板,可用分散於邊緣周圍之不連續凸出部提供支撐。以此方式支撐基板可減少熱負荷,並因此減少LED組件225加熱基板200至所選溫度所需之功率。
高溫計235-1與235-2可偵測與來自LED組件225之光線(用來照射且加熱基板)不同波長之光線,造成更準確地確定基板溫度。在高溫計235-1與235-2設以避免偵測處理腔室205中基板表面或另一物體反射或散射之光線時提高準確度。源自於LEDs之反射或散射光線並非代表基板之溫度,而可能造成基板溫度的不正確。與矽基板相關之實施例中,LED組件225產生之波長可低於約0.75 μm,而高溫計235-1與235-2偵測之波長係在約0.75 μm與1.2 μm之間。另一矽基板實施例中,LED組件225發射出低於約0.5 μm之光線,而高溫計235-1與235-2可偵測約0.5 μm與1.2 μm之間的光線。
比起鎢-鹵素燈泡,LED組件可包含更多LEDs,能夠達成相似的功率密度。對於相同功率輸出而言,LEDs比習知技術鎢-鹵素燈泡少產生約十倍熱量,然而,LED組件仍於背側以循環流(末顯示)加以水冷以提高LED部件的生命週期。分佈功率至LED組件225中之LEDs可包括導向各個二極體之自由電線或固定於二極體組件之電線。光學散光器可位於LEDs與基板之間以平均基板表面處光學強度之空間分佈。光學散光器可由精密磨平透明窗所製成。
形成LED組件225之LEDs陣列中的示範性元件係顯示於第2B圖中。電導線261係透過導電墊265附著至LED堆疊之任一側。可相對於右側施加較高電壓至左側,以在P-型摻雜氮化鎵層271與N-型摻雜氮化鎵層272間之接合處產生電壓差異,造成自接合處(主動區)附近發射光線。高輻射LEDs可包括更多複合主動區(多於第2B圖所示之一個),但建構仍包括摻雜層形成於透明基板255上。來自主動區之照明通常傳導於透明基板255之平面(由不透明層與反射介面所侷限)中,這造成發射之光線向下朝向基板(第2A圖)。這係應用LED組件來改變基板之接近表面區域的一示範性結構。
第3A-3B圖係根據本發明實施例之基板處理系統與發光部件的額外橫剖面圖。LED組件325再度位於腔室305中之基板300上方。透過蓋320提供電連結324,並輸送功率至LEDs陣列,其照射且加熱基板300之正面301。高溫計335-1與335-2再度在基板300之背面302與正面301上多個不同位置處確定基板300之溫度。此實施例中,可透過印刷於LED組件325頂部之電線分散功率。
此揭露實施例中,LEDs陣列中之代表元件係顯示於第3B圖中。電導線361係透過導電墊365(顯示兩個)附著至LED堆疊的頂部。舉例而言,可相對於右側之導電墊施加較高電壓於左側之導電墊,以在P-型摻雜氮化鎵層371與N-型摻雜氮化鎵層372間之界面產生電壓差異,以造成自主動區355發射光線。此實例中,來自主動區355之照射傳導在下方並不受限,通常將發射光線向下朝向第3A圖之基板。相對於第2A-B圖,此結構可更簡單地(且通常具有較高密度)組裝二維陣列LEDs。兩個電連結係由上方達成,而LED元件可形成於大基板上,其接著保持不分裂並作為整合單元以照射並加熱基板300。一實施例中,用於製造LED組件325之透明基板與基板的尺寸相同,且可用來一次便照射且加熱基板300之整個頂部301。
所有這些示範實施例中,LEDs可分隔成多個區域,各個區域具有不同的光學脈波持續時間、形狀與強度,以提供基板的均勻加熱。接近邊緣之基板部分的冷卻與加熱通常與接近中心之部分不同,樂見區域控制,特別係對於長於20毫秒之脈波。圓形基板(晶圓)相關實施例中,根據與晶圓中心的距離分隔區域。
第4圖係根據本發明實施例之基板處理系統的橫剖面示意圖。兩個LED組件425-1與425-2係用來加熱基板400。LED組件425-1再度位於腔室405中之基板400上方,而第二LED組件425-2係位於腔室405中之基板400下方。第一LED組件425-1係用來加熱基板400之正面401,而第二LED組件425-2係用來加熱基板400之背面402。分別透過蓋420與腔室405提供兩組電連結424-1與424-2,以輸送功率至LEDs陣列,其照射且加熱基板400的兩個表面。高溫計435-1與435-2再度在基板400之背面402與正面401上多個不同位置處確定基板400之溫度。高溫計435-1與435-2的位置係經調整以提供至表面的無障礙路徑。
可藉由LEDs搭配其他光源(例如,鎢/鹵素燈泡)來處理基板。LEDs可用來自接近室溫加熱基板至低處理溫度,這對某些基板處理係足夠的。需要更高溫度之額外處理可應用鎢-鹵素燈來達成這些較高溫度。提高基板至低處理溫度可增進白熱鎢-鹵素燈發射之光線的吸收,這可提高其加熱效率。LEDs與互補輻射源可組合於一光學組件或基板處理系統中,或者其可實施於不同組件中。
示範性基板處理系統
第5圖顯示基板處理系統,其包括處理腔室500以處理盤形基板505,基板可為十二英吋(300毫米(mm))直徑矽(Si)晶圓。
根據本發明實施例之處理過程中,基板505係於腔室500內部且支撐於基板支撐組件508上,並由直接位於基板505上方之照射元件502加熱。照射元件502產生輻射512,其透過水冷式石英窗組件503進入處理腔室500。窗組件503與基板505間之間隙可經調整,實施例中,在約10與50毫米(mm)之間。基板505下方之反射器520係安裝於中心組件521上,中心組件521具有大致圓柱形基底。反射器520可具有高反射表面塗層。基板505的底面與反射器520的頂部圍成反射腔,以提高基板505的有效發射率。基板505與反射器520間之間隔亦可經調整。300 mm基板處理系統中,不同實施例中之間隔可在約3 mm與20 mm之間或在約5 mm與8 mm之間。
多個溫度探針(第5圖中顯示三個)可應用測高溫方法在基板505不同區監控溫度,自底面收集通過光管523之光線並以光學感測器525與附屬電子設備測量偵測之光線的強度。各個溫度探針可包括嵌入管道之光管523,管道自中心組件521之背側通到反射器520之頂部。光管523的直徑可為0.080英吋而管道係稍微大些,以促進將光管523插入管道中。光管523可透過光纖524光學地連接至光學感測器525。溫度探針產生代表接近基板區域之測量溫度的信號,而信號可送至系統控制器562。
處理區係位於基板505上方。藉由將來自照射元件502之光線512照向基板505來改變基板,這會重新配置基板與/或幫助牽涉處理氣體與基板505之化學反應。舉例而言,可激發或散佈基板505中之摻雜質、可提高基板505之排列程度、或可於基板505上生成薄膜(諸如,矽化物、氮化物或氧化物)。入口歧管572係位於腔室500之側壁中,且適以允許氣體自一或多個氣體來源(例如,槽541)進入腔室500。較佳係獨立地以手動閥與電腦控制之流量控制器542來控制來自槽541之氣體流動。排氣蓋573係位於與入口歧管572相對之腔室500側壁中,且適於將處理流出物自沉積腔室500排進泵送系統(未顯示)。
中心組件521包括循環迴路(包括耦接至冷卻劑入口(未顯示)之內腔室522),冷卻流體可循環通過迴路以冷卻中心組件521。一實施例中,室溫水係用來維持中心組件521充分地低於加熱基板505之溫度。實施例中,中心組件521之溫度係維持低於150℃。
可在反射器520頂部中形成小反射腔519,其中光管523穿過反射器520頂部。光管523係經配置,以致其最上端與各個微腔519入口齊平或稍微較低。
光管523可由高光學指數材料(例如,藍寶石)所構成。通常藍寶石光管係較佳的,因為其具有相對小的散光係數,且其易於具有較高的橫向光阻絕率(light rejection)。因此,其提供較大的測量局部化,因為其自較小的立體角接收光線因此測量區域較小。光管可由任何適當耐熱且抗腐蝕材料(例如,石英)所製成,其可能透過居中之光纖電纜524傳送取樣之輻射至高溫計。或者,輻射取樣系統可為光學系統,其包括裝設於反射器520中之小半徑物鏡以及鏡子與透鏡系統(傳送各個透鏡收集之輻射至各個高溫計)。若可取得適當的現成光學元件,上述方案可比藍寶石光管便宜。或者,光管可由具有高度研磨反射內表面之管所製成。
如上所示,雖然第5圖中僅顯示三個溫度探針,但真實系統可應用七個或八個分散於反射器520上之測量探針,以在不同徑向與方位角位置測量溫度。熱處理過程中,通常旋轉基板支撐組件508以平均基板505之熱量分佈。基板支撐組件508亦可移動,以致其與發光二極體之距離可加以調整。旋轉速率可在每分鐘約20與200轉(RPM)之間。基板505旋轉的實例中,各個探針實際上對基板上之對應環狀區域取樣溫度分佈。基板支撐組件508可為磁浮旋轉框架。基板支撐組件508可延伸進入轉子井(rotor well)509,同時自邊緣支撐基板505。此方式中,基板505係在照射元件502下方旋轉以促進基板505溫度均勻。
沿著邊緣環511之內徑可具有擱板或楔形物以接觸基板505。邊緣環511圍繞基板505之外徑以接觸基板505,藉此遮掩基板505底面的極小部分。邊緣環511的徑向寬度係約0.75英吋。邊緣環511部分接近於基板505且可能受到處理氣體(選擇用來形成薄膜或改變基板505)之侵蝕或污染。用於邊緣環511之材料可抵抗化學攻擊,材料例如碳化矽。
邊緣環511係設以與圓柱510產生不透光密封。自邊緣環511底表面延伸之圓柱形唇部或擋板的外徑稍微大於或稍微小於圓柱510的外徑,以避免光直接通行於圓柱510外側與內側區域之間。邊緣環511的外徑大於圓柱510的半徑,以致其延伸出圓柱510外。邊緣環511超出圓柱510的環狀延伸作用為擋板,其避免雜散光進入反射腔519而被誤認為基板溫度的指標。為了進一步降低雜散光進入反射腔519的機率,邊緣環511可塗覆能吸收照射元件502產生之輻射的材料(例如,黑色或灰色材料,例如碳化矽)。為了進一步降低雜散光進入反射腔519的數量,可應用一同旋轉的邊緣環延伸件513。圓柱510可由石英所製成,且可塗覆Si以進一步限制光線侵入反射腔519。
第5圖所示之基板處理系統包括系統控制器562,其控制基板處理系統之不同運作,諸如控制照射元件502強度、氣體流動、基板溫度與腔室壓力。本發明之實施例中,系統控制器562包括硬碟機(記憶體564)與處理器566。處理器包含單板電腦(SBC)、類比與數位輸入/輸出板568以及機械介面板。
系統控制器562控制基板處理系統之活動。系統控制器執行系統控制軟體,系統控制軟體係儲存於電腦可讀媒體(例如,記憶體564)中之電腦程式。記憶體564可為硬碟機,但記憶體564亦可包括DRAM、快閃與其他類型的記憶體。記憶體564亦可為一或多種類型記憶體的組合。電腦程式包括指令組,其規定特定處理之時間、氣體混合、腔室壓力、腔室溫度、燈泡功率水平、基板支撐組件位置、及其他參數。當然,亦可應用其他電腦程式來操作系統控制器562,其他電腦程式例如儲存於另一記憶體元件(包括諸如軟碟或另一適當元件)上之電腦程式。輸入/輸出(I/O)元件568除了接合裝置以外,可包括有人類介面元件,諸如LCD螢幕、鍵盤與指向元件。系統控制器562可連接至網路好遠端控制或監控系統功能。控制可分散於多個系統控制器562,其在網路上連通以分散控制責任。
第6圖係根據揭露實施例可用於處理基板表面之示範方法的流程圖。基板係傳送進入處理腔室(操作600)。照射脈波持續時間與強度係經選擇以致脈波具有足夠的功率來退火基板(操作603)。基板處理系統之部分的光學組件(包括多個LEDs)照射且加熱基板表面(操作605)以退火基板至設定目標溫度。高溫計係用來在退火過程中偵測來自基板之輻射(操作610),並從基板發射之輻射數量來計算實際溫度(操作615),基板發射輻射附近的波長係不同於LEDs發射之波長。針對照射與測溫利用不同波長可改善高溫計測定溫度的準確性。根據實際溫度與設定溫度之差異來計算且儲存新的功率水平(操作620)。新的功率水平可用來處理隨後之基板。替代實施例中,差異係用於即時反饋迴路中以在基板處理過程中調整LED功率。
本文所用之「基板」可為具有或不具有層形成於上之支撐基板。可圖案化某些或所有層甚至基板。支撐基板可為多種摻雜濃度與分佈之絕緣體或半導體,且可為例如用於製造積體電路之半導體基板類型。詞彙「光」、「光學」、「光線」的應用並不隱含相關之電磁輻射必須來自光譜的可見光部分。光線可具有任何波長。
一實施例中,基板處理系統包括複數個發光二極體,複數個發光二極體包括第一發光二極體與第二發光二極體,複數個發光二極體加熱半導體基板之第一表面到至少200 ℃。第一發光二極體發射第一複數個光線脈波能量而第二發光二極體發射第二複數個光線脈波能量。
基板處理系統之另一實施例中,第一複數個光線脈波與第二複數個光線脈波的持續時間係小於或等於一秒。
基板處理系統之又一實施例中,複數個發光二極體係配置成一圖案,其實質均勻地加熱半導體基板。圖案可為同心圓,各個同心圓上之複數個發光二極體之能量輸出可有所變化以均勻地加熱半導體基板之第一表面。
基板處理系統之又另一實施例中,圖案係接近半導體基板外邊緣之同心圓。
基板處理系統之又另一實施例中,來自複數個發光二極體的照明改變半導體基板之接近表面區域。
基板處理系統之又另一實施例中,第一複數個光線脈波與第二複數個光線脈波的持續時間在約1毫秒至約1秒範圍之間。
基板處理系統之又另一實施例中,第一複數個光線脈波與第二複數個光線脈波的持續時間在約10微秒至約1毫秒範圍之間。
基板處理系統之又另一實施例中,第一複數個光線脈波與第二複數個光線脈波的波峰光學功率大於500瓦/平方公分。
基板處理系統之又另一實施例中,半導體基板之第一表面的面積係大於100平方公分。
基板處理系統之又另一實施例中,複數個發光二極體係形成於單一透明基板上。
另一實施例中,基板處理系統包括基板支撐組件,其支撐配置於處理腔室中之半導體基板;及第一發光二極體組件,其包括複數個發光二極體,複數個發光二極體包括第一發光二極體與第二發光二極體,複數個發光二極體加熱半導體基板之第一表面到至少200 ℃。第一發光二極體發射第一複數個光線脈波能量而第二發光二極體發射第二複數個光線脈波能量。複數個發光二極體係配置成一圖案,其實質均勻加熱半導體基板。複數個發光二極體可改變半導體基板之接近表面區域。光線脈波的持續時間在約1毫秒至約1秒之間。第一複數個光線脈波與第二複數個光線脈波的波峰光學功率係大於200瓦/平方公分。第一複數個光線脈波與第二複數個光線脈波可活化半導體基板中之摻雜質。第一複數個光線脈波與第二複數個光線脈波可將原子擴散進入半導體基板。基板處理系統可進一步包括第二發光二極體組件,其包括第二複數個發光二極體配置於半導體基板之第二表面附近以照射並加熱半導體基板之第二表面。
另一實施例中,基板處理系統包括基板支撐組件,其支撐配置於處理腔室中之半導體基板;及第一發光二極體組件,其包括複數個發光二極體,複數個發光二極體包括第一發光二極體與第二發光二極體,複數個發光二極體加熱半導體基板之第一表面到至少200 ℃。第一發光二極體發射第一複數個光線脈波能量(包括一或多個第一處理波長)而第二發光二極體發射第二複數個光線脈波能量(包括一或多個第二處理波長)。複數個發光二極體係配置成一圖案,其實質均勻加熱半導體基板。基板處理系統進一步包括至少一高溫計,其偵測至少一測高溫術波長附近之光線以確定半導體基板之部分的溫度,其中至少一測高溫術波長係不同於一或多個第一與第二處理波長。一或多個第一與第二處理波長可包括低於約0.75 μm之波長,而至少一高溫計波長包括高於約0.75 μm之波長。一或多個第一與第二處理波長可包括低於約0.50 μm之波長,而至少一高溫計波長包括高於約0.50 μm之波長。
另一實施例中,處理基板之方法包括提供半導體基板;選擇足以處理半導體基板之至少一光學脈波的LED脈波持續時間與LED脈波強度;並以來自LED組件之至少一光學脈波加熱半導體基板到至少200℃。至少一光學脈波包括一或多個LED波長。方法可進一步包括自半導體基板表面接收發射光、並測定處理監控波長附近發射光之強度,其中處理監控波長係不同於一或多個LED波長。處理半導體基板可包括退火半導體基板。處理半導體基板可包括在半導體基板中擴散摻雜質。
熟悉技術人士可理解,雖然已經在上方之較佳實施例中描述本發明,但本發明並不因此受限。可單獨或聯合應用上述發明之不同特徵結構與態樣。再者,雖然已經在本文中描述本發明實施於特定環境與特定應用中,熟悉技術人士可理解本發明之功效並不受限於此,本發明可用於許多環境與實施中。
100...晶圓
105、205、305、405...腔室
120...腔室蓋
125...燈
135...窗
200、300、505...基板
201、301、401...正面
202、302、402...背面
204、304、404...支撐機構
220、320...蓋
224、324、424-1、424-2...電連結
225、325、425-1、425-2...LED組件
235-1、235-2、335-1、335-2、435-1、435-2...高溫計
255...透明基板
261、361...電導線
265、365...導電墊
271、371...P-型摻雜氮化鎵層
272、372...N-型摻雜氮化鎵層
355...主動區
500...處理腔室
502...照射元件
503...窗組件
508...基板支撐組件
509...轉子井
510...圓柱
511...邊緣環
512...輻射
513...邊緣環延伸件
519...反射腔
520...反射器
521...中心組件
522...內腔室
523...光管
524...光纖
525...光學感測器
541...槽
542...流量控制器
562...系統控制器
564...記憶體
568...輸入/輸出板
572...入口歧管
573...排氣蓋
600、603、605、610、615、620...操作
可參照本說明書其餘部分與下方附圖進一步理解本發明之性質與優點。圖示係包含於本發明之實施方式部分中。
第1圖係習知技術之基板處理系統中加熱與監控系統之橫剖面圖。
第2A-2B圖係根據本發明實施例之基板處理系統與發光部件的橫剖面圖。
第3A-3B圖係根據本發明實施例之基板處理系統與發光部件的橫剖面圖。
第4圖係根據本發明實施例之基板處理系統的橫剖面圖。
第5圖係受惠於本發明實施例之示範基板處理系統的橫剖面圖。
第6圖係顯示根據揭露之實施例可用來處理基板表面之示範方法的流程圖。
附圖中,相似元件與/或特徵結構可具有相同的元件符號。再者,可藉由在元件符號後方加上破折號與第二符號來區別相同類型之不同部件。若僅有第一元件符號用於說明書中,不論第二符號為何,敘述係適用於具有相同第一元件符號之相似部件的任何一者。
205...腔室
200...基板
201...正面
202...背面
204...支撐機構
220...蓋
224...電連結
225...LED組件
235-1、235-2...高溫計

Claims (21)

  1. 一種熱處理數個半導體基板的系統,包括:一光學組件,該光學組件包括一陣列之數個發光二極體,該些發光二極體設以發射複數個持續時間皆為1毫秒至1秒之間的光線脈波,以照射一半導體基板的一整個上表面並快速地與實質上均勻地加熱該半導體基板之該整個上表面到至少200℃以退火該半導體基板。
  2. 如申請專利範圍第1項所述之系統,其中該複數個光線脈波的持續時間皆小於或等於一秒。
  3. 如申請專利範圍第1項所述之系統,其中該些發光二極體係配置成一圖案,該圖案提供該半導體基板之該上表面的實質上均勻加熱。
  4. 如申請專利範圍第3項所述之系統,其中:該圖案係數個同心圓;及來自各個同心圓之該些發光二極體的能量輸出可經變化以提供該半導體基板之該上表面的實質上均勻加熱。
  5. 如申請專利範圍第3項所述之系統,其中該圖案包括一接近該半導體基板之一外邊緣的同心圓。
  6. 如申請專利範圍第1項所述之系統,其中來自該些發光二極體之照明改變一接近該半導體基板之該表面的區域。
  7. 如申請專利範圍第1項所述之系統,其中該複數個光線脈波的波峰光學功率皆大於500瓦/平方公分。
  8. 如申請專利範圍第1項所述之系統,其中該半導體基板之該上表面的面積係大於100平方公分。
  9. 如申請專利範圍第1項所述之系統,其中該些發光二極體係配置於一單一透明基板上。
  10. 一種熱處理數個半導體基板的系統,包括:一處理腔室;一基板支撐組件,該基板支撐組件設以支撐一配置於該處理腔室中之半導體基板;及一光學組件,該光學組件包括一第一發光二極體組件,該第一發光二極體組件包括:一第一陣列之數個發光二極體,該些發光二極體設以發射複數個持續時間皆為1毫秒至1秒之間的光線脈波,以在一實質上垂直入射下照射該半導體基板的一整個上表面,其中該第一陣列之 發光二極體配置成一圖案,該圖案快速地與實質上均勻地加熱該半導體基板之該整個上表面到至少200℃以退火該半導體基板。
  11. 如申請專利範圍第10項所述之系統,其中來自該第一陣列之發光二極體的照明改變一接近該半導體基板之該上表面的區域。
  12. 如申請專利範圍第10項所述之系統,其中該複數個光線脈波的波峰光學功率皆大於200瓦/平方公分。
  13. 如申請專利範圍第10項所述之系統,其中該些複數個光線脈波活化該半導體基板中之一摻雜質。
  14. 如申請專利範圍第10項所述之系統,其中該光學組件更包括一第二發光二極體組件,該第二發光二極體組件包括一第二陣列之數個發光二極體,該第二陣列之數個發光二極體配置於該半導體基板之一底部表面附近,該第二陣列之數個發光二極體設以發射複數個持續時間皆為1毫秒至1秒之間的光線脈波,以照射該半導體基板之該底部表面。
  15. 一種熱處理數個半導體基板的系統,包括:一處理腔室; 一基板支撐組件,該基板支撐組件設以支撐一配置於該處理腔室中之半導體基板;及一光學組件,包括:複數個發光二極體,該些發光二極體包括一第一發光二極體與一第二發光二極體,該複數個發光二極體設以加熱該半導體基板之一第一表面到至少200℃以退火該半導體基板;其中該第一發光二極體發射一第一複數個光線脈波能量,該第一複數個光線脈波能量的持續時間皆為1毫秒至1秒之間且包括一或多個第一處理波長,而該第二發光二極體發射一第二複數個光線脈波能量,該第二複數個光線脈波能量的持續時間皆為1毫秒至1秒之間且包括一或多個第二處理波長;其中該複數個發光二極體係配置成一圖案,該圖案實質上均勻地加熱該半導體基板之一整個上表面;及至少一高溫計,該至少一高溫計設以偵測至少一測高溫術(pyrometric)波長附近之光線以測定該半導體基板之一部分的溫度,其中該至少一測高溫術波長係不同於該一或多個第一處理波長與該一或多個第二處理波長。
  16. 如申請專利範圍第15項所述之系統,其中該一或多個第一處理波長與第二處理波長包括一低於約0.75μm之波長,而該至少一測高溫術波長包括一高於約0.75μm之波長。
  17. 如申請專利範圍第15項所述之基板處理系統,其中該一或多個第一處理波長與第二處理波長包括一低於約0.50μm之波長,而該至少一測高溫術波長包括一高於約0.50μm之波長。
  18. 一種熱處理一半導體基板的方法,包括:提供該半導體基板;利用一光學組件快速地且實質上均勻地加熱該半導體基板之一整個上表面到至少200℃,該光學組件包括一陣列之數個發光二極體,該些發光二極體發射複數個持續時間皆為1毫秒至1秒之間的光線脈波以照射該半導體基板的該整個上表面;其中該些光線脈波包括一或多個LED波長。
  19. 如申請專利範圍第18項所述之方法,進一步包括:自該半導體基板之該表面接收一發射光線;測定一處理監控波長附近之發射光線的一強度,其中該處理監控波長係不同於該一或多個LED波長。
  20. 如申請專利範圍第18項所述之方法,其中實質上均勻地加熱該半導體基板的步驟退火該半導體基板。
  21. 如申請專利範圍第18項所述之方法,其中實質上 均勻地加熱該半導體基板的步驟擴散該半導體基板中之摻雜質。
TW099112385A 2009-04-20 2010-04-20 Led基板處理系統及方法 TWI525668B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US17102009P 2009-04-20 2009-04-20

Publications (2)

Publication Number Publication Date
TW201103078A TW201103078A (en) 2011-01-16
TWI525668B true TWI525668B (zh) 2016-03-11

Family

ID=42981300

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099112385A TWI525668B (zh) 2009-04-20 2010-04-20 Led基板處理系統及方法

Country Status (7)

Country Link
US (1) US8404499B2 (zh)
JP (1) JP2012524400A (zh)
KR (1) KR101728796B1 (zh)
CN (1) CN102405513B (zh)
SG (1) SG174858A1 (zh)
TW (1) TWI525668B (zh)
WO (1) WO2010123772A2 (zh)

Families Citing this family (327)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5254308B2 (ja) * 2010-12-27 2013-08-07 東京エレクトロン株式会社 液処理装置、液処理方法及びその液処理方法を実行させるためのプログラムを記録した記録媒体
CN107675250B (zh) * 2011-03-28 2020-08-07 应用材料公司 选择性沉积外延锗合金应力源的方法与设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR101387518B1 (ko) * 2012-08-28 2014-05-07 주식회사 유진테크 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9748120B2 (en) 2013-07-01 2017-08-29 Lam Research Ag Apparatus for liquid treatment of disc-shaped articles and heating system for use in such apparatus
KR20140091203A (ko) * 2013-01-10 2014-07-21 삼성전자주식회사 반도체의 잔류 응력 제거장치 및 잔류 응력 제거방법
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9406653B2 (en) 2013-02-27 2016-08-02 Applied Materials, Inc. Integrated solution for solid state light sources in a process chamber
US20140238958A1 (en) * 2013-02-28 2014-08-28 Ultratech, Inc. Systems and methods for material processing using light-emitting diodes
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
CN105144355B (zh) 2013-05-01 2018-02-06 应用材料公司 用于在晶片处理系统内进行低温测量的设备与方法
US9442007B2 (en) * 2013-05-06 2016-09-13 Phoseon Technology, Inc. Method and system for monitoring ultraviolet light for a fiber cure system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
US9318360B2 (en) * 2013-10-11 2016-04-19 Applied Materials, Inc. Linear high packing density for LED arrays
CN106415810B (zh) * 2014-01-17 2020-03-20 皇家飞利浦有限公司 包括半导体光源的加热系统
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10490426B2 (en) 2014-08-26 2019-11-26 Lam Research Ag Method and apparatus for processing wafer-shaped articles
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9899242B2 (en) 2015-04-06 2018-02-20 Varian Semiconductor Equipment Associates, Inc. Device and method for substrate heating during transport
US9633886B2 (en) 2015-04-16 2017-04-25 Varian Semiconductor Equipment Associates, Inc. Hybrid thermal electrostatic clamp
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) * 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
TWI585911B (zh) * 2015-08-12 2017-06-01 精材科技股份有限公司 一種感應器封裝體及其製造方法
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10957563B2 (en) * 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7161854B2 (ja) * 2018-03-05 2022-10-27 東京エレクトロン株式会社 検査装置
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
GB201900912D0 (en) * 2019-01-23 2019-03-13 Lam Res Ag Apparatus for processing a wafer, and method of controlling such an apparatus
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP7198434B2 (ja) * 2019-03-27 2023-01-04 ウシオ電機株式会社 加熱処理方法及び光加熱装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US20200375027A1 (en) * 2019-05-21 2020-11-26 Applied Materials, Inc. Single Layer PCB Circuit Layout For Uniform Radial LED Array
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
NL2023537B1 (en) * 2019-07-19 2021-02-08 Xeikon Prepress Nv Apparatus and method for exposure of relief precursors
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7501177B2 (ja) * 2020-07-10 2024-06-18 ウシオ電機株式会社 光加熱装置及び加熱処理方法
JP2022017022A (ja) * 2020-07-13 2022-01-25 ウシオ電機株式会社 光加熱装置
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4421592A (en) * 1981-05-22 1983-12-20 United Technologies Corporation Plasma enhanced deposition of semiconductors
US4836138A (en) * 1987-06-18 1989-06-06 Epsilon Technology, Inc. Heating system for reaction chamber of chemical vapor deposition equipment
US5005519A (en) * 1990-03-14 1991-04-09 Fusion Systems Corporation Reaction chamber having non-clouded window
US5773329A (en) * 1996-07-24 1998-06-30 International Business Machines Corporation Polysilicon grown by pulsed rapid thermal annealing
US6740906B2 (en) * 2001-07-23 2004-05-25 Cree, Inc. Light emitting diodes including modifications for submount bonding
US6818864B2 (en) 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP2006059931A (ja) 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置
JP4940635B2 (ja) * 2005-11-14 2012-05-30 東京エレクトロン株式会社 加熱装置、熱処理装置及び記憶媒体
KR100700836B1 (ko) * 2005-11-16 2007-03-28 삼성에스디아이 주식회사 레이저 열 전사 장치 및 레이저 열 전사법 그리고 이를이용한 유기 발광소자의 제조방법
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
JP2008016545A (ja) 2006-07-04 2008-01-24 Tokyo Electron Ltd アニール装置およびアニール方法
WO2008016116A1 (fr) * 2006-08-04 2008-02-07 Tokyo Electron Limited Dispositif et procédé de recuit
JP4107513B1 (ja) * 2007-02-04 2008-06-25 国立大学法人鳥取大学 電子装置の発光制御方法
DE102007015233A1 (de) * 2007-03-29 2008-10-02 Osram Gesellschaft mit beschränkter Haftung Leuchtdiodenlampe, Leuchte mit einer Leuchtdiodenlampe, Verfahren zum Betrieb einer Leuchte und Verfahren zur Erzeugung einer elektrischen Verlustleistung bei einer Leuchtdiodenlampe
US7977258B2 (en) * 2007-04-06 2011-07-12 Mattson Technology, Inc. Method and system for thermally processing a plurality of wafer-shaped objects
JP2009253242A (ja) * 2008-04-11 2009-10-29 Tokyo Electron Ltd アニール装置

Also Published As

Publication number Publication date
TW201103078A (en) 2011-01-16
US20100267174A1 (en) 2010-10-21
WO2010123772A3 (en) 2011-01-13
SG174858A1 (en) 2011-11-28
CN102405513A (zh) 2012-04-04
JP2012524400A (ja) 2012-10-11
CN102405513B (zh) 2016-05-25
KR20120006553A (ko) 2012-01-18
WO2010123772A2 (en) 2010-10-28
US8404499B2 (en) 2013-03-26
KR101728796B1 (ko) 2017-04-20

Similar Documents

Publication Publication Date Title
TWI525668B (zh) Led基板處理系統及方法
JP6840138B2 (ja) 処理のためのウエハ加熱用ダイオードレーザー
JP5597251B2 (ja) ファイバレーザによる基板処理
JP5055756B2 (ja) 熱処理装置及び記憶媒体
EP0811709B1 (en) Method and apparatus for enhancing the efficiency of radiant energy sources used in rapid thermal processing of substrates by energy reflection
TWI575635B (zh) 用於快速熱處理的裝置及方法
JP2013197423A (ja) 熱処理装置
US20160336205A1 (en) Absorbing lamphead face
KR20080102335A (ko) 제어식 어닐링 방법
KR100970013B1 (ko) 열처리 장치
JP2012178576A (ja) 熱処理装置及び記憶媒体
JP5964630B2 (ja) 熱処理装置
JP2009260046A (ja) 熱処理装置および基板温度測定方法
TWI614796B (zh) 在處理腔室中之固態光源之整合解決方案
CN113545166B (zh) 加热处理方法和光加热装置
WO2014176174A1 (en) Absorbing lamphead face