CN106415810B - 包括半导体光源的加热系统 - Google Patents

包括半导体光源的加热系统 Download PDF

Info

Publication number
CN106415810B
CN106415810B CN201580004780.XA CN201580004780A CN106415810B CN 106415810 B CN106415810 B CN 106415810B CN 201580004780 A CN201580004780 A CN 201580004780A CN 106415810 B CN106415810 B CN 106415810B
Authority
CN
China
Prior art keywords
sub
modules
heating
semiconductor light
module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201580004780.XA
Other languages
English (en)
Other versions
CN106415810A (zh
Inventor
H.梅恩奇
G.H.德拉
S.格龙恩博恩
P.佩卡斯基
J.S.科布
R.G.康拉德斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tongkuai Optoelectronic Device Co ltd
Original Assignee
Koninklijke Philips NV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Koninklijke Philips NV filed Critical Koninklijke Philips NV
Publication of CN106415810A publication Critical patent/CN106415810A/zh
Application granted granted Critical
Publication of CN106415810B publication Critical patent/CN106415810B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B3/00Ohmic-resistance heating
    • H05B3/0033Heating devices using lamps
    • H05B3/0038Heating devices using lamps for industrial applications
    • H05B3/0047Heating devices using lamps for industrial applications for semiconductor manufacture
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B23/00Single-crystal growth by condensing evaporated or sublimed materials
    • C30B23/02Epitaxial-layer growth
    • C30B23/06Heating of the deposition chamber, the substrate or the materials to be evaporated
    • C30B23/063Heating of the substrate
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/10Heating of the reaction chamber or the substrate
    • C30B25/105Heating of the reaction chamber or the substrate by irradiation or electric discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05BELECTRIC HEATING; ELECTRIC LIGHT SOURCES NOT OTHERWISE PROVIDED FOR; CIRCUIT ARRANGEMENTS FOR ELECTRIC LIGHT SOURCES, IN GENERAL
    • H05B1/00Details of electric heating devices
    • H05B1/02Automatic switching arrangements specially adapted to apparatus ; Control of heating devices
    • H05B1/0227Applications
    • H05B1/023Industrial applications
    • H05B1/0233Industrial applications for semiconductors manufacturing
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/40Thermal treatment, e.g. annealing in the presence of a solvent vapour

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Control Of Resistance Heating (AREA)
  • Semiconductor Lasers (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Re-Forming, After-Treatment, Cutting And Transporting Of Glass Products (AREA)

Abstract

本发明描述了一种将物体(150,950)的加热表面(180)加热到至少100℃的处理温度的加热系统(100)和对应方法,其中所述加热系统(100)包括半导体光源(115),并且其中所述加热系统(100)被适配成用至少50个半导体光源(115)同时对加热表面(180)的面积元件进行加热。加热系统(100)可以是用于处理半导体结构的反应器的一部分。借助于半导体光源(115)发射的光在加热表面(180)处重叠。一个单个半导体光源(115)的特性的差异可以在加热表面(180)处被模糊,使得可能够实现例如跨晶片的处理表面的均匀温度分布。

Description

包括半导体光源的加热系统
技术领域
本发明涉及一种包括用于直接或者间接加热比如OLED基板、半导体晶片等等的物体的半导体光源的加热系统。本发明进一步涉及对应的加热该物体的方法。
背景技术
有机和无机半导体结构的沉积需要尽可能均匀的温度分布。例如,跨半导体晶片的某种程度的处理温度的变化改变了生长条件,并且因此改变所生长的半导体层的特性。一个示例是基于氮化镓发射可见光的LED的生长。高于1000°C的生长温度必须保持在0.5°C之内,以便避免LED的波长偏移,这因此要求昂贵的产品分装(binning)。
例如,US 8,222,574 B2公开了一种用于在快速热处理期间实现对基板的均一加热或者冷却的装置和对应的方法。该装置包括对环形边进行加热或者冷却、与该环形边相邻的热质或者热板,以控制该环形边的温度。比如激光二极管之类的热源可以用来加热环形边和/或基板。该装置的加热过程的可靠性和均匀性依然不令人满意。
US 2010/0038833 A1公开了一种包括大量LED的退火装置,其不存在由于热生成而引起光发射量的减少所导致的降低的光能量效率的问题,并且能够保持稳定的性能。
发明内容
因此,本发明的一个目的是提供一种改进的加热系统、包括这样的加热系统的改进的反应器和对应的加热方法。
按照第一方面,提供了一种用于将物体的加热表面加热到至少100℃的处理温度的加热系统。加热系统包括半导体光源,其中所述加热系统被适配成通过同时接收来自至少50个半导体光源的辐射而对加热表面的面积元件进行加热。
如果由面积元件从特定半导体光源接收的强度是由该特定光源发射的最大强度的1/e2(e是欧拉数2,71…),则面积元件由该特定半导体光源加热。
加热系统可以是用于处理半导体结构的反应器的一部分。加热系统可以被集成在反应器的反应器室中。可替换地,加热系统可以置于反应器室之外。
物体可以是OLED基板(玻璃、塑料晶片或者箔等…)、半导体晶片(硅、砷化镓等…)或者比如其上可以放置这样的晶片中的一个或者多个晶片以用于处理半导体层的感受器的载体。处理温度强烈地取决于要被处理的材料,比如塑料或者硅晶片。在OLED中,100℃、150℃或者200℃的处理温度可能是优选的。砷化镓的处理可能要求高于500℃的处理温度,其中用于LED制作的氮化铟镓层的处理甚至可能要求高于1000℃的处理温度。
比如垂直腔面发射激光器的半导体光源被用来照射物体的加热表面。由半导体光源提供的光学能量将处理表面加热到所期望的温度。处理表面可以与加热表面至少部分相同。在该情况下,晶片的处理表面可以借助于半导体光源直接照射。可替换地,加热表面和处理表面可以是单独的表面。晶片的背侧可以例如借助于半导体光源被照射,而晶片的前侧可以包括处理表面。此外,一个或者多个晶片可以放置在比如石墨感受器的载体上。载体的一侧的至少一部分可以借助于半导体光源被照射,使得被提供在载体的相对侧上的晶片被间接加热到所期望的温度。加热系统可以通过用至少50个半导体光源同时照射加热表面的面积元件来提供处理表面的均匀温度分布。借助于半导体光源发射的光在加热表面处重叠。一个单个半导体光源的特性的差异可以在加热表面处变得模糊,使得可以能够实现跨处理表面的均匀温度分布。此外,加热系统的可靠性可以得以改进,因为一个半导体光源的故障可能仅仅导致由半导体光源提供给面积元件的光功率的很小的改变。因此,可能有利的是,提供100、200、500、1000或者更多的半导体光源在时间上的一个时刻对加热表面的面积元件进行加热。增加数量的光源可以减少关于单个半导体光源的功率要求。在该情况下,有可能甚至在具有800℃、900℃或者甚至高于1000℃的处理温度的高温度应用中使用中等功率的半导体光源。
半导体光源可能在时间上的一个时刻仅仅对加热表面中的一部分进行加热,使得需要对加热表面进行扫描。通过将物体和半导体光源相对于彼此移动,借助于半导体光源照射的面积元件改变。可替换地,比如镜子的光学元件可以被布置成移动使得加热表面可以借助于由半导体光源发射的反射光被照射。
在另一静态方案中,加热表面的尺寸和半导体光源数目的关系可以以让整个的加热表面可以在时间上的一个时刻被加热的方式来选择,使得加热表面的所有面积元件借助于至少50个半导体光源在时间上的相应时刻被照射。该静态方案可以具有以下优点:不需要昂贵并且可能易于出错的机械设备来移动由半导体光源发射的光照射束。此外,不存在或者仅存在较少的对加热表面进行加热的动态方面。因此,提供跨处理表面的均匀温度分布可能更容易。
可以在晶片规模上并行制造和测试垂直腔面发射激光器(VCSEL),使得可以一次处理大量具有非常相似特性的VCSEL。VCSEL发射比例如非常适用于高功率应用的边缘发射激光器更少的功率。如果大量VCSEL被布置使得至少50个VCSEL照射加热系统的面积元件,则VCSEL可以因此是有利的。
加热系统被适配成对加热表面进行加热,使得晶片的处理表面的第一部分的第一局部温度与不同于处理表面的第一部分的、所述晶片的处理表面的第二部分的第二局部温度偏差小于0.5%,使得晶片的处理表面被均匀地加热到处理例如半导体层所意图的温度。所意图的温度可以稍微不同于处理温度,如果加热表面和处理表面不同的话。
温度分布的均匀性对于例如半导体层的生长条件、并且因此包括半导体层的部分的最终半导体设备的特性而言是至关重要的。让大量半导体光源的发射变得模糊以使加热表面的面积元件借助于至少50个半导体光源被加热可以使得能够实现这样的均匀温度分布。单个半导体光源的差异被达到平均。如果整个加热表面在时间上的一个时刻被照射,则平均可能是尤其有利的。半导体光源的局部差异可能在这种情况下不起作用。加热表面处的热分布在这种情况下可以是均匀的,使得晶片的处理表面上的任何两个不同的非重叠的面积元件基本上具有相同温度。两个面积元件之间的温度可以偏差小于0.2%,优选小于0.1%并且更优选小于0.05%。这意味着例如在1000℃的处理温度下,通过借助于至少50个半导体光源来照射加热表面的面积元件,可以达到处理表面的任意两个面积元件之间的温度偏差小于2℃,优选小于1℃并且更优选小于0.5℃。
半导体光源被布置在子模块中,加热系统包括电驱动器,并且所述电驱动器被适配成同时驱动一个子模块中的所有半导体光源。
子模块可以被适配成对整个加热面积进行加热,以便进一步增大对加热表面的一个面积元件进行加热的半导体光源数目。可替换地或者附加地,可以布置不同的子模块来照射加热表面的不同面积元件,使得所有子模块一同被适配成对整个加热表面进行加热。如果存在可能导致不均匀性的加热表面的局部效果或者加热表面周围的局部效果,则后者可能是有利的,所述不均匀性可以通过向加热表面的不同面积元件提供不同光功率被补偿。在该情况下,电驱动器可以以使得不同子模块可被独立驱动的方式来布置,以便更改由各个子模块发射的光功率,但还有可能的是,所有子模块被共同驱动并且子模块被布置成补偿由几何边界条件导致的热耗散。例如,子模块可以包括对加热表面的相同面积元件进行加热的不同数量的半导体光源。
子模块可以提供相同或者不同的强度分布。在圆形加热表面的情况下,可能有利的是提供具有用于对圆形加热表面的中心进行加热的圆形强度分布的第一子模块。具有环形形状强度分布的第二子模块可以用来对围绕借助于第一子模块照射的加热表面的中心的环形进行加热。环形形状强度分布可以用来补偿可例如由物体的环形形状的悬架导致的热耗散。强度分布可以可替换地具有例如六边形形状,使得加热表面由被不同子模块照射的面积元件的六边形图案覆盖。六边形图案可以使得能够实现局部加热,以便提供对全部加热表面的均匀加热。
按照另一个实施例的加热系统可以包括具有至少一个子模块的至少第一组子模块和具有至少一个子模块的至少第二组子模块,第一组子模块被适配成对整个加热表面进行加热,并且第二组子模块中的至少一个子模块被适配成对加热表面的一部分进行加热,并且电驱动器被适配成独立于第一组子模块中的子模块而驱动第二组子模块中的至少一个子模块。
在该情况下,第一组子模块可以被适配成提供对加热表面的基本加热。因此,可以有利的是,借助于电驱动器共同驱动第一组子模块中的子模块,以便简化电驱动器的架构。子模块可以被适配成对加热表面的不同面积元件进行加热和/或借助于不同子模块被加热的面积元件可以重叠。甚至可能有利的是,第一组子模块的每个子模块被适配成对整个加热表面加热。照射一个面积元件的半导体光源的数量可以通过使得第一组子模块中的子模块的强度分布重叠而增大。第一组子模块中的每个子模块因此可以照射整个加热表面。第一组子模块可以提供将加热表面加热到处理温度所需要的总加热功率中的70%、80%、90%或者甚至高于95%。由子模块发射的光分布可以借助于比如微透镜阵列和/或透镜布置的光学元件被适配。
第二组子模块可以被适配成提供余下的加热功率。第二组子模块可以包括与扫描布置组合的一个子模块,以便随后照射整个加热表面。扫描布置可以包括承载第二组子模块中的子模块的机械载体元件,使得第二组子模块中的子模块相对于具有加热表面的物体移动。可替换地或者附加地,具有可移动镜子的机械布置可被提供,以便移动由第二组子模块中的子模块跨加热表面发射的光功率。此外,比如例如可移动透镜的可适配的光学布置可以用来改变由第二组子模块中的子模块提供在加热表面上的强度分布。第二组子模块中的子模块提供余下的光功率,并且可以可选地补偿可由物体的布置(尤其是安装物体所需要的悬架)导致的热耗散。在加热表面的某些面积元件处提供光功率所需的扫描方式和/或强度分布可以借助于热仿真和/或校准运行来确定。
可替换地,第二组子模块可以包括两个、三个、四个或者更多个子模块,第二组子模块中的每个子模块被适配成对加热表面的基本不同部分进行加热,使得整个加热表面可以借助于第二组子模块中的子模块被加热,并且其中电驱动器被适配成独立于第二组子模块中的其他子模块而驱动第二组子模块中的每个子模块。
第二组子模块中的子模块可以提供余下的光功率来将加热表面均匀加热到处理温度。子模块中的一个或者多个可以以不同功率水平被驱动,以便补偿由第一组子模块中的子模块导致的对加热表面的局部加热或者冷却。
投射到加热表面的第二组子模块中的一个或者多个子模块的光分布可以部分重叠,使得例如由第二组子模块中的子模块提供的强度分布的边界区域中的强度改变可以被补偿。强度分布的重叠可以进一步用于通过局部地增大强度来补偿可能例如由悬架导致的局部热耗散。
可替换地或者附加地,第二组子模块可以包括两个、三个、四个或者更多个子模块,第二组子模块中的每个子模块被适配成对全部的加热表面进行加热,其中第二组子模块中的子模块被适配成提供加热表面上的不同强度分度,并且其中电驱动器被适配成独立于第二组子模块中的其他子模块而驱动第二组子模块中的每个子模块。
通过考虑物体的几何形状以及进一步的几何和材料相关的边界条件,如上讨论的比如例如圆形和环形形状强度分布的不同强度分布可用于与来自所有组的所有其他子模块相组合地跨加热表面提供均匀的温度分布。
借助于仿真和/或校准测量而确定预定义的处理温度下的加热表面的温度分布的努力可能是高的。此外,可能难以将材料构成或者几何形状方面的边界条件或者波动的改变纳入考虑。因此,可能有利的是,提供测量加热表面的某些面积元件的温度的温度传感器以便控制半导体光源。一个或者多个温度传感器可以被指派给半导体光源的一个子组,以便提供借助于电驱动器对子组进行控制的控制参数。例如,可以有提供在用于安装比如晶片或者感受器的物体的悬架处的热电偶。热电偶或者热电偶的布置可以被适配成测量接近悬架的物体的局部温度。例如,所测量的温度可以用来通过适配对加热表面的相应面积元件进行加热的半导体光源子组的驱动参数而补偿例如由悬架导致的热耗散。例如,有可能提供具有大量的跨加热表面的温度传感器的感受器,以便测量感受器的加热表面的温度分布。热电偶中的一个或者多个可以被指派给对加热表面的相应面积元件进行加热的子模块。因此,监控加热表面的温度分布、并且立即适配某些半导体光源和/或一个或者多个子模块的加热功率以便校正温度分布的不均匀性是可能的。
借助于在加热表面处或者靠近加热表面提供的传感器的温度测量可能是困难的,尤其是如果物体是晶片自身的话。因此,可能有利的是,使用比如高温计的光学温度传感器以便确定加热表面的温度分布。光学温度传感器可以是可经由电驱动器耦合到相应的半导体光源或者子模块的单独的设备。可替换地或者附加地,可能有利的是将光学温度传感器集成在一个或者多个子模块中。光学温度传感器将从由包括相应温度传感器的子模块加热的加热表面的面积元件接收温度信息。
因此,可能有利的是,在加热系统的进一步实施例中,第一组和/或第二组子模块中的子模块中的至少一部分包括至少一个温度传感器,其被适配成确定由相应子模块照射的加热表面的一部分处的温度,使得物体的温度分布的均匀性可以被监控。可能足够的是,第一组子模块中的仅一个子模块包括这样的温度传感器(尤其是光学温度传感器)以便测量加热表面的一个位置的温度。位置的尺寸可以由用于投射由子组件发射的光的光学部件或者独立的/附加光学部件确定。位置甚至可以是近乎类似于点,或者在其他极端情况下近乎包括由相应子模块照射的整个面积元件。第二组子模块中的若干或者甚至所有子模块可以有利地包括一个或者多个温度传感器,以便于确定借助于第二组子模块的相应子模块照射的加热表面的面积元件的温度。可能足够的是,第二组子模块中的子模块中的仅一些子模块包括例如光学温度传感器,如果不同子模块在加热表面上不具有重叠的强度分布的话。在第二组子模块中的所有子模块向加热表面的基本上不同的 面积元件发光的情况下,可能有利的是,所有子模块包括用于使得能够实现对加热表面的温度分布的全部监控的光学温度传感器以及第二组子模块中的子模块的对应的驱动方案,以便使得温差最小化。
半导体光源发射有限波长光谱的光。因此,可能有利的是,温度传感器是测量作为由半导体光源发射的光的波长的不同波长处的温度的光学传感器。半导体光源可以以一种特定波长发光,或者以两种、三种、四种或者更多种波长发光。半导体光源甚至可以发射定义的波长范围中的光。
半导体光源可以发射光学光谱中的红色或者红外部分的光。例如,比如VCSEL的半导体激光器可以用作半导体光源。VCSEL可以在800nm与1200nm之间的波长范围中以基本单一的波长发射激光。光学温度传感器可以测量例如500nm或者700nm或者高于VCSEL的发射波长的波长处的加热表面的温度。例如850nm波长处的VCSEL的发射因此可不干扰温度的光学检测。在简单的情况下,高温计可以由对长波长敏感(例如,配备有光学长通滤波器)并且作为VCSEL组件的一部分(即,替代连结的安装表面上的多个VCSEL芯片)的光电二极管来实现。
用于测量温度的波长或者波长范围可以依赖于物体尤其是加热表面的材料以及来选择。例如,薄的砷化镓或者硅晶片在红外光谱中近乎是透明的,使得大约700nm的较短波长处的测量可以是优选的,以便避免在处理表面上生长的层的负面影响。此外,阻挡红外辐射的滤波器可导致在较短波长处的测量。
可能进一步有利的是,如果处理表面和加热表面没有至少部分重合,则处理表面的温度分布可以被另外地监控。在该情况下,监控处理表面可用于进一步增大处理表面的温度分布的均匀性。
在有利的实施例中,加热系统可以包括至少50000、100000、500000或者甚至更多半导体光源。使用更多半导体光源用于对所定义的面积尺寸的加热表面进行加热增大了对加热表面的一个面积元件进行加热的半导体光源的数量。加热系统的可靠性可以得以改进,因为相比于为了对加热表面的各面积元件进行加热而发射的总光功率,一个半导体光源的误操作可以是可忽略的。
因为半导体光源可能是昂贵的,所以可能有利的是,加热系统可以包括用于提供物体的加热表面的第一温度分布的加热模块,并且半导体光源被适配为提供处理温度下的均匀温度分布。加热模块可以包括常规加热设备,比如例如用于将加热表面加热到接近处理温度的温度的卤素灯。半导体光源用来补偿可能由加热模块和/或几何边界条件导致的不均匀性。半导体光源可以被布置在子模块中,比如以上讨论的第二组子模块中的子模块中,以便补偿加热表面的温度的局部差异。常规加热模块与半导体光源的组合可以比仅包括半导体光源的加热系统更便宜。这样的组合系统的缺点可能是,借助于光学温度传感器的温度测量可能由例如卤素灯的宽带发射而被干扰。
用于晶片处理的反应器可以包括如上描述的加热系统和反应器室,其中所述加热系统被适配成对反应器室内的至少一个晶片加热。加热系统可以置于反应器室的内部。可能有利的是,将加热系统与物体分离。具有对由半导体光源发射的光是光透射性的涂层但是对来自物体的较长波长的热辐射是反射性的玻璃板可以促进热分离,因此减少所要求的加热功率。进一步的分离可以避免反应器室和加热系统的半导体光源之间的直接气流。这可以通过类似膜的构造和两个分离的真空系统(但是依然在反应器室内,即处于相同低压下)来实现。
可替换地,可能有利的是,将加热系统布置在反应器室之外。在该情况下,反应器室可以包括至少一个反应器窗口,并且加热系统被适配成通过经由反应器窗口辐射光来加热晶片。加热系统与反应器室的完全分离可以具有如下优点:例如,加热系统不是必须被适配于在反应器室中的条件。此外,仅仅与加热系统有关的维护操作可以独立于反应器室,使得例如反应器室内的真空可以得以维持。例如,如在MOCVD或者MBE反应器中的沉积系统的再校准可能不是必要的,使得用于维护操作所需的时间可以被减小。
比如VCSEL的半导体光源有利地与这样的反应器窗口组合,因为半导体光源以相对小的立体角发光。VCSEL不具有小于10°的半发散角,使得将半导体光源放置在反应器室之外而不需要任何附加光学部件是可能的。发散角被定义为其中由半导体光源发射的强度被减小到由特定光源发射的最大强度的1/e2的角度(e是欧拉数2,71…)。反应器窗口甚至可以被用作向物体的加热表面投射由可被布置在子模块中的相应半导体光源发射的强度分布的光学元件。子模块的几何形状可以被适配成反应器窗口的形状的几何形状。包括圆形布置中的半导体光源的子模块可以例如与圆形反应器窗口组合,所述圆形反应器窗口可以附加地被布置为透镜。反应器室可以包括针对每个子模块的一个反应器窗口。
按照本发明的另外的方面,提供了一种将物体的加热表面加热到至少100℃的处理温度的方法。所述方法包括以下步骤:
-提供物体的加热表面;
-提供半导体光源,其中所述半导体光源是垂直腔面发射激光器;
-将所述半导体光源布置在子模块中;
-提供电驱动器;
-将所述电驱动器适配成同时驱动一个子模块的所有半导体光源;
-通过布置所述子模块和/或电驱动器,将所述加热系统适配成对加热表面进行加热,使得晶片的处理表面的第一部分的第一局部温度与不同于所述处理表面的第一部分的、所述晶片的处理表面的第二部分的第二局部温度偏差小于0.5%;
-用至少50个半导体光源同时对所述加热表面的面积元件进行加热,使得所述晶片的处理表面被均匀加热到定义的温度。
该方法可以能够实现对物体的加热表面的均匀和可靠的加热。
该方法可以包括以下的进一步步骤:
-提供用于测量加热表面的不同面积元件的温度的温度传感器;
-测量加热表面的不同面积元件的温度;
-借助于由温度传感器测量的温度来控制半导体光源,使得物体的加热表面的温差被减小。
应该理解,权利要求1的加热系统和权利要求15的方法具有相似和/或同样的实施例,特别是如在从属权利要求中所定义的。
应该理解,本发明的优选实施例还可以是从属权利要求与相应独立权利要求的任何组合。尤其,如在权利要求10到12中所要求保护的反应器可以与权利要求1-9的任何加热系统组合。
进一步的有利实施例在以下被定义。
附图说明
本发明的这些和其他方面将根据在下文中描述的实施例而变得显而易见并且参考这些实施例被阐述。
现在,将通过示例的方式基于参照附图的实施例来描述本发明。
在附图中:
图1示出了加热系统的第一实施例的原理简图。
图2示出了用于图示重叠的光锥原理的原理简图。
图3示出了加热系统的第二实施例的原理简图。
图4示出了加热系统的第三实施例的横截面的原理简图。
图5示出了加热系统的第三实施例的顶视图的原理简图。
图6示出了由在图4和5中示出的第一组子模块中的子模块提供的强度轮廓(profile)。
图7示出了按照第一实施例的第二组子模块中的子模块提供的强度轮廓。
图8示出了按照第二实施例的由第二组子模块中的子模块提供的强度轮廓。
图9示出了反应器的第一实施例的原理简图。
图10示出了反应器的第二实施例的原理简图。
图11示出了按照第一实施例的子模块的布置的原理简图。
图12示出了按照第二实施例的子模块的布置的原理简图。
图13示出了加热系统的第四实施例的原理简图。
图14示出了加热系统的第五实施例的原理简图。
图15示出了对物体的加热表面进行加热的方法的方法步骤的原理简图。
在图中,贯穿全文,相同附图标记指的是相同的物体。图中的物体不一定按比例绘制。
具体实施方式
现在将借助附图来描述本发明的各种实施例。
图1示出了加热系统100的第一实施例的横截面的原理简图。加热系统包括具有大量的比如VCSEL的半导体光源115的一个子模块110。VCSEL向具有加热表面180的物体150发射激光,加热表面具有直径D并处于到VCSEL的发光表面的距离A。加热表面180不覆盖接近VCSEL的物体150的整个上表面。距离A、VCSEL之间的间距以及VCSEL的半发散角α被布置成使得加热表面180的面积元件借助于至少50个VCSEL被照射。发散角被定义为其中由半导体光源发射的强度被减小到由特定光源发射的最大强度的1/e2的角度(e是欧拉数2,71…)。
对于具有间距p的正方形光源布置而言,这可以通过满足等式π(Atanα)2>50p2来实现。在此情况下,加热表面180的面积元件是围绕加热表面180的中心的同心圆以及环。
图2示出了用于图示重叠的光锥原理的原理简图。该图仅仅示出了子模块110的一部分。子模块110的半导体光源115以具有间距p的方形图案来布置。半导体光源以发散角α发射光。假设子模块110上有远多于50个光源115的近乎均一的分布,由一个半导体光源115在距离A处(此处至少50个相邻的半导体光源115的光重叠)发射的光锥的半径R近似于:
Figure DEST_PATH_IMAGE002
具有加热表面的物体150必须被放置的最小距离A由以下确定:
Figure DEST_PATH_IMAGE004
物体必须要被放置的最小距离因此由半导体光源的发散角α和间距p确定。以上给出的示例仅是出于说明目的而讨论的特定示例。计算取决于半导体光源的布置、半导体光源(VCSEL、VCSEL阵列)的强度分布等等。发散角可以例如通过提供对每个半导体光源115的光进行准直的微透镜阵列而被影响。
图3示出了加热系统100的第二实施例的原理简图。加热系统100从物体150的加热表面180的视角被示出。由一个半导体光源115照射的加热表面180的面积300由虚线圆指示,虚线圆表示在其中接收到由加热表面从相应半导体光源接收的最大强度的1/e2的线。半导体光源115被指示为子模块110上的正方形。取靠近加热表面180的中心或者处于加热表面180中心处的面积元件(例如,正方形中的一个),明显的是,由远多于50个半导体光源115发射的光在加热表面180的相应面积元件处重叠。在本实施例中,为了提供均匀的光照,子模块110的最小尺寸必须至少等于加热表面180的直径和面积300的直径的总和。由半导体光源115发射的没有撞击加热表面的光可能撞击物体150的余下表面(如果存在这样的余下表面的话)或者附加的遮罩可用来吸收这种光。可替换地或者另外地,可以提供微透镜阵列,将在子模块110的边缘处发射的光定向为靠近加热表面180的边缘。在该情况下,微透镜阵列可以适配成使得跨加热表面180的强度基本上恒定。
图4示出了加热系统的第三实施例的横截面的原理简图。图5示出了在图4中示出的加热系统100的顶视图,其中虚线510指示在图4中示出的横截面的线。示出了具有大量半导体光源的两组子模块110。第一组子模块410中的每个子模块110发射覆盖物体150的整个加热表面180的光,如由虚线指示的。在图6中示出了第一组子模块410中的子模块110提供的强度轮廓620的理想化示例。强度跨加热表面180的直径D基本恒定。实际上,强度轮廓可以是梯形的,使得恒定强度的面积必须覆盖整个加热表面180。第二组子模块420中的子模块110发射仅仅覆盖物体150的加热表面180的一部分的光,如由虚线指示的。在图7中示出了第二组子模块420中的子模块110提供的强度轮廓720的理想化示例。强度跨加热表面180的直径D的一半基本上是恒定的。在该实施例中,简单的光学部件可能足以对方形子模块110的近场发射进行投射,使得基本上长方形的强度轮廓被投射到加热表面180,如由图7中指示的。这样的光学部件和更复杂的光学部件对本领域技术人员而言是众所周知的。光学元件可以根据几何边界条件和意图的强度轮廓来选择。第二组子模块420中不同的子模块110被布置使得加热表面180的相邻面积元件被照射。总体上,整个加热表面180借助于第二组子模块420中的子模块110来照射。子模块110借助于集成在加热系统100中的电驱动器450被电气供电。第一组子模块410中的子模块110被共同驱动,并且提供将加热表面180加热到处理温度所需要的光功率的大约95%。余下的光功率借助于第二组子模块420中的子模块110来提供。第二组子模块420中的子模块110借助于电驱动器450独立地驱动,使得加热表面180的不同面积元件之间的温差可被最小化。这样的温差可能例如由在物体150的边缘处的悬架(suspension)(未示出)而导致。可能甚至有利的是,借助于第一组子模块410提供尽可能多的电力(例如,98%或者99%),使得第二组子模块420中的子模块仅仅需要提供细微的调整,以便提供加热表面的恒定温度。
图8示出了按照第二实施例由第二组子模块420中的子模块110提供的强度轮廓820。强度轮廓在加热表面180的中心处具有最大值,并且在加热表面180的边缘处减少至零强度。第二组子模块420中的其他子模块110发射环形的强度轮廓,其具有两个围绕加热表面180的中心对称布置的最大值。在该情况下,强度轮廓被适配被圆形感受器。可以更改由第二组子模块420中的不同子模块110提供的光功率,以便补偿可能由用于安装感受器的环形的悬架(未示出)导致的热损失。一般地,强度轮廓必须借助于半导体光源115在一个子模块110内的布置(单个半导体光源115之间的距离、半导体光源115的变化的密度等等)、光学元件(微透镜阵列、透镜等等)而被适配,以便匹配由物体150(形状、材料、结构等等)和比如悬架等等的支撑元件给出的边界条件。一般地,可能导致加热表面180的温度偏差的每个影响(例如,气流)必须被考虑。此外,子模块110的相对布置可以用来提供加热表面180处的均匀温度分布。
图9示出了包括加热系统100的反应器的第一实施例的原理简图。加热系统100类似于图4和5中示出的加热系统,并且包括借助于电驱动器450而独立地被驱动的子模块110。每个第二子模块110包括温度传感器118(高温计)用于测量借助于相应子模块110被照射的加热表面180的面积元件的表面温度。子模块110包括以大约850nm的波长发射激光的VCSEL阵列。激光穿过反应器室910的反应器窗口920以及涂层玻璃板970,涂层玻璃板在激光波长处是透明的但是在较低波长处是反射性的,以便减少借助于热辐射而产生的热损失。在该情况下,物体150是其上放置了晶片960的感受器950。感受器950和晶片960被放置在反应器室内。由子模块110发射的激光在相对于放置晶片960的表面的、感受器950的下表面处被吸收。感受器950的下表面与加热表面180相同。子模块110发射具有重叠的强度轮廓的激光,其中强度轮廓被布置使得能够实现对加热表面180的均匀加热。加热表面180被加热到处理温度,并且晶片960经由可由石墨制成的感受器950间接加热。加热表面的温度的均匀性借助于以700nm波长操作的高温计来控制。子模块110借助于电驱动器基于由高温计提供的输入被控制。半导体层的沉积在加热表面180的均匀和稳定的温度时立即开始并且因此到达晶片960的上侧上的处理表面。
图10示出了具有加热系统100的反应器的第二实施例的原理简图。子模块110包括以大约850nm的波长发射激光的VCSEL阵列。激光穿过反应器室910的反应器窗口920并撞击晶片960的处理表面980。在该情况下(不同于图9中示出的实施例),处理表面980与加热表面180基本相同。晶片960借助于悬架995安装。对晶片的主要加热借助于包括常规卤素灯的加热模块990提供。加热模块990被布置在反应器室内相对于吸收激光的处理表面980的晶片的相对一侧。
每个子模块110借助于电驱动器被单独地驱动,并且向加热表面180的定义的面积元件发射激光,使得可以补偿局部温度变化。由第一子模块110照射的面积元件可与由第二子模块110照射的面积元件稍微重叠。面积元件越小,可以越好地控制处理表面980的温度的均匀性。面积元件可以具有不同的尺寸,因为靠近可能导致热耗散的结构元件(如悬架)的温度控制可能比靠近晶片中心的若干面积元件更重要。
图11示出了按照第一实施例的子模块110的布置的原理简图。加热系统100的子模块110具有圆形的形状,并且以规则的图案提供。子模块中的每个子模块借助于电驱动器450被单独地供电。子模块的驱动方案可以使用例如脉冲驱动而考虑物体内的热流。相邻子模块可以例如不同时发光。在经由如图9示出的感受器对处理表面间接加热的情况下,后者可能是有利的。
图12示出了按照第二实施例的子模块110的布置的原理简图。子模块具有长方形的形状,其以长方形的长侧被径向地布置。长方形的中心线指向圆形加热系统100的中心。光学元件被提供以便使得能够实现对加热表面180的均匀加热。
图13示出了加热系统100的第四实施例的原理简图。具有半导体光源115的子模块110与光学元件116(凸透镜)组合,以便在物体150的加热表面180上的提供定义的强度分布。
图14示出了加热系统100的第五实施例的原理简图。具有半导体光源115(VCSEL)的子模块110与微光学元件117(微透镜阵列)以及光学元件116(凸透镜)组合,以便在物体150的加热表面180上提供定义的强度分布。微透镜阵列可以例如用来改变所发射的激光的发散角,使得到物体150的距离可以被延长。
图15示出了对物体150的加热表面180进行加热的方法的方法步骤的原理简图。在步骤1410,提供物体150的加热表面180。在步骤1420,提供半导体光源115。在步骤1430,加热表面180借助于由半导体光源115发射的光而被加热,使得加热表面180的面积元件同时接收至少50个半导体光源115的光。
虽然已经在附图和前述描述中详细图示和描述了本发明,但是这样的图示和描述被认为是说明性或者示例性的,而不是限制性的。
根据对本公开内容的研读,其他修改将对本领域技术人员是显而易见。这样的修改可涉及在本领域中已经知晓并且可以替代本文已经描述的特征或者除了本文已经描述的特征之外而使用的其它特征。
所公开实施例的变型可以由本领域技术人员根据对附图、本公开内容和所附权利要求的研习得以理解和实现。在权利要求中,词语“包括”不排除其他元件或者步骤,并且不定冠词“一”或者“一个”不排除多个元件或者步骤。某些措施被记载在相互不同的从属权利要求中这一纯粹事实不指示这些措施的组合不能被有利地使用。
权利要求中的任何附图标记不应该被解读为限制其范围。
附图标记列表:
100 加热系统
110 子模块
115 半导体光源
116 光学元件
117 微光学元件
118 温度传感器
150 物体
180 加热表面
300 由一个半导体光源照射的加热表面的面积
410 第一组子模块
420 第二组子模块
450 电驱动器
510 指示横截面的线
600 强度
610 跨物体的加热表面的横截面
620 第一组子模块中的子模块提供的强度轮廓
720 按照第一实施例的第二组子模块中的子模块提供的强度轮廓
820 按照第二实施例的第二组子模块中的子模块提供的强度轮廓
910 反应器室
920 反应器窗口
950 感受器
960 晶片
970 涂层玻璃板
980 处理表面
990 加热模块
995 悬架
1410 提供物体的加热表面的方法步骤
1420 提供半导体光源的方法步骤
1430 对加热表面的面积元件进行加热的方法步骤

Claims (12)

1.一种用于将物体(150,950)的加热表面(180)加热到至少100℃的处理温度的加热系统(100),其中所述加热系统(100)包括半导体光源(115),其特征在于,所述加热系统(100)被适配成用至少50个半导体光源(115)同时对加热表面(180)的面积元件进行加热,其中半导体光源(115)是垂直腔面发射激光器,其中所述加热系统(100)被适配成对加热表面(180)进行加热,使得晶片(960)的处理表面(980)的第一部分的第一局部温度与不同于处理表面(980)的第一部分的、所述晶片(960)的处理表面(980)的第二部分的第二局部温度偏差小于0.5%,其中所述半导体光源(115)被布置在子模块(110)中,所述加热系统(100)包括电驱动器(450),并且所述电驱动器(450)被适配成同时驱动一个子模块(110)中的所有半导体光源(115),其中所述子模块(110)和/或电驱动器(450)被布置为使得所述晶片(960)的处理表面(980)被均匀地加热到定义的温度;并且其中,所述加热系统(100)包括具有至少一个子模块(110)的第一组子模块(410)以及具有至少一个子模块(110)的第二组子模块(420),所述第一组子模块(410)被适配成对整个加热表面(180)进行加热,并且所述第二组子模块(420)中的所述至少一个子模块(110)被适配成对所述加热表面(180)的一部分进行加热,并且所述电驱动器(450)被适配成独立于所述第一组子模块(410)中的子模块(110)而驱动所述第二组子模块(420)中的所述至少一个子模块(110)。
2.根据权利要求1所述的加热系统(100),其中所述第二组子模块(420)包括两个、三个、四个或者更多个子模块(110),所述第二组子模块(420)中的每个子模块(110)被适配成对加热表面(180)的不同部分进行加热,使得整个加热表面(180)可以借助于所述第二组子模块(420)中的子模块(110)被加热,并且其中所述电驱动器(450)被适配成独立地驱动所述第二组子模块(420)中的每个子模块(110)。
3.根据权利要求1所述的加热系统(100),其中所述第二组子模块(420)包括两个、三个、四个或者更多个子模块(110),所述第二组子模块(420)中的每个子模块(110)被适配成对全部的加热表面(180)进行加热,其中所述第二组子模块(420)中的子模块(110)被适配成提供加热表面(180)上的不同强度分度,并且其中所述电驱动器(450)被适配成驱动所述第二组子模块(420)中的每个子模块(110)。
4.根据权利要求2或者3所述的加热系统(100),其中所述第一组和/或第二组子模块(410,420)中的子模块(110)中的至少一部分包括至少一个温度传感器,其被适配成确定由相应子模块(110)照射的加热表面(180)的一部分处的温度,使得物体的温度分布的均匀性可以被监控。
5.根据权利要求4所述的加热系统(100),其中所述温度传感器适于测量作为由所述半导体光源(115)发射的光的波长的不同波长处的温度。
6.根据权利要求1所述的加热系统(100),其中所述加热系统(100)包括至少50000个半导体光源(115)。
7.根据权利要求1所述的加热系统(100),其中所述加热系统被适配使得由所述半导体光源(115)提供的强度分布扫描物体(150)的加热表面。
8.根据权利要求1所述的加热系统(100),其中所述加热系统(100)包括用于提供物体的第一温度分布的加热模块(990),并且所述加热模块(990)和所述半导体光源(115)被适配成提供所述处理温度下的均匀温度分布。
9.一种包括根据权利要求1至8中的任一项所述的加热系统(100)和反应器室(910),用于晶片(960)处理的反应器,其中所述加热系统(100)被适配成对所述反应器室(910)内的至少一个晶片(960)进行加热。
10.根据权利要求9所述的反应器,其中所述反应器室(910)包括至少一个反应器窗口(920),并且所述加热系统(100)被适配成通过经由反应器窗口(920)辐射光来对晶片(960)进行加热。
11.根据权利要求10所述的反应器,其中所述反应器室(910)的至少一个反应器窗口包括针对每个子模块(110)的一个反应器窗口(920)。
12.使用根据权利要求1至8中的任一项所述的加热系统将物体(150,950)的加热表面(180)加热到至少100℃的处理温度的方法,所述方法包括以下步骤:
提供物体(150)的加热表面(180);
提供半导体光源(115),其中所述半导体光源(115)是垂直腔面发射激光器;
将所述半导体光源(115)布置在子模块(110)中;
提供电驱动器(450);
将所述电驱动器(450)适配成同时驱动一个子模块(110)中的所有半导体光源(115),以及还适配成独立于所述第一组子模块(410)中的子模块(110)而驱动所述第二组子模块(420)中的所述至少一个子模块(110);
通过布置所述子模块(110)和/或电驱动器(450),将所述加热系统(100)适配成对加热表面(180)进行加热,使得晶片(960)的处理表面(980)的第一部分的第一局部温度与不同于所述处理表面(980)的第一部分的、所述晶片(960)的处理表面(980)的第二部分的第二局部温度偏差小于0.5%;
用至少50个半导体光源(115)同时对所述加热表面(180)的面积元件进行加热,使得所述晶片(960)的处理表面(980)被均匀加热到定义的温度;以及
利用所述第一组子模块(410)对整个加热表面(180)进行加热,以及利用所述第二组子模块(420)中的所述至少一个子模块(110)对所述加热表面(180)的一部分进行加热。
CN201580004780.XA 2014-01-17 2015-01-09 包括半导体光源的加热系统 Active CN106415810B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP14151613.8 2014-01-17
EP14151613 2014-01-17
PCT/EP2015/050359 WO2015107009A1 (en) 2014-01-17 2015-01-09 Heating system comprising semiconductor light sources

Publications (2)

Publication Number Publication Date
CN106415810A CN106415810A (zh) 2017-02-15
CN106415810B true CN106415810B (zh) 2020-03-20

Family

ID=49955222

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201580004780.XA Active CN106415810B (zh) 2014-01-17 2015-01-09 包括半导体光源的加热系统

Country Status (7)

Country Link
US (1) US10159113B2 (zh)
EP (1) EP3095128B1 (zh)
JP (1) JP6461168B2 (zh)
CN (1) CN106415810B (zh)
BR (1) BR112016016264A2 (zh)
RU (1) RU2669549C2 (zh)
WO (1) WO2015107009A1 (zh)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
AU2017209317A1 (en) 2016-01-22 2018-08-16 Pressco Ip Llc A system and method for producing an engineered irradiation pattern in a narrowband system
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
DE102016119703A1 (de) * 2016-10-17 2018-04-19 Kraussmaffei Technologies Gmbh Verfahren und Vorrichtung zur Herstellung von Formteilen mit einem Halbzeug
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR102147379B1 (ko) * 2016-12-28 2020-08-25 주식회사 비아트론 Vcsel을 이용한 기판 열처리 장치 및 방법
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) * 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363139A1 (en) * 2017-06-20 2018-12-20 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
EP3462811A1 (en) 2017-09-29 2019-04-03 Heraeus Noblelight GmbH A device for selectively heating a target with ir radiation
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108538763B (zh) * 2018-04-24 2020-05-15 京东方科技集团股份有限公司 一种加热组件、封装装置和封装方法
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
US11812523B2 (en) 2019-06-13 2023-11-07 Beijing E-Town Semiconductor Technology, Co., Ltd Thermal processing system with transmission switch plate
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR102444062B1 (ko) * 2020-06-02 2022-09-16 주식회사 비아트론 Vcsel를 이용한 기판 열처리 장치
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
JP7479266B2 (ja) 2020-09-25 2024-05-08 東京エレクトロン株式会社 検査装置の制御方法、及び、検査装置
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN113471046B (zh) * 2020-12-14 2023-06-20 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
KR20230068787A (ko) * 2021-11-11 2023-05-18 에이피시스템 주식회사 기판 처리 장치 및 기판 처리 방법
EP4216666A3 (en) * 2022-01-19 2023-08-23 Phoenix Electric Co., Ltd. Lamp for heating and heating apparatus including the same
US20240035161A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Actively controlled pre-heat ring for process temperature control
JP2024070405A (ja) * 2022-11-11 2024-05-23 フェニックス電機株式会社 加熱装置、および、その加熱装置を用いた温度測定方法
CN115740746A (zh) * 2022-11-25 2023-03-07 深圳市鑫宸锐智能科技有限公司 一种vcsel激光焊接设备及焊接方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047496A (en) 1974-05-31 1977-09-13 Applied Materials, Inc. Epitaxial radiation heated reactor
US6771895B2 (en) 1999-01-06 2004-08-03 Mattson Technology, Inc. Heating device for heating semiconductor wafers in thermal processing chambers
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6451152B1 (en) 2000-05-24 2002-09-17 The Boeing Company Method for heating and controlling temperature of composite material during automated placement
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US6970644B2 (en) * 2000-12-21 2005-11-29 Mattson Technology, Inc. Heating configuration for use in thermal processing chambers
US20020137331A1 (en) 2001-03-20 2002-09-26 Ching-Yu Chang Method of forming contact holes of reduced dimensions by using reverse-transcription process
JP2003077852A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2003077857A (ja) * 2001-09-03 2003-03-14 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
US6818864B2 (en) * 2002-08-09 2004-11-16 Asm America, Inc. LED heat lamp arrays for CVD heating
JP5138253B2 (ja) * 2006-09-05 2013-02-06 東京エレクトロン株式会社 アニール装置
EP2094460B1 (en) * 2006-12-19 2011-07-13 Philips Intellectual Property & Standards GmbH System for and method of heating objects in a production line
US8222574B2 (en) 2007-01-15 2012-07-17 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
JP2009099925A (ja) * 2007-09-27 2009-05-07 Tokyo Electron Ltd アニール装置
KR20110009187A (ko) * 2008-06-25 2011-01-27 도쿄엘렉트론가부시키가이샤 어닐링 장치
WO2009157484A1 (ja) * 2008-06-25 2009-12-30 東京エレクトロン株式会社 アニール装置
US8404499B2 (en) * 2009-04-20 2013-03-26 Applied Materials, Inc. LED substrate processing
JP5107372B2 (ja) * 2010-02-04 2012-12-26 東京エレクトロン株式会社 熱処理装置、塗布現像処理システム、熱処理方法、塗布現像処理方法及びその熱処理方法又は塗布現像処理方法を実行させるためのプログラムを記録した記録媒体
US8537874B2 (en) * 2010-10-28 2013-09-17 Flir Systems, Inc. High fill-factor efficient vertical-cavity surface emitting laser arrays

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006059931A (ja) * 2004-08-18 2006-03-02 Canon Anelva Corp 急速加熱処理装置

Also Published As

Publication number Publication date
EP3095128A1 (en) 2016-11-23
CN106415810A (zh) 2017-02-15
WO2015107009A1 (en) 2015-07-23
RU2016133371A (ru) 2018-02-20
RU2669549C2 (ru) 2018-10-11
JP2017509143A (ja) 2017-03-30
EP3095128B1 (en) 2023-11-22
US10159113B2 (en) 2018-12-18
BR112016016264A2 (pt) 2017-08-08
RU2016133371A3 (zh) 2018-06-04
JP6461168B2 (ja) 2019-01-30
US20160381732A1 (en) 2016-12-29

Similar Documents

Publication Publication Date Title
CN106415810B (zh) 包括半导体光源的加热系统
CN102405513B (zh) Led基板处理
JP6840138B2 (ja) 処理のためのウエハ加熱用ダイオードレーザー
TWI575635B (zh) 用於快速熱處理的裝置及方法
KR100976649B1 (ko) 제어식 어닐링 방법
CN106641888B (zh) 用于测试光电器件的方法及装置
KR20160003847A (ko) 웨이퍼 처리 시스템에서의 저온 측정을 위한 장치 및 방법
US9842753B2 (en) Absorbing lamphead face
US9388967B2 (en) Edge weighted spacing of LEDs for improved uniformity range
EP2244279B1 (en) Multi-stage optical homogenization
US20160075075A1 (en) Heating system for pet-preforms
US9033555B2 (en) Wrap-around window for lighting module
TW202004951A (zh) 加熱器塊以及熱處理設備和方法
WO2023085598A1 (ko) 기판 처리 장치 및 기판 처리 방법
US11606844B2 (en) Optical heating device
CN118266064A (zh) 处理基底的装置以及方法
PL216991B1 (pl) Emiter obrazów w podczerwieni

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20200629

Address after: Ulm

Patentee after: Tongkuai optoelectronic device Co.,Ltd.

Address before: Eindhoven, Netherlands

Patentee before: Royal Philips Co.,Ltd.