JP2007134718A - 半導体デバイスでの2応力記憶技術 - Google Patents

半導体デバイスでの2応力記憶技術 Download PDF

Info

Publication number
JP2007134718A
JP2007134718A JP2006303401A JP2006303401A JP2007134718A JP 2007134718 A JP2007134718 A JP 2007134718A JP 2006303401 A JP2006303401 A JP 2006303401A JP 2006303401 A JP2006303401 A JP 2006303401A JP 2007134718 A JP2007134718 A JP 2007134718A
Authority
JP
Japan
Prior art keywords
stress
layer
pfet
semiconductor device
nfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006303401A
Other languages
English (en)
Inventor
Sunfei Fang
サンフェイ・ファン
Jun Jung Kim
ジュン・ジャン・キム
Zhijiong Luo
ジジョン・ルオ
Hung Y Ng
ハン・ワイ・ン
Nivo Rovedo
ニボ・ロベド
Young Way Teh
ヤン・ウェイ・テー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
GlobalFoundries Singapore Pte Ltd
International Business Machines Corp
Original Assignee
Samsung Electronics Co Ltd
Chartered Semiconductor Manufacturing Pte Ltd
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd, Chartered Semiconductor Manufacturing Pte Ltd, International Business Machines Corp filed Critical Samsung Electronics Co Ltd
Publication of JP2007134718A publication Critical patent/JP2007134718A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】nFETとpFETの両方のためのSMT(応力記憶技術)を提供すること。
【解決手段】nFET104の上に引っ張り応力層120を、pFET106の上に圧縮応力層122を形成すること、半導体デバイスに応力を記憶するようにアニール150すること、および応力層を除去することを含む。圧縮応力層122は、高密度プラズマ(HDP)堆積方法を使用して堆積された高応力窒化珪素を含むことができる。アニールするステップは、ほぼ400〜1200℃の温度を使用することを含むことができる。高応力圧縮性窒化珪素またはアニール温度あるいはその両方によって、圧縮応力記憶がpFET106に維持されることが保証される。
【選択図】図6

Description

本発明は、一般的に、応力記憶技術に関し、より詳細には、半導体デバイスでの2応力記憶技術を実現する方法および関連した構造に関する。
電界効果トランジスタ(FET)に応力を加えると、その性能が改善されることが知られている。縦方向(すなわち、電流の流れの方向)に加えられたとき、引っ張り応力は電子移動度(または、nチャネルFET(nFET)駆動電流)を高めることが知られているが、一方で、圧縮応力は正孔移動度(または、pチャネルFET(pFET)駆動電流)を高めることが知られている。
この応力を与える1つの方法は、応力記憶技術(SMT)と呼ばれ、これは、チャネル領域の上に真性(intrinsically)応力材料(例えば、窒化珪素)を付けること、および、例えばゲート・ポリシリコンまたは拡散領域に応力を記憶させるようにアニールすることを含む。それから、応力材料は除去される。しかし、応力は残っていて、電子または正孔移動度を改善し、これによって全体的な性能が改善される。アニールは、一般に、ドーパント活性化アニールの一部として行われる。
SMTの1つの問題は、n型電界効果トランジスタ(nFET)にだけ適用できることである。特に、圧縮応力窒化珪素層をpFETの上に形成して圧縮応力を与えることができるが、この応力は、後の必要なドーパント活性化アニールによって大部分が除去される。すなわち、圧縮応力の大部分はpFETに記憶されない。
したがって、当技術分野ではnFETとpFETの両方のためのSMTを実現する必要がある。
nFETおよびpFETを含んだ半導体デバイスに2応力記憶技術を実現する方法および関連した構造が開示される。本方法の一実施形態は、nFETの上に引っ張り応力層を、pFETの上に圧縮応力層を形成すること、半導体デバイスに応力を記憶するようにアニールすること、および応力層を除去することを含む。圧縮応力層は、高密度プラズマ(HDP)堆積方法を使用して堆積された高応力窒化珪素を含むことができる。アニールするステップは、ほぼ400〜1200℃の温度を使用することを含むことができる。高応力圧縮性窒化珪素またはアニール温度あるいはその両方によって、圧縮応力記憶がpFETで維持されることが保証される。
本発明の第1の態様は、nFETおよびpFETを含んだ半導体デバイスに2応力記憶技術を実現する方法を提供し、この方法は、半導体デバイスの上に第1の応力層を形成するステップと、第1の応力層の上にエッチング停止層を形成するステップと、nFETおよびpFETのうちの第1のものの上の第1の応力層およびエッチング停止層を除去するステップと、半導体デバイスの上に第2の応力層を形成するステップとを含み、pFETの上の応力層は圧縮応力窒化珪素を含むものであり、さらに、半導体デバイスに応力を記憶するようにアニールするステップと、第1および第2の応力層、およびエッチング停止層を除去するステップとを含む。
本発明の第2の態様は、nFETおよびpFETを含んだ半導体デバイスに2応力記憶技術を実現する方法を提供し、この方法は、nFETの上に引っ張り応力層を、pFETの上に圧縮応力層を形成するステップであって、圧縮応力層が、後のアニール中に少なくとも部分圧縮応力を維持する高応力膜を含むものであるステップと、半導体デバイスに応力を記憶するようにアニールするステップと、圧縮応力層および引っ張り応力層を除去するステップとを含む。
本発明の第3の態様は、その一部に記憶された引っ張り応力を有するnFETと、その一部に記憶された圧縮応力を有するpFETとを備える半導体デバイスを提供する。
本発明の例示の態様は、本明細書で説明した問題および、当業者は気が付く、述べなかった他の問題を解決するように設計されている。
本発明のこれらおよび他の特徴は、添付の図面に関連して解釈される本発明の様々な態様についての以下の詳細な説明からいっそう容易に理解されるだろう。添付の図面は、本発明の様々な実施形態を図示する。
本発明の図面は、一定の比率に応じていないことに留意されたい。図面は、本発明の一般的な態様だけを図示する意図であり、したがって、本発明の範囲を制限するものとして考えるべきでない。図面では、同様な番号付けは図面間で同様な要素を表す。
図面に注意を向けて、図1は、半導体デバイス100に2応力記憶技術(SMT)を実現する方法の一実施形態のための準備構造を示す。準備構造は、n型電界効果トランジスタ(nFET)104およびp型電界効果トランジスタ(pFET)106がその上に形成されている基板102を含む。図示のように、半導体デバイス100は、従来の浅いトレンチ分離(STI)110の形成、ウェル打込み、ゲート誘電体112の形成、ゲート導体114の形成、および拡散のための延長/ハロー/ソース/ドレイン打込み116のような初期処理を終えている。
図2を参照して、本方法の一実施形態では、第1のステップは、nFET104の上に引っ張り応力層120を、そしてpFET106の上に圧縮応力層122を形成することを含む。引っ張り応力層120と圧縮応力層122の両方は真性応力窒化珪素(Si)を含むことができる。しかし、好ましい一実施形態では、圧縮応力層122は、高密度プラズマ(HDP)窒化珪素(Si)、すなわち高密度プラズマ堆積プロセスを使用して形成された窒化珪素を含む。好ましい一実施形態では、圧縮応力層を形成するステップは、次の条件を使用して窒化珪素のHDP堆積を行うことを含む。すなわち、ほぼ50mTorrの圧力、ほぼ200標準立方センチメートル(sccm)のアルゴン(Ar)、ほぼ100sccmのシラン(SiH)、ほぼ300sccmの窒素(N)、ほぼ0〜1500Wの無線周波(RF)バイアス・パワーおよびほぼ2000W〜4500WのRF電源パワー。したがって、圧縮応力層122は、2SMTの実現を可能にする高応力窒化珪素を含む。というのは、以下で説明するが、窒化珪素は、後のアニールするステップ中にpFET106の部分に応力が記憶されるように、圧縮応力(全部の、または部分の)の維持を可能にするからである。
この形成するステップは多くのやり方で行うことができるが、そのうちの2つの例示実施形態だけを本明細書で説明する。図3〜6は、2つの例示の実施形態を示す。第1の随意の準備ステップは、図3に示すように、例えば二酸化珪素(SiO)のエッチング停止層118を形成することを含む(図3だけに透視で示す)。次に、図3に示す第1のサブステップは、半導体デバイス100の上に第1の応力層130を形成することを含む。以下で説明するように、第1の応力層130は、引っ張り応力層120(図2)か圧縮応力層122(図2)のどちらであってもよい。しかし、図3に示すように、第1の応力層130は、真性引っ張り応力窒化珪素を含む。同じく図3に示す第2のサブステップは、第1の応力層130の上にエッチング停止層132を形成することを含む。エッチング停止層132は、二酸化珪素(SiO)のような現在知られているまたは後に開発されるどんなエッチング停止材料も含むことができる。次に、また図3に示すように、FETの一方を露出させるために、nFET104およびpFET106のうちの第1のもの(図示のようにpFET106)の上の第1の応力層130およびエッチング停止層132が除去される。エッチング138は、パターン形成されたマスク136(透視で示す)および使用された材料に対しての任意の従来ドライ・エッチング化学の使用を含むことができる。図4は結果として得られた構造を示し、露出されたpFET106を含む。
次に、図5に示すように、第2の応力層140が半導体デバイス100の上に形成される。図示のように、第2の応力層140はpFET106の上に形成され、したがって、上述の高密度圧縮応力窒化珪素を含む。他の一実施形態では、次のステップは、以下で説明するが、アニールするステップより前にnFET104の上の第2の応力層140を除去することを含むことができる。除去するステップは、パターン形成されたマスク146(透視で示す)および使用された材料に対する任意の従来ドライ・エッチング144の使用を含むことができる。図6は、結果として得られた構造を示す。第2の応力層140が除去されない場合、第1の応力層130で与えられた応力のいくらかの低下が存在する可能性があるが、この低下は最小限であることを認めるべきである。
他の実施形態では、上述のステップを切り換えることができる。すなわち、形成するステップは、半導体デバイス100の上に圧縮応力層122を形成すること、圧縮応力層の上にエッチング停止層132を形成すること、nFET104の上の圧縮応力層122およびエッチング停止層132を除去すること、および、半導体デバイス100の上に引っ張り応力層120を形成することを含むことができる。上述の実施形態のように、アニールするステップより前にpFET106の上の引っ張り応力層120を随意に除去することができ、これは以下で説明する。引っ張り応力層120が除去されない場合、圧縮応力層122で与えられた応力のいくらかの低下が存在する可能性があるが、この低下は最小限であることを認めるべきである。
また、図6は、本方法の一実施形態に従った第2のステップを示し、このステップは、半導体デバイス100に応力を記憶するためのアニール150を含む。アニール150は、好ましくは、ほぼ400℃以上でほぼ1200℃以下の温度を使用することを含む。アニール温度は、デバイス100が応力層120、122からの応力を記憶することができ、かつpFET106の部分にかかる圧縮応力を失わないように最適化される。これによって、pFETにかかる中性または引っ張り応力がもたらされる。例えば、ほぼ−1.8GPa/cmの応力の状態で形成された1つの従来プラズマ増速化学蒸着(PECVD)圧縮性窒化珪素は、アニール後にほぼ0.04GPa/cmに、すなわち引っ張り応力に低下する。対照的に、一実施形態では、本発明に従ったHDP圧縮応力窒化珪素は、ほぼ−3.0GPa/cmの状態で形成され、これは−100MPa/cmもの応力をもたらし、したがって圧縮応力を維持する。一実施形態では、圧縮応力は、ほぼ−1GPa/cmの範囲であってもよい。
図7は、応力層120、122およびエッチング停止層132を除去することを含んだ第3のステップを示す。この除去するステップ148は、ウェット・エッチング、ドライ・エッチング、またはそれらの組合せを含むことができる。例えば、エッチング停止層132を除去するためのウェットまたはドライ・エッチング、それから窒化珪素応力層を除去するための熱燐酸を使用するウェット剥離。図7は、また、その一部例えばゲート導体214または拡散領域216あるいはその両方に記憶された引っ張り応力260を有するnFET204と、その一部例えばゲート導体220または拡散領域222あるいはその両方に記憶された圧縮応力262を有するpFET206とを含んだ、本発明に従った半導体デバイス200を示す。
本発明の様々な態様についての前記の説明は、例示と説明の目的のために示した。網羅的である意図でなく、または本発明を開示されたまさにその形に限定する意図でなく、明らかに、多くの修正物および変形物が可能である。当業者に明らかである可能性のあるそのような修正物および変形物は、添付の特許請求の範囲で定義されるような本発明の範囲内に含まれる意図である。
本発明に従った方法の一実施形態のための準備の構造を示す図である。 本発明の一実施形態に従った方法を示す図である。 本発明の一実施形態に従った方法を示す図である。 本発明の一実施形態に従った方法を示す図である。 本発明の一実施形態に従った方法を示す図である。 本発明の一実施形態に従った方法を示す図である。 本発明の一実施形態に従った方法を示す図である。
符号の説明
100 半導体デバイス
104 nFET
106 pFET
118 エッチング停止層
120 引っ張り応力層
122 圧縮応力層
130 第1の応力層
140 第2の応力層
132 エッチング停止層
144 ドライ・エッチング
150 アニール
200 半導体デバイス
204 nFET
206 pFET
260 引っ張り応力
262 圧縮応力

Claims (13)

  1. nFETおよびpFETを含んだ半導体デバイスに2つの応力を記憶させるための方法であって、
    前記半導体デバイスの上に第1の応力層を形成するステップと、
    前記第1の応力層の上にエッチング停止層を形成するステップと、
    前記nFETおよび前記pFETのうちの一方の上の前記第1の応力層および前記エッチング停止層を除去するステップと、
    前記半導体デバイスの上に第2の応力層を形成するステップとを含み、前記pFETの上の応力層が圧縮応力窒化珪素を含むものであり、さらに、
    前記半導体デバイスに応力を記憶するようにアニールするステップと、
    前記第1および第2の応力層、および前記エッチング停止層を除去するステップとを含む方法。
  2. 前記圧縮応力窒化珪素が、高密度プラズマ(HDP)窒化珪素を含む、請求項1に記載の方法。
  3. 前記第1の応力層を形成するステップより前に追加のエッチング停止層を堆積するステップをさらに含む、請求項1に記載の方法。
  4. 前記圧縮応力窒化珪素が、前記アニールするステップの後で−100MPa/cmの応力を有している、請求項1に記載の方法。
  5. 前記アニールするステップが、400℃以上で1200℃以下の温度を使用することを含む、請求項1に記載の方法。
  6. 前記アニールするステップより前に前記nFETおよび前記pFETのうちの他方の上の前記第2の応力層を除去するステップをさらに含む、請求項1に記載の方法。
  7. 前記nFETおよび前記pFETのうちの前記一方が前記nFETであり、前記第1の応力層が、真性引っ張り応力材料を含み、そして前記第2の応力層が、前記圧縮応力窒化珪素を含む、請求項1に記載の方法。
  8. 各応力層が、窒化珪素を含む、請求項1に記載の方法。
  9. 前記エッチング停止層が、二酸化珪素を含む、請求項1に記載の方法。
  10. nFETおよびpFETを含んだ半導体デバイスに2つの応力を記憶させるための方法であって、
    前記nFETの上に引っ張り応力層を、前記pFETの上に圧縮応力層を形成するステップであって、前記圧縮応力層が、後のアニール中に少なくとも部分圧縮応力を維持する高応力膜を含むものであるステップと、
    前記半導体デバイスに応力を記憶するようにアニールするステップと、
    前記圧縮応力層および引っ張り応力層を除去するステップとを含む方法。
  11. 前記圧縮応力層を形成するステップが、次の条件、50mTorrの圧力、200標準立方センチメートル(sccm)のアルゴン(Ar)、100sccmのシラン(SiH)、300sccmの窒素(N)、0〜1500Wの無線周波(RF)バイアス・パワーおよび2000W〜4500WのRF電源パワーを使用して、窒化珪素の高密度プラズマ(HDP)堆積を行うことを含む、請求項10に記載の方法。
  12. その一部に記憶された引っ張り応力を有するnFETと、
    その一部に記憶された圧縮応力を有するpFETとを備える半導体デバイス。
  13. 前記pFETが、圧縮性高密度プラズマ(HDP)窒化珪素層において記憶された圧縮応力を有する、請求項12に記載の半導体デバイス。
JP2006303401A 2005-11-10 2006-11-08 半導体デバイスでの2応力記憶技術 Pending JP2007134718A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/164,114 US7785950B2 (en) 2005-11-10 2005-11-10 Dual stress memory technique method and related structure

Publications (1)

Publication Number Publication Date
JP2007134718A true JP2007134718A (ja) 2007-05-31

Family

ID=38004289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006303401A Pending JP2007134718A (ja) 2005-11-10 2006-11-08 半導体デバイスでの2応力記憶技術

Country Status (5)

Country Link
US (1) US7785950B2 (ja)
JP (1) JP2007134718A (ja)
KR (1) KR100735533B1 (ja)
CN (1) CN100570860C (ja)
SG (3) SG151256A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009538002A (ja) * 2006-05-19 2009-10-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 圧縮窒化物膜及びその製造方法
JP2010232662A (ja) * 2009-03-27 2010-10-14 Internatl Business Mach Corp <Ibm> 半導体デバイス内の歪みを正規化する方法及び歪みが正規化された半導体デバイス
JP2012504345A (ja) * 2008-09-29 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高応力下にあるチャネルを有するmosデバイスを製造するための方法
US8242027B2 (en) 2008-02-25 2012-08-14 Renesas Electronics Corporation Semiconductor device manufacturing method
JP5276437B2 (ja) * 2006-05-31 2013-08-28 東京エレクトロン株式会社 窒化珪素膜の形成方法、半導体装置の製造方法、およびプラズマcvd装置

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7332447B2 (en) * 2005-11-24 2008-02-19 United Microelectronics Corp. Method of forming a contact
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
JP4899085B2 (ja) * 2006-03-03 2012-03-21 富士通セミコンダクター株式会社 半導体装置およびその製造方法
JP5017958B2 (ja) * 2006-08-08 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7632729B2 (en) * 2006-09-27 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device performance enhancement
US7795644B2 (en) * 2007-01-04 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with stress memory effect and fabrication methods thereof
US7759207B2 (en) * 2007-03-21 2010-07-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress memorization transfer
US20080237734A1 (en) * 2007-03-29 2008-10-02 United Microelectronics Corp. Complementary metal-oxide-semiconductor transistor and method of fabricating the same
US7611939B2 (en) * 2007-05-07 2009-11-03 Texas Instruments Incorporated Semiconductor device manufactured using a laminated stress layer
US7834399B2 (en) 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US7741168B2 (en) * 2007-07-25 2010-06-22 Sematech, Inc. Systems and methods for fabricating nanometric-scale semiconductor devices with dual-stress layers using double-stress oxide/nitride stacks
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
US8871587B2 (en) * 2008-07-21 2014-10-28 Texas Instruments Incorporated Complementary stress memorization technique layer method
US8969969B2 (en) 2009-03-20 2015-03-03 International Business Machines Corporation High threshold voltage NMOS transistors for low power IC technology
US8039349B2 (en) * 2009-07-30 2011-10-18 Globalfoundries Inc. Methods for fabricating non-planar semiconductor devices having stress memory
CN102054769B (zh) * 2009-10-29 2013-03-27 中芯国际集成电路制造(上海)有限公司 互补型金属氧化物半导体结构的形成方法
CN102194749B (zh) * 2010-03-11 2013-06-12 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
KR20120023968A (ko) 2010-09-03 2012-03-14 삼성전자주식회사 트랜지스터 형성 방법, 상보형 트랜지스터 형성 방법 및 이를 이용한 반도체 소자 제조 방법
CN102446761B (zh) * 2010-09-30 2015-07-15 中国科学院微电子研究所 半导体结构的制造方法
US9202913B2 (en) 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
CN102456626B (zh) * 2010-10-20 2013-12-18 中芯国际集成电路制造(上海)有限公司 基于双应力薄膜技术的半导体器件的制作方法
CN102468160A (zh) * 2010-11-03 2012-05-23 中芯国际集成电路制造(上海)有限公司 利用应力记忆技术提高nfet窄沟道效应的方法
US8216928B1 (en) 2011-01-26 2012-07-10 GlobalFoundries, Inc. Methods for fabricating semiconductor devices having local contacts
CN102420119B (zh) * 2011-04-29 2013-06-26 上海华力微电子有限公司 一种增强应力记忆效应的栅多晶硅刻蚀方法
KR20120136672A (ko) 2011-06-09 2012-12-20 삼성전자주식회사 반도체 소자의 제조 방법
CN102456565A (zh) * 2011-08-29 2012-05-16 上海华力微电子有限公司 一种预防在双应力氮化硅工艺中光阻失效的方法
CN102446722A (zh) * 2011-08-29 2012-05-09 上海华力微电子有限公司 一种预防在双应力氮化硅工艺中光阻失效的方法
CN103094108B (zh) * 2011-10-29 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103183307B (zh) * 2011-12-28 2016-04-20 中国科学院微电子研究所 张应力LPCVD SiO2膜的制造方法
CN102709178B (zh) * 2012-05-22 2015-08-19 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN103474350A (zh) * 2012-06-06 2013-12-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN103839800A (zh) * 2012-11-20 2014-06-04 中国科学院微电子研究所 氮化硅制造方法
CN103107236B (zh) * 2012-12-06 2016-05-04 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103107239B (zh) * 2012-12-06 2016-08-31 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103107234B (zh) * 2012-12-06 2016-03-23 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103700631A (zh) * 2013-11-29 2014-04-02 上海华力微电子有限公司 无结mos fet器件的制备方法
US9368627B2 (en) 2014-09-11 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
CN104733391A (zh) * 2015-03-31 2015-06-24 上海华力微电子有限公司 半导体器件的制造方法
KR102426960B1 (ko) * 2015-10-15 2022-08-01 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
US9941211B1 (en) 2017-03-24 2018-04-10 International Business Machines Corporation Reducing metallic interconnect resistivity through application of mechanical strain
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6881665B1 (en) * 2000-08-09 2005-04-19 Advanced Micro Devices, Inc. Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
KR100767950B1 (ko) * 2000-11-22 2007-10-18 가부시키가이샤 히타치세이사쿠쇼 반도체 장치 및 그 제조 방법
US7312485B2 (en) 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2005504436A (ja) 2001-09-21 2005-02-10 アンバーウェーブ システムズ コーポレイション 画定された不純物勾配を有するひずみ材料層を使用する半導体構造、およびその構造を製作するための方法。
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6717216B1 (en) 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US6982196B2 (en) * 2003-11-04 2006-01-03 International Business Machines Corporation Oxidation method for altering a film structure and CMOS transistor structure formed therewith
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20070075360A1 (en) * 2005-09-30 2007-04-05 Alpha &Omega Semiconductor, Ltd. Cobalt silicon contact barrier metal process for high density semiconductor power devices
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009538002A (ja) * 2006-05-19 2009-10-29 インターナショナル・ビジネス・マシーンズ・コーポレーション 圧縮窒化物膜及びその製造方法
JP5276437B2 (ja) * 2006-05-31 2013-08-28 東京エレクトロン株式会社 窒化珪素膜の形成方法、半導体装置の製造方法、およびプラズマcvd装置
US8242027B2 (en) 2008-02-25 2012-08-14 Renesas Electronics Corporation Semiconductor device manufacturing method
JP2012504345A (ja) * 2008-09-29 2012-02-16 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド 高応力下にあるチャネルを有するmosデバイスを製造するための方法
JP2010232662A (ja) * 2009-03-27 2010-10-14 Internatl Business Mach Corp <Ibm> 半導体デバイス内の歪みを正規化する方法及び歪みが正規化された半導体デバイス

Also Published As

Publication number Publication date
CN1971882A (zh) 2007-05-30
SG151256A1 (en) 2009-04-30
US7785950B2 (en) 2010-08-31
SG132585A1 (en) 2007-06-28
KR20070050341A (ko) 2007-05-15
KR100735533B1 (ko) 2007-07-04
SG132607A1 (en) 2007-06-28
US20070105299A1 (en) 2007-05-10
CN100570860C (zh) 2009-12-16

Similar Documents

Publication Publication Date Title
JP2007134718A (ja) 半導体デバイスでの2応力記憶技術
KR101063360B1 (ko) 게이트 및 채널에 변형을 유도하여 cmos 트랜지스터성능을 향상시키는 방법
JP4937253B2 (ja) コンタクト絶縁層および異なる特性を有するシリサイド領域を形成するための技法
US7566606B2 (en) Methods of fabricating semiconductor devices having strained dual channel layers
US7214972B2 (en) Strained silicon-channel MOSFET using a damascene gate process
US20060281265A1 (en) Selective nitridation of gate oxides
US7977194B2 (en) Method for fabricating semiconductor device with fully silicided gate electrode
US20080286916A1 (en) Methods of stressing transistor channel with replaced gate
JP5559639B2 (ja) 半導体装置およびその製造方法
JP2006507681A (ja) 歪みFinFETCMOSデバイス構造
US20070020828A1 (en) Method for manufacturing semiconductor apparatus and the semiconductor apparatus
KR101197464B1 (ko) 반도체 장치의 제조 방법
JP5092340B2 (ja) 半導体装置及びその製造方法
JP4558841B2 (ja) 簡単化されたデュアル応力ライナ構成を用いる向上した性能をもつ半導体構造体
US20070158753A1 (en) Semiconductor device structure having low and high performance devices of same conductive type on same substrate
US7534674B2 (en) Method of making a semiconductor device with a stressor
JP2007005627A (ja) 半導体装置の製造方法
JP2008053638A (ja) 半導体素子及びその製造方法
JP2007165532A (ja) 半導体装置の製造方法
EP2238615B1 (en) A method for fabricating a dual-orientation group-iv semiconductor substrate
KR20100078341A (ko) 반도체 소자의 제조 방법
JP2007142104A (ja) 半導体装置およびその製造方法
JP5194743B2 (ja) 半導体装置の製造方法