KR20070050341A - 듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조 - Google Patents

듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조 Download PDF

Info

Publication number
KR20070050341A
KR20070050341A KR1020060074829A KR20060074829A KR20070050341A KR 20070050341 A KR20070050341 A KR 20070050341A KR 1020060074829 A KR1020060074829 A KR 1020060074829A KR 20060074829 A KR20060074829 A KR 20060074829A KR 20070050341 A KR20070050341 A KR 20070050341A
Authority
KR
South Korea
Prior art keywords
stress
film
type transistor
compressive stress
semiconductor device
Prior art date
Application number
KR1020060074829A
Other languages
English (en)
Other versions
KR100735533B1 (ko
Inventor
김전중
선페이 팡
쮜징 로어
헝 와이. 엔지
니보 로베도
영 웨이 테
Original Assignee
삼성전자주식회사
인터내셔널 비즈니스 머신즈 코오퍼레이션
챠터드 세미컨덕터 매뉴팩춰링 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사, 인터내셔널 비즈니스 머신즈 코오퍼레이션, 챠터드 세미컨덕터 매뉴팩춰링 리미티드 filed Critical 삼성전자주식회사
Publication of KR20070050341A publication Critical patent/KR20070050341A/ko
Application granted granted Critical
Publication of KR100735533B1 publication Critical patent/KR100735533B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823864Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Non-Volatile Memory (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Semiconductor Memories (AREA)

Abstract

N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자에 듀얼 스트레스 기억 기술을 제공하는 방법과 그에 의한 구조가 개시되어 있다. 방법의 일 실시예는 N형 트랜지스터 전면에 인장 스트레스막을 형성하고 P형 트랜지스터 전면에 압축 스트레스막을 형성하고, 반도체 소자에 스트레스를 기억시키기 위한 열공정을 진행하고, 스트레스막을 제거하는 것을 포함한다. 압축 스트레스막은 고밀도 플라즈마(High Density Plasma; HDP) 증착 공정에 의해 형성된 높은 스트레스의 실리콘 질화막을 포함한다. 열공정은 약 400-1,200℃의 온도에서 진행될 수 있다. 높은 스트레스의 압축 실리콘 질화막 및/또는 열공정 온도는 P형 트랜지스터에 압축 스트레스가 기억되어 유지될 수 있도록 한다.
듀얼 스트레스 기억 기술, 압축 스트레스막

Description

듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조{Method of providing a dual stress memory technique and related structure}
도 1은 본 발명의 일 실시예에 따른 듀얼 스트레스 기억 기술 제공 방법을 위한 예비적 구조를 나타낸 도면이다.
도 2 내지 도 7은 본 발명의 일 실시예에 따른 듀얼 스트레스 기억 기술 제공 방법에 대한 도면이다.
본 발명은 스트레스 기억 기술에 관한 것으로, 더욱 상세하게는 듀얼 스트레스 기억 기술을 제공하는 방법 및 상관된 구조에 관한 것이다.
전계 효과 트랜지스터에 스트레스를 적용하면 트랜지스터의 특성을 향상시킬 수 있다. 세로 방향, 즉 전류가 흐르는 방향으로 적용하면, 인장 스트레스는 전자 이동도(N형 트랜지스터의 드라이브 전류)를 향상시키며, 압축 스트레스는 정공 이동도(P형 트랜지스터의 드라이브 전류)를 향상시킨다고 알려져 있다.
이러한 스트레스를 제공하는 방법을 스트레스 기억 기술(Stress Memory Technique; SMT)이라 한다. 스트레스 기억 기술은 본질적으로 스트레스를 가진 물 질, 예를 들어 실리콘 질화막 등을 채널 영역에 형성하고, 열공정을 수행하여 게이트 폴리실리콘 또는 확산 영역 등에 스트레스를 기억시킨다. 이어서, 스트레스를 가진 물질은 제거한다. 그러나, 스트레스를 가진 물질을 제거하더라도, 스트레스는 트랜지스터에 남아 있게 된다. 따라서, 전자 또는 정공의 이동도를 향상시켜, 전체적인 트랜지스터의 특성을 향상시킨다. 열공정은 일반적으로 도펀트 활성 어닐링(dopant activation anneal)으로 제공된다.
스트레스 기억 기술의 하나의 문제점은 N형 트랜지스터에만 적용될 수 있다는 것이다. 구체적으로, 압축 스트레스를 주기 위하여 P형 트랜지스터 전면에 압축 스트레스를 가진 실리콘 질화막을 형성하면, 후속 공정인 열공정에서 스트레스가 대부분 제거된다. 즉, 압축 스트레스가 P형 트랜지스터에 거의 기억되지 않는다.
따라서, N형 트랜지스터와 P형 트랜지스터 모두에 스트레스를 기억시키는 듀얼 스트레스 기억 기술을 제공하는 것이 필요하다.
본 발명이 이루고자 하는 기술적 과제는, 듀얼 스트레스 기억 기술을 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는, 듀얼 스트레스 기억 기술과 상관된 구조를 제공하는 데 있다.
본 발명의 기술적 과제들은 이상에서 언급한 기술적 과제들로 제한되지 않으며, 언급되지 않은 또 다른 기술적 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자에 듀얼 스트레스 기억 기술을 제공하는 방법과 그에 의한 구조가 개시되어 있다.
방법의 일 실시예에는 N형 트랜지스터 전면에 인장 스트레스막을 형성하고 P형 트랜지스터 전면에 압축 스트레스막을 형성하고, 반도체 소자에 스트레스를 기억시키기 위한 열공정을 진행하고, 스트레스막을 제거하는 것을 포함한다. 압축 스트레스막은 고밀도 플라즈마(High Density Plasma; HDP) 증착 공정에 의해 형성된 높은 스트레스의 실리콘 질화막을 포함한다. 열공정은 약 400-1,200℃의 온도에서 진행될 수 있다. 높은 스트레스의 압축 실리콘 질화막 및/또는 열공정 온도는 P형 트랜지스터에 압축 스트레스가 기억되어 유지될 수 있도록 한다.
본 발명의 일 태양인 듀얼 스트레스 기억 기술 제공 방법은 N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자를 제공하고, 상기 반도체 소자 전면에 제1 스트레스막을 형성하고, 상기 제1 스트레스막 상에 제1 식각정지막을 형성하고, N형 트랜지스터와 P형 트랜지스터 중 하나의 트랜지스터 상의 제1 스트레스막과 식각정지막을 제거하고, 상기 반도체 소자 상에 제2 스트레스막을 형성하되, P형 트랜지스터 상에 형성된 스트레스막은 압축 스트레스 실리콘 질화막을 포함하도록 하고, 열공정을 수행하여 반도체 소자가 스트레스를 기억하도록 하고, 제1 및 제2 스트레스막과 식각정지막을 제거하는 것을 포함한다.
본 발명의 다른 태양인 듀얼 스트레스 기억 기술을 제공하는 방법은 N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자를 제공하고, N형 트랜지스터 상에 인장 스트레스막을 형성하고, P형 트랜지스터 상에는 압축 스트레스막을 형성하되, 상기 압축 스트레스막은 이후의 열공정을 진행하는 동안 적어도 일부분은 압축 스트레스를 유지하는 고스트레스((high stress) 필름을 포함하고, 열공정을 진행하여 상기 반도체 소자에 스트레스를 기억시키고, 상기 압축 스트레스막 및 인장 스트레스막을 제거하는 것을 포함한다.
본 발명의 또 다른 태양인 반도체 소자는 일부분에 인장 스트레스가 기억된 N형 트랜지스터 및 일부분에 압축 스트레스가 기억된 P형 트랜지스터를 포함한다.
본 발명의 기타 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예들을 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다.
도 1은 본 발명의 일 실시예에 따른 듀얼 스트레스 기억 기술(SMT)을 제공하는 방법을 위한 반도체 소자(100)의 예비적 구조를 도시하고 있다. 예비적 구조는 N형 트랜지스터(104)와 P형 트랜지스터(106)가 형성된 기판(102)을 포함한다. 도시된 바와 같이, 반도체 소자(100)에는 STI(110) 형성, 웰 이온 주입, 게이트 절연막(112) 형성, 게이트 도전체(114) 형성, 확산 영역(116)을 형성하기 위한 확산/할 로이온 주입/소스/드레인 이온주입 등의 완전한 초기 공정이 진행되어 있다.
도 2를 참조하면, 본 발명의 일 실시예에 따른 듀얼 스트레스 기억 기술 제공 방법의 첫번째 단계는 N형 트랜지스터(104) 전면에 인장 스트레스막(120)을 형성하고, P형 트랜지스터(106) 전면에 압축 스트레스막(122)을 형성하는 것을 포함한다. 인장 스트레스막(120)과 압축 스트레스막(122)은 본질적으로 스트레스를 가진 실리콘 질화막(Si3N4)을 포함할 수 있다. 그러나, 바람직한 일 실시예에서, 압축 스트레스막(122)은 고밀도 플라즈마 증착 공정으로 형성된 HDP(High Density Plasma) 실리콘 질화막(Si3N4)을 포함한다. 바람직한 일 실시예에서 압축 스트레스막(122)을 형성하는 단계는 약 50mTorr의 압력, 약 0-1,500W의 RF 바이어스 전원, 약 2,000-4,500W의 RF 소스 전원에서 약 200 sccm의 Ar, 약 100 sccm의 SiH4, 약 300 sccm의 N2를 공급하고, 실리콘 질화막 HDP 증착을 수행하는 것을 포함한다. 따라서, 압축 스트레스막(122)은 높은 스트레스의 실리콘 질화막을 포함하게 되고, 후술하는 후속 공정인 열공정을 진행하는 동안 전체적으로 또는 부분적으로 압축 스트레스를 유지시킴으로써, 스트레스가 P형 트랜지스터(106)의 일부분에 스트레스가 기억되기 때문에 듀얼 스트레스 기억 기술를 제공할 수 있다.
첫번째 단계인 인장 스트레스막(120) 및 압축 스트레스막(122)을 형성하는 단계는 다양한 공정으로 진행될 수 있으나, 여기에서는 예시적으로 2가지 실시예만 설명한다. 도 3 내지 도 6은 이에 대하여 도시하고 있다. 우선, 도 3에 도시된 바와 같이, 식각정지막(118)을 형성하는 것을 포함한다. 예를 들어, 식각정지막(118) 은 실리콘 이산화막(SiO2, 도 3에서 점선으로 도시)일 수 있다. 이어서, 도 3에 도시된 바와 같이, 제1 서브 스텝(a first sub step)은 반도체 소자(100) 전면에 제1 스트레스막(130)을 형성하는 것을 포함한다. 후술하는 설명에서 알 수 있는 바와 같이, 제1 스트레스막(130)은 인장 스트레스막(도 2의 120 참조) 또는 압축 스트레스막(도 2의 122 참조)일 수 있다. 그러나, 도 3에 도시된 제1 스트레스막(130)은 본질적으로 인장 스트레스를 가지는 실리콘 질화막을 포함한다. 이어서, 도 3에 도시된 바와 같이, 제2 서브 스텝은 제1 스트레스막(130) 전면에 식각정지막(132)을 형성하는 것을 포함한다. 식각정지막(132)은 현재 알려졌거나, 앞으로 발견될 수 있는 물질로써, 실리콘 이산화막(SiO2)과 같은 식각 정지 물질을 포함할 수 있다. 이어서, 도 3에 도시된 바와 같이, N형 트랜지스터(104)와 P형 트랜지스터(106) 중에서 하나의 도전형 트랜지스터(도시된 것은 P형 트랜지스터)의 전면에 덮여 있는 제1 스트레스막(130) 및 식각정지막(132)을 제거하여 하나의 도전형 트랜지스터를 노출시킨다. 식각 공정(138)은 패터닝된 마스크(136; 점선으로 표시)와 일반적인 건식 식각에 사용되는 화학 물질을 사용하여 진행된다. 도 4에는 P형 트랜지스터(106)를 노출시킨 구조가 도시되어 있다.
이어서, 도 5를 참조하면, 반도체 소자(100) 전면에 제2 스트레스막(140)을 형성한다. 도시된 바와 같이, P형 트랜지스터(106) 전면에 제2 스트레스막(140)이 형성되며, 제2 스트레스막(140)은 고밀도 압축 스트레스 실리콘 질화막을 포함한다. 여기서, 다음 단계인 열공정을 진행하기 전에, N형 트랜지스터(104) 전면의 제 2 스트레스막(140)을 제거하는 것을 더 포함할 수 있다. 제2 스트레스막(140)을 제거하는 것은 패터닝된 마스크(146; 점선으로 도시)와 일반적으로 사용되는 물질을 사용한 건식 식각(144)으로 진행된다. 도 6은 결과 구조를 도시하고 있다. 제2 스트레스막(140)이 제거되지 않은 영역에서는 제1 스트레스막(130)에 의한 스트레스가 다소 감소될 수 있다. 그러나, 그 감소되는 정도는 미미하다.
다른 실시예에서, 전술한 첫번째 단계는 다음과 같은 공정으로 진행될 수 있다. 그것은, 반도체 소자(100) 전면에 압축 스트레스막(122)을 형성하고, 압축 스트레스막(122) 전면에 식각정지막(132)을 형성하고, N형 트랜지스터(104) 전면의 압축 스트레스막(122) 및 식각정지막(132)을 제거하고, 반도체 소자(100) 전면에 인장 스트레스막(120)을 형성하는 것을 포함할 수 있다. 여기서, 다음 단계인 열공정 전을 진행하기 전에 P형 트랜지스터(106) 상의 인장 스트레스막(120)만 선택적으로 제거할 수 있다. 인장 스트레스막(120)이 제거되지 않은 영역에서는 압축 스트레스막(122)에 의한 스트레스가 다소 감소할 수 있다. 그러나 감소되는 정도는 미미하다.
도 6에는 본 발명의 일 실시예에 따른 듀얼 스트레스 기억 기술 제공 방법의 두번째 단계가 도시되어 있다. 두번째 단계는 반도체 소자(100)에 스트레스를 기억시키기 위해서 열공정(150)을 진행하는 것을 포함한다. 열공정(150)은 약 400-1,200℃의 온도에서 진행될 수 있다. 열공정 온도는 최적화되어, 반도체 소자(100)가 스트레스막(120, 122)으로부터 스트레스를 기억할 수 있고, P형 트랜지스터(106)의 일부분이 압축 스트레스를 잃지 않도록 한다. 예를 들어, 일반적인 PECVD방법으로 형성한 압축 실리콘 질화막의 스트레스가 약 -1.8 Gpa/cm2라면 열공정 후에는 인장 스트레스인 약 0.04Gpa/cm2가 된다. 반면에, 본 발명의 일 실시예에 따른 HDP 압축 스트레스 실리콘 질화막은 약 -3.0 Gpa/cm2의 스트레스를 갖도록 형성되는데, 열공정 후에는 약 -100 Mpa/cm2의 스트레스를 가지게 되고, 따라서, 압축 스트레스를 유지한다. 본 발명의 일 실시예에서는, 압축 스트레스는 약 -1 Gpa/cm2의 범위일 수 있다.
도 7을 참조하면, 세번째 단계는 스트레스막(120, 122) 및 식각정지막(132)을 제거하는 것을 포함한다. 제거 단계(148)는 습식 식각 또는 건식 식각으로 진행하거나, 습식 식각과 건식 식각 모두를 사용하여 진행할 수 있다. 예를 들어, 습식 또는 건식 식각을 진행하여 식각정지막(132)을 제거하고, 고온의 인산을 사용한 습식 스트립 공정을 진행하여 실리콘 질화막인 스트레스막을 제거할 수 있다. 또한, 도 7을 참조하면, 본 발명에 따른 반도체 소자(200)는 예를 들어 게이트 도전체(214) 및/또는 확산 영역(216)에 일부로 기억된 인장 스트레스(260)를 가지는 N형 트랜지스터(204)와, 예를 들어 게이트 도전체(220) 및/또는 확산 영역(222)에 일부로 기억된 압축 스트레스(262)를 가지는 P형 트랜지스터(106)를 포함한다.
이상 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.
상기한 바와 같은 듀얼 스트레스 기억 기술 제공 방법과 상관된 구조에 따르면 N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자에 듀얼 스트레스 기억 기술을 제공할 수 있다.

Claims (20)

  1. N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자를 제공하고,
    상기 반도체 소자 전면에 제1 스트레스막을 형성하고,
    상기 제1 스트레스막 상에 제1 식각정지막을 형성하고,
    N형 트랜지스터와 P형 트랜지스터 중 하나의 트랜지스터 상의 제1 스트레스막과 식각정지막을 제거하고,
    상기 반도체 소자 상에 제2 스트레스막을 형성하되, P형 트랜지스터 상에 형성된 스트레스막은 압축 스트레스 실리콘 질화막을 포함하도록 하고,
    열공정을 수행하여 반도체 소자가 스트레스를 기억하도록 하고,
    제1 및 제2 스트레스막과 식각정지막을 제거하는 것을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  2. 제 1항에 있어서,
    상기 압축 스트레스 실리콘 질화막은 HDP(High Density Plasma) 실리콘 질화막을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  3. 제 1항에 있어서,
    제1 스트레스막을 형성하기 전에 추가 식각정지막을 형성하는 것을 더 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  4. 제 1항에 있어서,
    상기 열공정을 수행한 후에 압축 스트레스 실리콘 질화막은 -100Mpa/cm2의 스트레스를 가지는 듀얼 스트레스 기억 기술 제공 방법.
  5. 제 1항에 있어서,
    상기 열공정은 약 400~1,200℃의 온도에서 수행되는 듀얼 스트레스 기억 기술 제공 방법.
  6. 제 1항에 있어서,
    상기 열공정을 수행하기 전에 N형 트랜지스터 또는 P형 트랜지스터 중 하나의 전면에 형성된 상기 제2 스트레스막을 제거하는 것을 더 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  7. 제 1항에 있어서,
    N형 트랜지스터와 P형 트랜지스터 중 하나의 트랜지스터는 N형 트랜지스터이고,
    상기 제1 스트레스막은 본질적으로 인장 스트레스를 가지는 물질을 포함하고, 상기 제2 스트레스막은 압축 스트레스 실리콘 질화막을 포함하는 듀얼 스트레 스 기억 기술 제공 방법.
  8. 제 1항에 있어서,
    각각의 스트레스막은 실리콘 질화막을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  9. 제 1항에 있어서,
    식각정지막은 실리콘 이산화물을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  10. N형 트랜지스터와 P형 트랜지스터를 포함하는 반도체 소자를 제공하고,
    N형 트랜지스터 상에 인장 스트레스막을 형성하고, P형 트랜지스터 상에는 압축 스트레스막을 형성하되, 상기 압축 스트레스막은 이후의 열공정을 진행하는 동안 적어도 일부분은 압축 스트레스를 유지하는 고스트레스((high stress) 필름을 포함하고,
    열공정을 진행하여 상기 반도체 소자에 스트레스를 기억시키고,
    상기 압축 스트레스막 및 인장 스트레스막을 제거하는 것을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  11. 제 10항에 있어서,
    상기 압축 스트레스막을 형성하는 것은 Ar은 약 200 sccm, SiH4는 약 100 sccm, N2는 약 300 sccm 공급하고, 압력은 50mTorr, RF 바이어스 파워는 0~1,500W, RF 소스 파워는 2,000~4,500W인 공정 조건에서 실리콘 산화막을 HDP로 증착하는 듀얼 스트레스 기억 기술 제공 방법.
  12. 제 10항에 있어서,
    상기 열공정은 약 400-1,200℃의 온도에서 수행되는 듀얼 스트레스 기억 기술 제공 방법.
  13. 제 10항에 있어서,
    상기 압축 스트레스막 및 상기 인장 스트레스막은 실리콘 질화막을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  14. 제 10항에 있어서,
    압축 스트레스막 및 인장 스트레스막을 형성하는 것은,
    반도체 소자 상에 인장 스트레스막을 형성하고,
    상기 인장 스트레스막 상에 식각정지막을 형성하고,
    P형 트랜지스터 상의 상기 인장 스트레스막 및 상기 식각정지막을 제거하고,
    상기 반도체 소자 상에 압축 스트레스막을 형성하는 것을 포함하는 듀얼 스 트레스 기억 기술 제공 방법.
  15. 제 14항에 있어서,
    열공정을 진행하기 전에 N형 트랜지스터 상의 압축 스트레스막을 제거하는 것을 더 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  16. 제 14항에 있어서,
    식각정지막은 실리콘 이산화막을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  17. 제 10항에 있어서,
    압축 스트레스막 및 인장 스트레스막을 형성하는 것은,
    반도체 소자 상에 압축 스트레스막을 형성하고,
    상기 압축 스트레스막 상에 식각정지막을 형성하고,
    상기 N형 트랜지스터 상의 압축 스트레스막 및 식각정지막을 제거하고,
    상기 반도체 소자 상의 인장 스트레스막을 형성하는 것을 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  18. 제 17항에 있어서,
    상기 열공정을 진행하기 전에 상기 P형 트랜지스터 상의 인장 스트레스막을 제거하는 것을 더 포함하는 듀얼 스트레스 기억 기술 제공 방법.
  19. 일부분에 인장 스트레스가 기억된 N형 트랜지스터 및
    일부분에 압축 스트레스가 기억된 P형 트랜지스터를 포함하는 반도체 소자.
  20. 제 19항에 있어서,
    상기 P형 트랜지스터는 압축 HDP 실리콘 질화막을 통해서 기억된 압축 스트레스를 갖는 반도체 소자.
KR1020060074829A 2005-11-10 2006-08-08 듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조 KR100735533B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/164,114 US7785950B2 (en) 2005-11-10 2005-11-10 Dual stress memory technique method and related structure
US11/164,114 2005-11-10

Publications (2)

Publication Number Publication Date
KR20070050341A true KR20070050341A (ko) 2007-05-15
KR100735533B1 KR100735533B1 (ko) 2007-07-04

Family

ID=38004289

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060074829A KR100735533B1 (ko) 2005-11-10 2006-08-08 듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조

Country Status (5)

Country Link
US (1) US7785950B2 (ko)
JP (1) JP2007134718A (ko)
KR (1) KR100735533B1 (ko)
CN (1) CN100570860C (ko)
SG (3) SG132585A1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8772173B2 (en) 2011-06-09 2014-07-08 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
KR20170044777A (ko) * 2015-10-15 2017-04-26 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005286341A (ja) * 2004-03-30 2005-10-13 Samsung Electronics Co Ltd 低ノイズ及び高性能のlsi素子、レイアウト及びその製造方法
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure
US7332447B2 (en) * 2005-11-24 2008-02-19 United Microelectronics Corp. Method of forming a contact
US7678630B2 (en) * 2006-02-15 2010-03-16 Infineon Technologies Ag Strained semiconductor device and method of making same
JP4899085B2 (ja) 2006-03-03 2012-03-21 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US7514370B2 (en) * 2006-05-19 2009-04-07 International Business Machines Corporation Compressive nitride film and method of manufacturing thereof
KR20090009931A (ko) 2006-05-31 2009-01-23 도쿄엘렉트론가부시키가이샤 플라즈마 cvd 방법, 질화 규소막의 형성 방법 및 반도체장치의 제조 방법
JP5017958B2 (ja) * 2006-08-08 2012-09-05 富士通セミコンダクター株式会社 半導体装置の製造方法
US7632729B2 (en) * 2006-09-27 2009-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method for semiconductor device performance enhancement
US7795644B2 (en) * 2007-01-04 2010-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuits with stress memory effect and fabrication methods thereof
US7759207B2 (en) * 2007-03-21 2010-07-20 Chartered Semiconductor Manufacturing Ltd. Integrated circuit system employing stress memorization transfer
US20080237734A1 (en) * 2007-03-29 2008-10-02 United Microelectronics Corp. Complementary metal-oxide-semiconductor transistor and method of fabricating the same
US7611939B2 (en) * 2007-05-07 2009-11-03 Texas Instruments Incorporated Semiconductor device manufactured using a laminated stress layer
US7834399B2 (en) 2007-06-05 2010-11-16 International Business Machines Corporation Dual stress memorization technique for CMOS application
US7741168B2 (en) * 2007-07-25 2010-06-22 Sematech, Inc. Systems and methods for fabricating nanometric-scale semiconductor devices with dual-stress layers using double-stress oxide/nitride stacks
US20090050972A1 (en) * 2007-08-20 2009-02-26 Richard Lindsay Strained Semiconductor Device and Method of Making Same
JP5117883B2 (ja) * 2008-02-25 2013-01-16 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US8871587B2 (en) * 2008-07-21 2014-10-28 Texas Instruments Incorporated Complementary stress memorization technique layer method
US7767534B2 (en) * 2008-09-29 2010-08-03 Advanced Micro Devices, Inc. Methods for fabricating MOS devices having highly stressed channels
US8969969B2 (en) * 2009-03-20 2015-03-03 International Business Machines Corporation High threshold voltage NMOS transistors for low power IC technology
US8298876B2 (en) 2009-03-27 2012-10-30 International Business Machines Corporation Methods for normalizing strain in semiconductor devices and strain normalized semiconductor devices
US8039349B2 (en) * 2009-07-30 2011-10-18 Globalfoundries Inc. Methods for fabricating non-planar semiconductor devices having stress memory
CN102054769B (zh) * 2009-10-29 2013-03-27 中芯国际集成电路制造(上海)有限公司 互补型金属氧化物半导体结构的形成方法
CN102194749B (zh) * 2010-03-11 2013-06-12 中芯国际集成电路制造(上海)有限公司 制作互补型金属氧化物半导体器件的方法
KR20120023968A (ko) 2010-09-03 2012-03-14 삼성전자주식회사 트랜지스터 형성 방법, 상보형 트랜지스터 형성 방법 및 이를 이용한 반도체 소자 제조 방법
CN102446761B (zh) * 2010-09-30 2015-07-15 中国科学院微电子研究所 半导体结构的制造方法
US9202913B2 (en) 2010-09-30 2015-12-01 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor structure
US8535999B2 (en) 2010-10-12 2013-09-17 International Business Machines Corporation Stress memorization process improvement for improved technology performance
CN102456626B (zh) * 2010-10-20 2013-12-18 中芯国际集成电路制造(上海)有限公司 基于双应力薄膜技术的半导体器件的制作方法
CN102468160A (zh) * 2010-11-03 2012-05-23 中芯国际集成电路制造(上海)有限公司 利用应力记忆技术提高nfet窄沟道效应的方法
US8216928B1 (en) 2011-01-26 2012-07-10 GlobalFoundries, Inc. Methods for fabricating semiconductor devices having local contacts
CN102420119B (zh) * 2011-04-29 2013-06-26 上海华力微电子有限公司 一种增强应力记忆效应的栅多晶硅刻蚀方法
CN102446722A (zh) * 2011-08-29 2012-05-09 上海华力微电子有限公司 一种预防在双应力氮化硅工艺中光阻失效的方法
CN102456565A (zh) * 2011-08-29 2012-05-16 上海华力微电子有限公司 一种预防在双应力氮化硅工艺中光阻失效的方法
CN103094108B (zh) * 2011-10-29 2015-12-02 中芯国际集成电路制造(上海)有限公司 半导体器件的制作方法
CN103183307B (zh) * 2011-12-28 2016-04-20 中国科学院微电子研究所 张应力LPCVD SiO2膜的制造方法
CN102709178B (zh) * 2012-05-22 2015-08-19 上海华力微电子有限公司 一种形成双应力层氮化硅薄膜的方法
CN103474350A (zh) * 2012-06-06 2013-12-25 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN103839800A (zh) * 2012-11-20 2014-06-04 中国科学院微电子研究所 氮化硅制造方法
CN103107236B (zh) * 2012-12-06 2016-05-04 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103107239B (zh) * 2012-12-06 2016-08-31 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103107234B (zh) * 2012-12-06 2016-03-23 杭州赛昂电力有限公司 异质结太阳能电池及其制作方法
CN103700631A (zh) * 2013-11-29 2014-04-02 上海华力微电子有限公司 无结mos fet器件的制备方法
US9368627B2 (en) 2014-09-11 2016-06-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
CN104733391A (zh) * 2015-03-31 2015-06-24 上海华力微电子有限公司 半导体器件的制造方法
US9941211B1 (en) 2017-03-24 2018-04-10 International Business Machines Corporation Reducing metallic interconnect resistivity through application of mechanical strain
KR102414957B1 (ko) 2018-06-15 2022-06-29 삼성전자주식회사 반도체 장치의 제조 방법

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
JPS6476755A (en) 1987-09-18 1989-03-22 Hitachi Ltd Semiconductor device
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) * 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6881665B1 (en) * 2000-08-09 2005-04-19 Advanced Micro Devices, Inc. Depth of focus (DOF) for trench-first-via-last (TFVL) damascene processing with hard mask and low viscosity photoresist
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
CN101465295A (zh) * 2000-11-22 2009-06-24 株式会社日立制作所 半导体器件及其制造方法
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
EP1428262A2 (en) 2001-09-21 2004-06-16 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) * 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US20050156208A1 (en) * 2003-09-30 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Device having multiple silicide types and a method for its fabrication
US6977194B2 (en) * 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US6939814B2 (en) 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US6982196B2 (en) * 2003-11-04 2006-01-03 International Business Machines Corporation Oxidation method for altering a film structure and CMOS transistor structure formed therewith
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7271110B2 (en) * 2005-01-05 2007-09-18 Chartered Semiconductor Manufacturing, Ltd. High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability
US7396724B2 (en) * 2005-03-31 2008-07-08 International Business Machines Corporation Dual-hybrid liner formation without exposing silicide layer to photoresist stripping chemicals
US20070010073A1 (en) * 2005-07-06 2007-01-11 Chien-Hao Chen Method of forming a MOS device having a strained channel region
US20070018252A1 (en) * 2005-07-21 2007-01-25 International Business Machines Corporation Semiconductor device containing high performance p-mosfet and/or n-mosfet and method of fabricating the same
US7470943B2 (en) * 2005-08-22 2008-12-30 International Business Machines Corporation High performance MOSFET comprising a stressed gate metal silicide layer and method of fabricating the same
US20070075360A1 (en) * 2005-09-30 2007-04-05 Alpha &Omega Semiconductor, Ltd. Cobalt silicon contact barrier metal process for high density semiconductor power devices
US7785950B2 (en) 2005-11-10 2010-08-31 International Business Machines Corporation Dual stress memory technique method and related structure

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8772173B2 (en) 2011-06-09 2014-07-08 Samsung Electronics Co., Ltd. Method of manufacturing semiconductor device
KR20170044777A (ko) * 2015-10-15 2017-04-26 주식회사 테스 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법

Also Published As

Publication number Publication date
KR100735533B1 (ko) 2007-07-04
US20070105299A1 (en) 2007-05-10
CN100570860C (zh) 2009-12-16
SG132607A1 (en) 2007-06-28
CN1971882A (zh) 2007-05-30
JP2007134718A (ja) 2007-05-31
SG151256A1 (en) 2009-04-30
US7785950B2 (en) 2010-08-31
SG132585A1 (en) 2007-06-28

Similar Documents

Publication Publication Date Title
KR100735533B1 (ko) 듀얼 스트레스 기억 기술 제공 방법 및 상관된 구조
US11664376B2 (en) Semiconductor device and method of manufacturing the same
US8324038B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
JP2003174159A (ja) 半導体装置の製造方法
KR101197464B1 (ko) 반도체 장치의 제조 방법
US20110156110A1 (en) Field Effect Transistors Having Gate Electrode Silicide Layers with Reduced Surface Damage
KR101120770B1 (ko) 분리 영역을 갖는 반도체 디바이스를 형성하기 위한 방법
KR100473735B1 (ko) 반도체 소자의 제조 방법
KR20080044779A (ko) 반도체 장치의 제조 방법, 및 반도체 장치
US20110001197A1 (en) Method for manufacturing semiconductor device and semiconductor device
US7494885B1 (en) Disposable spacer process for field effect transistor fabrication
US20050208726A1 (en) Spacer approach for CMOS devices
US7348282B2 (en) Forming method of gate insulating layer and nitrogen density measuring method thereof
KR20050048125A (ko) 반도체 소자의 제조방법
JP5387700B2 (ja) 半導体装置の製造方法
US20120071004A1 (en) Stress-adjusting method of mos device
KR101146956B1 (ko) 반도체 소자의 제조방법
JPH10303417A (ja) 半導体装置の製造方法
KR20050009497A (ko) 반도체 소자의 트랜지스터 제조 방법
JP2010278464A (ja) 半導体装置の製造方法
KR20070106167A (ko) 반도체 소자의 제조방법
KR20060002127A (ko) 반도체 소자의 제조방법
KR20070069368A (ko) 반도체 소자의 제조방법
KR20030089742A (ko) 반도체소자의 제조 방법
KR20050093228A (ko) 반도체 소자의 트랜지스터 제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140530

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20150601

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20160531

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190530

Year of fee payment: 13