JP2005516407A - ガス分配シャワーヘッド - Google Patents

ガス分配シャワーヘッド Download PDF

Info

Publication number
JP2005516407A
JP2005516407A JP2003564312A JP2003564312A JP2005516407A JP 2005516407 A JP2005516407 A JP 2005516407A JP 2003564312 A JP2003564312 A JP 2003564312A JP 2003564312 A JP2003564312 A JP 2003564312A JP 2005516407 A JP2005516407 A JP 2005516407A
Authority
JP
Japan
Prior art keywords
faceplate
gas
wafer
elongated slot
gas distribution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003564312A
Other languages
English (en)
Other versions
JP4426306B2 (ja
Inventor
カーティク ジャナキラマン,
ニチン, ケー. イングル,
ゼング ユアン,
スティーヴン, イー. ジャノウラキス,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2005516407A publication Critical patent/JP2005516407A/ja
Application granted granted Critical
Publication of JP4426306B2 publication Critical patent/JP4426306B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Abstract

半導体製造プロセスに使用するためのガス分配シャワーヘッドは、細長いスロット又はチャンネルの形態のガス出口ポート(318B)を有するフェースプレート(316)を特徴とする。本発明の実施形態に基づく細長いガス出口ポートを使用すると、シャワーヘッドとウェハとの間隔が接近された場合に、堆積材料の望ましからぬ斑点や縞の発生率が著しく低減される。接近したフェースプレート対ウェハ間隔において堆積材料の縁厚みを減少するためのテーパー付けされたプロフィールを有するフェースプレートを特徴とするシャワーヘッドも開示される。

Description

発明の背景
[01]本発明による実施形態は、一般に、半導体デバイスの製造に使用するための方法及び装置に関し、より詳細には、高温堆積プロセスに使用されるガス分配シャワーヘッドに関する。
[02]高温化学気相堆積(CVD)プロセスは、半導体産業において広範囲に利用されている。図1Aは、高温化学気相堆積を実行する従来の装置を簡単に示す断面図である。説明上、図1Aを始めとして本明細書の他の図面は、一定の縮尺で描かれていない。
[03]装置100は、堆積チャンバー105内に収容されたウェハ支持構造体104を備えている。この支持構造体104には基板処理中にウェハ102を載せることができる。
[04] ガス分配シャワーヘッド106が、ウェハ102の上に、ギャップYだけ分離されて配置される。特定用途に対するギャップYの大きさは、シャワーヘッド106に対してウェハ支持構造体104の高さを調整することで制御できる。例えば、非ドープ珪酸ガラス(USG)材料の従来の堆積中に、ギャップYは、約300ミルより大きくてもよい。
[05]ガス分配シャワーヘッド106は、アパーチャー112を有するブロッカープレート110と流体連通するプロセスガス入口108を備えている。ブロッカープレート110の下流には、ガス分配フェースプレート114が配置されている。このフェースプレート114は、ブロッカープレート110からプロセスガス流を受け取り、このガスを、ホール116を経てウェハ102へと流す。プロセスガス流により、堆積材料層118がウェハ102上に形成される。
[06]図1Bは、図1Aの従来のガス分配フェースプレート114の下方斜視図である。フェースプレート114のホール116は、フェースプレートの表面上に分布されている。図1Bは、フェースプレートにおけるホール116の分布の一例を示すに過ぎず、フェースプレートにおける他の多数のホール配列が考えられる。
[07]図1Aを再び参照すれば、ブロッカープレート110の役割は、到来するプロセスガス流120をフェースプレート114の入口側114aでおおまかに分配することである。次いで、フェースプレート114が、ウェハ102に照射される均一の微細分配流を形成するようにガス流を分配する。このプロセスガスの微細分配流が照射された結果として、高品質の堆積材料層118がウェハ102の上に形成される。
[08]図1A−図1Bに示された従来の高温堆積装置は、半導体ウェハの表面上に構造体を形成するのに有効である。高温CVDにより形成される構造体の一形式は、浅いトレンチ分離(STI)である。図2は、活性トランジスタのような半導体構造体202を保持するウェハ200の拡大断面図である。隣接する活性半導体デバイス202は、非ドープ珪酸ガラス(USG)のような誘電体材料で埋められたトレンチで構成されたSTI構造体204により互いに電子的に分離される。
[09]STI構造体は、ウェハの露出領域にマスキング及びエッチングを施してトレンチを作ることにより形成される。その後、マスクを除去し、高温プロセスを使用して、トレンチ内を含むウェハ上にUSGを堆積する。トレンチの外部に堆積したUSGは、その後、エッチング又は化学的機械的研磨(CMP)により除去して、最終的なSTI構造体を露呈させることができる。
[10]図1A−図1Bに示された従来の装置は、STI及び他の用途に対し高温でUSGのような材料を堆積するように首尾良く使用されている。しかしながら、高温堆積装置の設計上の改善が望まれる。例えば、シャワーヘッドとウェハとの間隔をより接近させることで堆積速度をより速くできることが知られている。速い堆積速度は、堆積装置のスループットを向上させ、従って、オペレータは、装置の購入費や維持費をより迅速に埋め合わせることができる。
[11]しかしながら、ウェハとシャワーヘッドとの間隔がより接近していることは、堆積材料がウェハ上に斑点や縞のように見える非均一なトポグラフィーを示すことになり得る。このように接近したウェハ対シャワーヘッド間隔で堆積される材料のトポグラフィーは、フェースプレートのホールの位置に反映することがある。
[12]図3A−図3Bは、本発明の実施形態に基づく材料の堆積結果を示す写真である。図3Aは、フェースプレート対ウェハの間隔が75ミルの状態で従来のシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図3Aのウェハは、著しい斑点及び縞を示す。
[13]図3Bは、フェースプレート対ウェハの間隔が50ミルの状態で従来のシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図3Bのウェハは、図3Aのウェハよりも均一に現われた斑点及び縞を示す。
[14]従って、基板の表面に間近に接近した状態でプロセスガスの印加を許す方法及び構造が要望される。
発明の概要
[15]半導体製造用途向けのガス分配シャワーヘッドは、個別のホールではなく細長いスロット又はチャンネルの形態のガス出口ポートを有するフェースプレートを備えている。本発明の実施形態に基づく細長いガス出口ポートを使用すると、シャワーヘッドとウェハとの間隔が接近された場合に、堆積材料の望ましからぬ斑点や縞の発生率が著しく低減される。堆積材料の縁厚みを減少するためのテーパー付けされたプロフィールを有するシャワーヘッドも開示される。
[16]半導体ウェハ上に材料を形成する装置の実施形態は、壁により画成されたプロセスチャンバーと、プロセスガス供給源と、プロセスチャンバー内に配置されて半導体ウェハを受け取るように構成されたウェハ支持体とを備えている。ガス分配シャワーヘッドがウェハ支持体の上に横たわってウェハ支持体から分離され、ガス分配シャワーヘッドはフェースプレートを備え、フェースプレートの入口部分のホールは、フェースプレートの出口部分の細長いスロットと流体連通しており、細長いスロットの長さは、フェースプレートの厚みの少なくとも2倍である。
[17]本発明によるガス分配フェースプレートの実施形態は、ある厚みを有するフェースプレート本体を備えている。フェースプレートの入口部分は、プロセスガスの流れを受け入れるように構成され、この入口部分は、ある巾のアパーチャーを含む。フェースプレートの出口部分は、プロセスガス流を半導体ウェハへと搬送するように構成され、この出口部分は、アパーチャーと流体連通する細長いスロットを含み、この細長いスロットは、その長さがフェースプレート本体の厚みの少なくとも2倍である。
[18]半導体ウェハ上に材料を形成する装置は、壁により画成されたプロセスチャンバーと、プロセスガス供給源と、プロセスチャンバー内に配置されて半導体ウェハを受け取るように構成されたウェハ支持体とを備えている。ガス分配シャワーヘッドがウェハ支持体の上に横たわり、ウェハ支持体の近くにテーパー付けされたフェースプレートを備え、このテーパー付けされたフェースプレートの縁は、フェースプレートの中心部の厚みに対して減少された厚みを示し、ウェハ支持体に接触するウェハに堆積される材料が均一な中心対縁厚みを示すようになる。
[19]半導体製造プロセス中にガスを分配する方法は、ガス源からのガスを、ある巾のホールを特徴とするガス分配フェースプレートの入口部分へ流すステップと、ホールからのガスを、ガス分配フェースプレートの出口部分の細長いスロットであって、その長さがガス分配フェースプレートの厚みの少なくとも2倍である細長いスロットを経て、半導体ウェハの表面へ流すステップとを備えている。
[20]本発明のこれら及び他の実施形態、並びにその特徴及び幾つかの潜在的な効果は、添付図面を参照して以下に詳細に説明する。
特定の実施形態の説明
[43]本発明によるガス分配シャワーヘッドの実施形態は、細長いスロット又はチャンネルの形態のガス出口ポートを有するフェースプレートを備えている。本発明の実施形態による細長いガス出口ポートを使用すると、接近したフェースプレート対ウェハ間隔において堆積される材料の望ましからぬ斑点及び縞の発生率を実質的に減少する。堆積材料の縁厚みを減少するためのテーパー付けされたプロフィールを有するシャワーヘッドも開示される。
[44]図4Aは、本発明による化学蒸気堆積システムの一実施形態を示す簡単な断面図である。この装置300では、ウェハ302がウェハ支持構造体304に接触されて堆積チャンバー306内に収容される。ガス分配シャワーヘッド308がウェハ302の上に配置され、ウェハ302からギャップY’だけ分離される。
[45]ガス分配シャワーヘッド308は、プロセスガス入口310を備え、これは、アパーチャー314を有するブロッカープレート312と流体連通している。厚みZの本体315を有するガス分配フェースプレート316が、ブロッカープレート312の下流に配置されている。フェースプレート316は、ブロッカープレート312からプロセスガスの流れを受け取り、このガスを、本体315のアパーチャー318を経てウェハ302へ流す。
[46]全堆積装置の説明上、図4Aは、一定断面積プロフィールをもつアパーチャー318を示すように簡単化されている。しかしながら、本出願の譲受人に共通に譲渡された米国特許第4,854,263号は、フェースプレートのアパーチャーの値が、ガス流の方向を横切る断面の増加を示すものを開示している。
[47]図4Bは、本発明によるガス分配シャワーヘッドのフェースプレート316の一実施形態を示す上面(ガス入口側の)図である。図4Cは、本発明によるガス分配シャワーヘッドのフェースプレート316の一実施形態を示す下面(ガス出口側の)図である。
[48]図4Bに示すように、ブロッカープレートからおおまかに分配されたプロセスガスの流れを受け取るフェースプレート316のガス入口面316aは、直径Xの複数の個別のホール318aを含む。図4Cに示すように、フェースプレートからウェハへ微細に分配されたプロセスガスを搬送するフェースプレート316のガス出口面316bは、長さLの複数の連続的に細長いスロット318bを含む。この細長いスロット318bは、2つ以上の個別のホール318aからのガス流を受け取ってもよい。フェースプレート316の厚みZの少なくとも半分である長さLの細長いスロットを設けると、堆積材料が斑点や縞のような望ましからぬトポグラフィー特徴を示すことなく、フェースプレート316をウェハの表面に接近して配置できることが分かった。
[49]図4Dは、図4A−4Cのフェースプレートの拡大断面図である。図4Dは、ここに示す特定の実施形態に対して、流れ入口部分316aのホール318aの断面巾Xが、流れ出口部分316bの細長いスロット318bの断面巾X’より実質的に狭いことを示している。本発明の実施形態は、X’/Xの比が2.25以上である細長いフェースプレートスロットを使用することができる。
[50]図5A−図5Bは、本発明の実施形態に基づく材料堆積の結果を示す写真である。図5Bは、フェースプレート対ウェハ間隔が75ミルの状態で本発明の一実施形態によるシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図5Aのウェハは、図3Aに示した、従来のシャワーヘッドを使用して同じ間隔での堆積により得られたウェハより、斑点や縞が著しく少ない。
[51]図5Bは、フェースプレート対ウェハ間隔が50ミルの状態で本発明の一実施形態によるシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図5Bのウェハは、図3Bに示した、従来のシャワーヘッドを使用して同じ間隔での堆積により得られたウェハより、斑点が著しく少ない。
[52]本発明の開発中に、従来のホール及び細長いスロット開口の両方を保持する複合フェースプレートを使用して、ウェハにUSGを堆積させた。図6Aは、この複合シャワーヘッド450の簡単な平面図であり、これは、従来のホール454を含む第1領域452と、本発明の実施形態による細長いスロット458を含む第2領域456とを備えている。
[53]図6Bは、フェースプレート対ウェハ間隔が75ミルの状態で図6Aの複合シャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図6Cは、フェースプレート対ウェハ間隔が50ミルの状態で複合ホール/スロット構成を有するシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。図6B及び6Cは、両方とも、細長いスロットを経て堆積された材料402が、複合フェースプレートの従来のホールから堆積された材料400より実質的に滑らかなトポグラフィーを示すことを明らかにしている。
[54]上記図は、複数の連続的な同心的配向のスロットをその出口面に保持するシャワーヘッドを示すが、この特定の構成が本発明により要求されるのではない。細長いスロットの他の構成を使用することもでき、シャワーヘッドは、本発明の範囲内に保持される。
[55]図7A−図7Dは、異なる配向の細長いスロットを各々保持する本発明によるガス分配フェースプレートの種々の別々の実施形態の出口部分を示す簡単な底面図である。図7Aのフェースプレートの出口部分660は、周囲方向に配向された複数の非連続的スロット662を保持する。図7Bのフェースプレートの出口部分664は、半径方向に配向された複数の非連続的スロット466を保持する。図7Cのフェースプレートの出口部分668は、同心的にも半径方向にも限定的に配向されるのではない複数の非連続的スロット670を保持する。図7Dのフェースプレートの出口部分672は、従来のホール676と組み合わされた複数の非連続的スロット674を保持する。
[56]本発明による装置及び方法の実施形態は、多数の利益をもたらす。例えば、図8は、異なる温度でのUSG堆積プロセスに対して堆積速度とフェースプレート対ウェハ間隔とを示すグラフである。図8は、510℃又は540℃で行なわれる堆積プロセスに対して、フェースプレート対ウェハ間隔が減少すると、堆積速度が高くなることを示している。この関係は、フェースプレート対ウェハ間隔が接近するにつれてより明白になる。
[57]図9は、より広い範囲(50−250ミル)のフェースプレート対ウェハ間隔に対するUSG堆積速度を示すグラフである。図9は、図8の結果を、この広い範囲に対して確認するものである。特に、図9は、接近した間隔でのUSG堆積速度の増加を示すと共に、間隔が接近するほど堆積速度により明白に作用することも示す。
[58]図10は、異なる温度及び圧力におけるUSG堆積プロセスに対して%膜収縮及び湿式エッチング選択性とフェースプレート対ウェハ間隔とを示すグラフである。図10は、510℃及び540℃の両方において堆積されたUSG膜が、接近したフェースプレート対ウェハ間隔で堆積されたときに低い収縮を示したことを示している。このデータは、接近した間隔において高密度で高品質の膜が形成されることを指示する。
[59]図10の湿式エッチングデータは、接近したフェースプレート対ウェハ間隔で堆積される層の品質が改善されるというこの発見を相関させるものである。より詳細には、接近したフェースプレート対ウェハ間隔で堆積されたUSG膜は、より高い密度に一致する湿式エッチング選択性を示した。
[60]図11A及び図11Bは、本発明によるシャワーヘッドを使用して高温USG堆積により形成された浅いトレンチ分離構造体の断面を示す写真である。図11A及び図11Bに示すUSG堆積プロセスは、フェースプレート対ウェハ間隔が75ミルの状態で510℃の温度において行なわれた。この写真は、堆積後のアニールを1050℃で60分間行なった後にUSGが浅いトレンチ構造体を埋めたことを示している。図11A及び図11Bは、従来のフェースプレート設計を使用したプロセスに比して、本発明の実施形態によるプロセスでも、同等のギャップ充填品質が達成されることを示している。
[61]以上、本発明は、非ドープ珪酸ガラスの高温堆積に使用されるシリコン含有先駆ガスの流れに関連して説明したが、本発明は、この特定の実施形態に限定されるものではない。本発明の実施形態によるシャワーヘッドは、燐珪酸ガラス(PSG)、硼珪酸ガラス(BSG)、又は硼燐珪酸ガラス(BPSG)の形態のドープされたシリコン酸化物の化学蒸気堆積を含む(これに限定されない)半導体製造プロセスのアレイに有用な種々のガスを分配するのに使用されてもよい。
[62]本発明の実施形態によるシャワーヘッドを使用して分配することのできるガスは、例えば、テトラエチルオーソシラン(TEOS)、燐酸トリエチル(TEPO)及び硼酸トリエチル(TEB)を含むが、これらに限定されない。本発明は、先駆ガス流の分配に限定されず、CVD反応に直接関与しないHeやNのような担体ガスを流すのにも使用できる。
[63]又、本発明の実施形態によるシャワーヘッドは、金属、窒化物及びオキシニトライドを含む(これに限定されない)、シリコン酸化物以外の材料を生成するための先駆ガスを流すのに使用されてもよい。シャワーヘッドは、高温CVDプロセスに関連して上述したが、本発明の実施形態は、プラズマ改善型の化学蒸気堆積(PECVD)プロセス又は大気中以下の化学蒸気堆積(SACVD)プロセスのような他の形式のCVDプロセスにおいてガスを流すのに使用されてもよい。
[64]又、本発明による実施形態は、化学蒸気堆積プロセスに関連して使用することに限定されない。又、本発明によるシャワーヘッドは、乾式又はプラズマエッチングプロセスのような他の形式の半導体製造プロセスにおいてガスを流すのに使用されてもよい。
[65]又、本発明による実施形態は、スロット付きシャワーヘッドフェースプレートの使用に限定されない。図4Aに戻ると、ウェハ302に対してシャワーヘッド308を間近に接近させる1つの作用として、ウェハの縁付近でプロセスガスの下方流を増加させることができる。その結果、ウェハの縁への質量流量が増加して、堆積材料320の縁厚み320aを増加することができる。
[66]図12は、2つのフェースプレート対ウェハ間隔に対して計算された追加質量流量とウェハの中心からの距離とを示すグラフである。0.270”の従来の広いフェースプレート対ウェハ間隔では、堆積の追加質量流量は、ウェハの中心から縁まで比較的一貫している。しかしながら、0.075”の狭いフェースプレート対ウェハ間隔では、プロセスは、ウェハの周辺領域に対して著しい追加質量流量を示した。この追加質量流量は、中心よりも縁の方が著しく大きな厚みを有する堆積材料の層を形成することができる。
[67]従って、本発明のシャワーヘッドの別の実施形態は、接近したフェースプレート対ウェハ間隔における堆積材料の縁厚みの増加を回避するようにテーパー付けされたプロフィールを有するフェースプレートを使用することができる。図13は、本発明による高温堆積システムの別の実施形態を示す簡単な断面図である。この装置900は、ウェハ支持構造体904に接触して堆積チャンバー906内に配置されたウェハ902を含む。ガス分配シャワーヘッド908は、ウェハ902の上に配置され、ウェハ902からギャップY”だけ分離される。
[68]ガス分配シャワーヘッド908は、プロセスガス入口912を備え、これは、アパーチャー916を有するブロッカープレート914と流体連通している。ガス分配フェースプレート918は、ブロッカープレート914の下流に配置される。フェースプレート918は、ブロッカープレート914からプロセスガスの流れを受け取り、このガスを、ホール920を経てウェハ902へ流す。
[69]図4Aを参照して上述したように、ウェハに対してフェースプレートを間近に接近させたことでウェハの縁への質量流量を向上させることができる。従って、図13に示す実施形態は、テーパー付けされたプロフィールを有するフェースプレート918を含む。より詳細には、フェースプレート918の縁部分918aは、フェースプレート918の中心部918bに対して後退されている。テーパー角Aは、フェースプレートの中心と縁との間の厚みの差によって定義される角度を表わし、約0.5°から約5°の範囲でよい。
[70]接近したフェースプレート対ウェハ間隔において堆積材料の厚み均一性の改善を特徴とするガス分配シャワーヘッドの使用。テーブルAは、テーパー付けされたフェースプレート及びフラットなフェースプレートにより100及び75ミルの間隔で堆積された材料に対する堆積速度、厚み均一性及び厚み範囲を比較するものである。
Figure 2005516407
[71]テーブルAは、テーパー付けされたフェースプレートを使用する堆積が、より均一な中心対縁厚みを有する材料層を形成することを示している。テーブルAに収集されたデータは、細長いスロットを有するテーパー付けされたフェースプレート及びフラットなフェースプレートを使用した堆積を表わしているが、本発明の実施形態に基づくテーパー付けされたフェースプレートは、細長いスロットをもつ必要がない。
[72]図14は、3つの異なるフェースプレートプロフィールに対して計算された追加質量流量とウェハの中心からの距離とを示すグラフである。図14は、ギャップを0.025”及び0.050”だけテーパー付けすることで、ウェハにわたる追加質量の山−谷変化が各々35%及び46%減少されたことを示している。本発明の実施形態に基づくテーパー付けされたフェースプレート構造を使用すると、中心対縁厚みの変化が800Å以下であることを示す材料層を付着することができる。
[73]以上、本発明の幾つかの実施形態だけを図示して説明した。本発明は、他の種々の組合せ及び環境で使用することもでき、且つここに示す本発明の概念の範囲内で変更及び修正が可能であることを理解されたい。例えば、本発明の実施形態による装置及び方法は、特定サイズの半導体ウェハの処理に限定されず、200mm直径のウェハ、300mm直径のウェハ、又は他の形状及びサイズの半導体ウェハを伴う半導体製造プロセスにも有用である。
[74]本発明を以上に詳細に説明し種々の実施形態を説明したが、それらの等効物及び代替物、並びに理解される明らかな変更や修正は、本発明の範囲内に包含されるものとする。
従来の高温堆積システムの簡単な断面図である。 図1Aのシステムの従来のガス分配シャワーヘッドのフェースプレートを示す下方斜視図である。 従来の浅いトレンチ分離構造体を示す断面図である。 フェースプレート対ウェハ間隔が75ミルの状態で従来のシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 フェースプレート対ウェハ間隔が50ミルの状態で従来のシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 本発明の一実施形態による高温堆積システムの簡単な断面図である。 本発明によるガス分配シャワーヘッドのフェースプレートの一実施形態を示す上面図である。 本発明によるガス分配シャワーヘッドのフェースプレートの一実施形態を示す下面図である。 図4A−4Bのフェースプレートの拡大断面図である。 フェースプレート対ウェハ間隔が75ミルの状態で本発明の一実施形態によるシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 フェースプレート対ウェハ間隔が50ミルの状態で本発明の一実施形態によるシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 ホール及び細長いスロットの両方を保持する複合フェースプレートの平面図である。 フェースプレート対ウェハ間隔が75ミルの状態で複合ホール/スロット構成を有するシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 フェースプレート対ウェハ間隔が50ミルの状態で複合ホール/スロット構成を有するシャワーヘッドから堆積されたUSG膜を保持するウェハを示す写真である。 異なるパターンの細長いスロットを保持する本発明の別の実施形態によるフェースプレートの簡単な平面図である。 異なるパターンの細長いスロットを保持する本発明の別の実施形態によるフェースプレートの簡単な平面図である。 異なるパターンの細長いスロットを保持する本発明の別の実施形態によるフェースプレートの簡単な平面図である。 異なるパターンの細長いスロットを保持する本発明の別の実施形態によるフェースプレートの簡単な平面図である。 異なる温度及び圧力におけるUSG堆積に対して堆積速度とフェースプレート対ウェハ間隔とを示すグラフである。 広い範囲のフェースプレート対ウェハ間隔に対して堆積速度を示したグラフである。 異なる温度及び圧力におけるUSG堆積プロセスに対して%膜収縮及び湿式エッチング選択性とフェースプレート対ウェハ間隔とを示すグラフである。 従来のシャワーヘッドを使用する高温USG堆積により形成された浅いトレンチ分離構造体の断面を示す写真である。 本発明によるシャワーヘッドを使用する高温USG堆積により形成された浅いトレンチ分離構造体の断面を示す写真である。 2つのフェースプレート対ウェハ間隔に対して計算された追加質量流量とウェハの中心からの距離とを示すグラフである。 本発明による高温堆積システムの別の実施形態を示す簡単な断面図である。 3つの異なるフェースプレートプロフィールに対して計算された追加質量流量とウェハの中心からの距離とを示すグラフである。
符号の説明
300・・・装置、302・・・ウェハ、304・・・ウェハ支持構造体、306・・・堆積チャンバー、308・・・ガス分配シャワーヘッド、310・・・プロセスガス入口、312・・・ブロッカープレート、314・・・アパーチャー、315・・・本体、316・・・ガス分配フェースプレート、318・・・アパーチャー、318a・・・ホール、318b・・・細長いスロット、450・・・複合シャワーヘッド、452・・・第1領域、454・・・ホール、456・・・第2領域、458・・・細長いスロット、900・・・装置、902・・・ウェハ、904・・・ウェハ支持構造体、906・・・堆積チャンバー、908・・・ガス分配シャワーヘッド、912・・・プロセスガス入口、914・・・ブロッカープレート、916・・・アパーチャー、918・・・ガス分配フェースプレート、918a・・・縁部分、918b・・・中心部、920・・・ホール、A・・・テーパー角、X、X’・・・断面巾、Y’、Y”・・・ギャップ、Z・・・厚み

Claims (29)

  1. 半導体ウェハ上に材料を形成する装置において、
    壁により画成されたプロセスチャンバーと、
    上記プロセスチャンバー内に配置されて、半導体ウェハを受け取るように構成されたウェハ支持体と、
    プロセスガス供給源と、
    上記ウェハ支持体の上に横たわり且つそこから分離されたガス分配シャワーヘッドであって、該ガス分配シャワーヘッドはフェースプレートを含み、該フェースプレートの入口部分のホールが、該フェースプレートの出口部分の細長いスロットと流体連通しているガス分配シャワーヘッドと、
    を備えた装置。
  2. 上記細長いスロットの長さは、上記フェースプレートの厚みの少なくとも半分である、請求項1に記載の装置。
  3. 上記ガス分配シャワーヘッドは、更に、孔を含むブロッカープレートを含み、該ブロッカープレートは、上記フェースプレートの上記入口部分の上流に配置されてそれと流体連通する、請求項1に記載の装置。
  4. 上記細長いスロットは連続的で且つ同心的に配向される、請求項1に記載の装置。
  5. 上記細長いスロットの断面巾は上記ホールの断面巾より大きい、請求項1に記載の装置。
  6. 上記細長いスロットの断面巾は上記ホールの断面巾より少なくとも2.25倍大きい、請求項5に記載の装置。
  7. ある厚みを有するフェースプレート本体と、
    プロセスガスの流れを受け取るように構成された入口部分であって、ある巾のアパーチャーを含む入口部分と、
    上記プロセスガスの流れを半導体ウェハへ搬送するように構成された出口部分であって、上記アパーチャーと流体連通する細長いスロットを含む出口部分と、
    を備えたガス分配フェースプレート。
  8. 上記細長いスロットは、その長さが上記フェースプレート本体の厚みの少なくとも半分である、請求項7に記載のガス分配フェースプレート。
  9. 上記細長いスロットは円形で且つ連続的である、請求項7に記載のガス分配フェースプレート。
  10. 上記細長いスロットの巾は上記アパーチャーの巾より大きい、請求項7に記載のガス分配フェースプレート。
  11. 上記細長いスロットの巾は、上記アパーチャーの巾より少なくとも2.25倍大きい、請求項10に記載のガス分配フェースプレート。
  12. 半導体ウェハ上に材料を形成する装置において、
    壁により画成されたプロセスチャンバーと、
    上記プロセスチャンバー内に配置されて、半導体ウェハを受け取るように構成されたウェハ支持体と、
    プロセスガス供給源と、
    上記ウェハ支持体の上に横たわり且つ上記ウェハ支持体の近くにテーパー付けされたフェースプレートを含んだガス分配シャワーヘッドであって、該テーパー付けされたフェースプレートの縁が、該フェースプレートの中心の厚みに対して減少された厚みを示してテーパー角を形成し、上記ウェハ支持体に接触したウェハに堆積される材料が均一な中心対縁厚みを示すようにしたガス分配シャワーヘッドと、
    を備えた装置。
  13. 上記テーパー角は約0.5°から5°である、請求項12に記載の装置。
  14. 上記テーパー付けされたフェースプレートは、
    プロセスガスの流れを受け取るように構成された入口部分であって、ある巾のアパーチャーを含む入口部分と、
    上記プロセスガスの流れを半導体ウェハへ搬送するように構成された出口部分であって、上記アパーチャーと流体連通する細長いスロットを含む出口部分と、
    を備えた請求項12に記載の装置。
  15. 上記細長いスロットは、その長さが上記フェースプレートの厚みの少なくとも半分である、請求項14に記載の装置。
  16. 上記細長いスロットは円形で且つ連続的である、請求項14に記載の装置。
  17. 上記細長いスロットの巾は上記アパーチャーの巾より大きい、請求項14に記載の装置。
  18. 上記細長いスロットの巾は、上記アパーチャーの巾より少なくとも2.25倍大きい、請求項17に記載の装置。
  19. 半導体製造プロセス中にガスを分配する方法において、
    ガス供給源からのガスを、ある巾のホールを特徴とするガス分配フェースプレートの入口部分へ流すステップと、
    上記ホールからのガスを、上記ガス分配フェースプレートの出口部分の細長いスロットを経て半導体ウェハの表面へ流すステップと、
    を備えた方法。
  20. 上記ガスは、長さが上記ガス分配フェースプレートの厚みの少なくとも半分である細長いスロットを経て流される、請求項19に記載の方法。
  21. 担体ガス及びプロセスガスの少なくとも一方が上記フェースプレートを通して流される、請求項19に記載の方法。
  22. 上記ガスは化学蒸気堆積(CVD)プロセス中に流される、請求項19に記載の方法。
  23. 上記ガスは、非ドープ珪酸ガラスの高温堆積プロセス中に上記フェースプレートとウェハとの間の間隔が300ミル以下であるようにして流される、請求項19に記載の方法。
  24. 上記流されるガスは、テトラエチルオーソシラン(TEOS)、燐酸トリエチル(TEPO)、硼酸トリエチル(TEB)、オゾン(O)、酸素、ヘリウム及び窒素(N)より成る少なくとも1つのグループから選択される、請求項19に記載の方法。
  25. 上記流されるガスは、硼珪酸ガラス(BSG)、燐珪酸ガラス(PSG)、又は硼燐珪酸ガラス(BPSG)より成るグループから選択された材料の堆積を生じさせる、請求項19に記載の方法。
  26. 上記ガスは、約0.5°から5°のフェースプレートテーパー角を形成するように縁部分が中心部に対して後退したガス分配フェースプレートから流される、請求項19に記載の方法。
  27. 上記ガスは乾式エッチングプロセス中に流される、請求項19に記載の方法。
  28. 半導体ウェハ上に材料を形成する装置において、
    壁により画成されたプロセスチャンバーと、
    上記プロセスチャンバー内に配置されて、半導体ウェハを受け取るように構成されたウェハ支持体と、
    プロセスガス供給源と、
    上記ウェハ支持体の上に横たわり且つ上記ウェハ支持体の近くにテーパー付けされたフェースプレートを含んだガス分配シャワーヘッドと、
    を備え、上記テーパー付けされたフェースプレートは、
    プロセスガスの流れを受け取るように構成された入口部分であって、ある巾のアパーチャーを含む入口部分と、
    上記プロセスガスの流れを半導体ウェハへ搬送するように構成された出口部分であって、上記アパーチャーと流体連通する細長いスロットを含む出口部分と、
    を有し、
    上記テーパー付けされたフェースプレートの縁が、該フェースプレートの中心の厚みに対して減少された厚みを示してテーパー角を形成し、上記ウェハ支持体に接触したウェハに堆積される材料が均一な中心対縁厚みを示すようにした装置。
  29. 上記テーパー角は約0.5°から5°である、請求項28に記載の装置。
JP2003564312A 2002-01-25 2002-11-27 半導体ウェハ上に材料を形成する装置およびガスを分配する方法 Expired - Fee Related JP4426306B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/057,280 US6793733B2 (en) 2002-01-25 2002-01-25 Gas distribution showerhead
PCT/US2002/038035 WO2003064725A1 (en) 2002-01-25 2002-11-27 Gas distribution showerhead

Publications (2)

Publication Number Publication Date
JP2005516407A true JP2005516407A (ja) 2005-06-02
JP4426306B2 JP4426306B2 (ja) 2010-03-03

Family

ID=27609412

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003564312A Expired - Fee Related JP4426306B2 (ja) 2002-01-25 2002-11-27 半導体ウェハ上に材料を形成する装置およびガスを分配する方法

Country Status (6)

Country Link
US (1) US6793733B2 (ja)
JP (1) JP4426306B2 (ja)
KR (1) KR100993037B1 (ja)
CN (1) CN100342057C (ja)
TW (1) TWI283437B (ja)
WO (1) WO2003064725A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735728B1 (ko) * 2006-02-15 2007-07-06 이용연 일체 웰딩형 샤워 헤드
JPWO2008117832A1 (ja) * 2007-03-27 2010-07-15 キヤノンアネルバ株式会社 真空処理装置
WO2014039261A1 (en) * 2012-09-04 2014-03-13 Applied Materials, Inc. Gas injector for high volume, low cost system for epitaxial silicon deposition
KR20140047558A (ko) * 2012-10-12 2014-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 반응 챔버 샤워헤드
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR20170058397A (ko) * 2014-09-18 2017-05-26 플라즈마 앱 리미티드 박막 제조를 위한 가상 음극 증착 장치 및 방법
CN109600898A (zh) * 2018-12-13 2019-04-09 大连理工大学 一种喷淋式电极及放电系统
WO2020039809A1 (ja) * 2018-08-24 2020-02-27 株式会社ニューフレアテクノロジー 気相成長装置
JP2020031060A (ja) * 2014-05-30 2020-02-27 ラム リサーチ コーポレーションLam Research Corporation フェースプレート
JP7453996B2 (ja) 2019-06-10 2024-03-21 スウェガン、アクチボラグ 基板をガス処理するためのリアクタ

Families Citing this family (384)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7431967B2 (en) * 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
KR101165594B1 (ko) * 2005-10-05 2012-07-23 페파우아 테플라 아게 편향 가능한 플라즈마 빔을 이용한 다운-스트림 플라즈마에칭
US20070119371A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100943431B1 (ko) 2006-04-13 2010-02-19 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
ES2331489T3 (es) * 2007-03-05 2010-01-05 Applied Materials, Inc. Instalacion de revestimiento y sistema de conduccion de gas.
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7741144B2 (en) 2007-11-02 2010-06-22 Applied Materials, Inc. Plasma treatment between deposition processes
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
JP5150217B2 (ja) 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
CN101453822B (zh) * 2007-12-04 2013-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 喷嘴及反应腔室
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
US8729425B2 (en) * 2008-02-25 2014-05-20 Epilog Corporation Air assist apparatus and method for an engraving laser
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
KR20090102955A (ko) * 2008-03-27 2009-10-01 주식회사 유진테크 기판처리장치 및 기판처리방법
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9598768B2 (en) * 2008-09-24 2017-03-21 Toshiba Mitsubishi-Electric Industrial Systems Corporation Method of forming zinc oxide film (ZnO) or magnesium zinc oxide film (ZnMgO) and apparatus for forming zinc oxide film or magnesium zinc oxide film
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
KR101639230B1 (ko) * 2008-12-04 2016-07-13 비코 인스트루먼츠 인코포레이티드 화학 기상 증착 유동물 유입구 부재 및 방법
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8894767B2 (en) 2009-07-15 2014-11-25 Applied Materials, Inc. Flow control features of CVD chambers
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110088760A1 (en) * 2009-10-20 2011-04-21 Applied Materials, Inc. Methods of forming an amorphous silicon layer for thin film solar cell application
US20120024478A1 (en) * 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
GB201021870D0 (en) 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
GB2497880B (en) 2010-12-23 2015-05-27 Element Six Ltd Controlling doping of synthetic diamond material
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104916564B (zh) * 2014-03-13 2018-01-09 北京北方华创微电子装备有限公司 反应腔室以及等离子体加工设备
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP1545606S (ja) * 2015-08-26 2016-03-14
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10775533B2 (en) * 2016-02-12 2020-09-15 Purdue Research Foundation Methods of forming particulate films and films and devices made therefrom
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106735642A (zh) * 2017-03-15 2017-05-31 广东工业大学 一种电射流掩膜加工系统及其喷头
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10450655B2 (en) * 2017-10-27 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with multi-zone thickness control
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110129766B (zh) * 2019-06-11 2021-06-11 广东先导稀材股份有限公司 镀膜装置以及石英舟表面镀覆系统
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TWI737250B (zh) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 氣體噴灑裝置、半導體基板處理設備及其操作方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111889030B (zh) * 2020-07-28 2023-02-10 茂名立强化学有限公司 一种4-氯乙酰乙酸酯的合成装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010295A1 (ko) * 2022-07-08 2024-01-11 주성엔지니어링(주) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) * 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
JPH01283375A (ja) 1988-05-09 1989-11-14 Fujitsu Ltd Cvd装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4268231B2 (ja) 1997-12-12 2009-05-27 忠弘 大見 プラズマ処理装置、表面処理方法および光学部品の製造法
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
AU2001242627A1 (en) * 2000-04-04 2001-10-15 Dek International Gmbh Method and apparatus for applying viscous or paste material onto a substrate
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100735728B1 (ko) * 2006-02-15 2007-07-06 이용연 일체 웰딩형 샤워 헤드
JPWO2008117832A1 (ja) * 2007-03-27 2010-07-15 キヤノンアネルバ株式会社 真空処理装置
US9287152B2 (en) 2009-12-10 2016-03-15 Orbotech LT Solar, LLC. Auto-sequencing multi-directional inline processing method
US9462921B2 (en) 2011-05-24 2016-10-11 Orbotech LT Solar, LLC. Broken wafer recovery system
WO2014039261A1 (en) * 2012-09-04 2014-03-13 Applied Materials, Inc. Gas injector for high volume, low cost system for epitaxial silicon deposition
KR102045583B1 (ko) * 2012-10-12 2019-11-15 에이에스엠 아이피 홀딩 비.브이. 반도체 반응 챔버 샤워헤드
KR20140047558A (ko) * 2012-10-12 2014-04-22 에이에스엠 아이피 홀딩 비.브이. 반도체 반응 챔버 샤워헤드
JP2020031060A (ja) * 2014-05-30 2020-02-27 ラム リサーチ コーポレーションLam Research Corporation フェースプレート
KR20220050109A (ko) * 2014-05-30 2022-04-22 램 리써치 코포레이션 중공 음극 방전 (hcd) 을 억제하는 용량적으로 결합된 플라즈마 전극 및 가스 분배 대면플레이트
KR102529263B1 (ko) 2014-05-30 2023-05-03 램 리써치 코포레이션 중공 음극 방전 (hcd) 을 억제하는 용량적으로 결합된 플라즈마 전극 및 가스 분배 대면플레이트
KR20170058397A (ko) * 2014-09-18 2017-05-26 플라즈마 앱 리미티드 박막 제조를 위한 가상 음극 증착 장치 및 방법
KR102268021B1 (ko) * 2014-09-18 2021-06-21 플라즈마 앱 리미티드 박막 제조를 위한 가상 음극 증착 장치 및 방법
WO2020039809A1 (ja) * 2018-08-24 2020-02-27 株式会社ニューフレアテクノロジー 気相成長装置
JP2020031200A (ja) * 2018-08-24 2020-02-27 株式会社ニューフレアテクノロジー 気相成長装置
JP7365761B2 (ja) 2018-08-24 2023-10-20 株式会社ニューフレアテクノロジー 気相成長装置
CN109600898A (zh) * 2018-12-13 2019-04-09 大连理工大学 一种喷淋式电极及放电系统
JP7453996B2 (ja) 2019-06-10 2024-03-21 スウェガン、アクチボラグ 基板をガス処理するためのリアクタ

Also Published As

Publication number Publication date
TWI283437B (en) 2007-07-01
US20030140851A1 (en) 2003-07-31
US6793733B2 (en) 2004-09-21
JP4426306B2 (ja) 2010-03-03
KR20040085164A (ko) 2004-10-07
WO2003064725A1 (en) 2003-08-07
KR100993037B1 (ko) 2010-11-08
CN100342057C (zh) 2007-10-10
TW200302510A (en) 2003-08-01
CN1659308A (zh) 2005-08-24

Similar Documents

Publication Publication Date Title
JP4426306B2 (ja) 半導体ウェハ上に材料を形成する装置およびガスを分配する方法
JP2007507861A (ja) ガス分配シャワーヘッド
US11264213B2 (en) Chemical control features in wafer process equipment
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
CN111712924B (zh) 空气间隙形成工艺
US10975473B2 (en) CVD apparatus with multi-zone thickness control
TW201729283A (zh) 氧化矽的自對準遮蔽
US20210404064A1 (en) Showerhead for Process Tool
US11222771B2 (en) Chemical control features in wafer process equipment
KR102071501B1 (ko) 기판 처리 장치
CN1828844A (zh) 补偿sti氧化物处理之后膜的非均匀性的去垢方法
KR102181120B1 (ko) 기판 처리 장치
US9053928B2 (en) Wafer and film coating method of using the same
TWI817722B (zh) 電漿蝕刻的方法
US11225715B2 (en) Showerhead, semiconductor manufacturing apparatus including the same, and semiconductor manufacturing method
KR20200120860A (ko) 샤워헤드, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조 방법
KR20170059725A (ko) 기판 처리 장치
KR20180074350A (ko) Ald 박막 증착 장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090501

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090818

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091027

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091210

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121218

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

LAPS Cancellation because of no payment of annual fees