KR20040085164A - 가스 분배 샤워헤드 - Google Patents

가스 분배 샤워헤드 Download PDF

Info

Publication number
KR20040085164A
KR20040085164A KR10-2004-7011422A KR20047011422A KR20040085164A KR 20040085164 A KR20040085164 A KR 20040085164A KR 20047011422 A KR20047011422 A KR 20047011422A KR 20040085164 A KR20040085164 A KR 20040085164A
Authority
KR
South Korea
Prior art keywords
faceplate
gas
wafer
semiconductor wafer
forming
Prior art date
Application number
KR10-2004-7011422A
Other languages
English (en)
Other versions
KR100993037B1 (ko
Inventor
카티크 잔아키라만
니틴 케이. 잉글
쳉 유안
스티븐 이. 기안울라키스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040085164A publication Critical patent/KR20040085164A/ko
Application granted granted Critical
Publication of KR100993037B1 publication Critical patent/KR100993037B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체 제조 프로세스에서 이용되는 가스 분배 샤워헤드는 연장된 슬롯 또는 채널 형태의 가스 출구부(318b)를 갖는 면판을 특징으로 한다. 본 발명의 실시예에 따른 연장된 가스 출구부의 이용은 샤워헤드가 웨이퍼로부터 가깝게 이격된 경우 증착된 재료의 원치 않는 얼룩 및 줄무늬의 발생을 실질적으로 감소시킨다. 가까운 면판 대 웨이퍼 간격에서 증착된 재료의 에지 두께를 감소시키기 위해 테이퍼진 프로파일을 갖는 면판을 특징으로 하는 샤워헤드가 또한 개시된다.

Description

가스 분배 샤워헤드 {GAS DISTRIBUTION SHOWERHEAD}
고온 화학 기상 증착(CVD) 프로세스는 반도체 산업에서 광범위하게 사용되고 있다. 도 1a는 고온 화학 기상 증착을 수행하는 종래의 장치의 개략적인 횡단면도이다. 설명을 위해, 도 1a, 및 본 출원의 다른 도면은 실제 크기대로 도시되지 않는다.
장치(100)는 증착 챔버(105) 내에 수용된 웨이퍼 지지 구조물(104)을 포함한다. 웨이퍼(102)는 기판 프로세싱 중에 지지 구조물(104) 상에 장착될 수도 있다.
가스 분배 샤워헤드(106)는 웨이퍼(102) 위에 위치되고 웨이퍼(102)로부터 갭 Y만큼 떨어져 있다. 특정 용도에서의 갭 Y의 크기는 샤워헤드(106)에 대한 웨이퍼 지지 구조물(104)의 크기를 조절함으로써 제어될 수도 있다. 예를 들어, 도핑되지 않은 실리케이트 유리(USG) 재료의 종래의 증착 중에, 갭 Y는 약 300밀(mils) 이상일 수도 있다.
가스 분배 샤워헤드(106)는 어퍼쳐(112)를 구비한 블로커판(110)과 유체 연통하는 프로세스 가스 유입구(108)를 포함한다. 가스 분배 면판(114)은 블로커판(110) 아래에 위치된다. 면판(114)은 블로커판(110)으로부터 프로세스 가스의 흐름을 수용하고 이 가스를 홀(116)을 통해 웨이퍼(102)로 유동시킨다. 증착된 재료의 층(118)은 프로세스 가스 유동의 결과로서 웨이퍼(102) 상에 형성된다.
도 1b는 도 1a의 종래의 가스 분배 면판(114)의 바닥 투시도이다. 면판(114)의 홀(116)은 면판의 표면에 걸쳐 분포된다. 도 1b는 면판 상에 있는 홀(116)의 단지 예시적인 하나의 분포를 도시하며, 면판 상에서 다양한 홀 배열이 가능하다.
도 1a를 다시 참조하면, 블로커판(110)의 역할은 유입하는 프로세스 가스 스트림(120)을 면판(114)의 입구측(114a)으로 대략적으로 균일하게 분포시키는 것이다. 이어서, 면판(114)은 가스 스트림을 분포시켜 웨이퍼(102)에 노출되는 균일하고, 미세하게 분포된 유동을 발생시킨다. 이러한 미세하게 분포된 프로세싱 가스의 유동에 노출된 결과로서, 증착 재료의 고품질 층(118)이 웨이퍼(102) 상에 형성된다.
도 1a 및 도 1b에 도시된 종래의 고온 증착 장치는 반도체 웨이퍼의 표면 상에 구조물을 형성시키는데 효과적이다. 고온 CVD에 의해 형성된 일 형태의 구조물은 쉘로우 트렌치 분리(STI, shallow trench isolation)이다. 도 2는 활성 트랜지스터와 같은 반도체 구조물(202)을 지지하는 웨이퍼(200)의 확대된 횡단면도이다. 인접한 활성 반도체 소자(202)는 도핑되지 않은 실리케이트 유리(USG)와 같은 유전체 재료로 채워진 트렌치를 포함하는 STI 구조물(204)에 의해 서로 전기적으로 분리된다.
STI 구조물은 트렌치를 형성하기 위해 웨이퍼의 노출된 영역을 마스킹 및 에칭함으로써 형성된다. 마스크는 그 후 제거되고 USG가 트렌치 내부를 포함하여, 고온 프로세스를 이용하여 웨이퍼 상에 증착된다. USG 증착된 트렌치의 외부는 후속적으로 에칭 또는 화학 기계적 연마(CMP)에 의해 제거되어 최종 STI 구조물을 나타낼 수도 있다.
도 1a 및 도 1b에 도시된 종래의 장치는 STI 및 다른 응용에 있어서, 고온에서 USG와 같은 재료를 증착시키는데 성공적으로 이용되어 왔다. 그러나, 고온 증착 장치의 설계는 개선되는 것이 필요하다. 예를 들어, 샤워헤드를 웨이퍼에 보다 가깝게 이격시킴으로써 보다 신속한 증착 속도가 달성될 수도 있음이 공지되어 있다. 보다 신속한 증착 속도는 증착 장치의 처리량을 향상시킴으로써, 작업자가 장치를 구입하고 유지시키는 비용을 보다 신속하게 보상할 수 있게 한다.
그러나, 샤워헤드에 대해 보다 가까운 웨이퍼의 간격은 웨이퍼 상에서 얼룩(spotting) 또는 줄무늬(streaking)로서 관찰되는 불균일한 형상을 나타내는 증착된 재료를 야기할 수 있다. 이러한 근접한 웨이퍼 대 샤워헤드 간격에서 증착된 재료의 형상은 면판 상의 홀의 위치를 반영할 수도 있다.
도 3a 및 도 3b는 본 발명의 실시예에 따른 재료 증착의 결과를 도시하는 사진이다. 도 3a는 면판 대 웨이퍼의 간격이 75밀인 종래의 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 3a의 웨이퍼는 상당한 얼룩과 줄무늬를 나타낸다.
도 3b는 면판 대 웨이퍼의 간격이 50밀인 종래의 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 3b의 웨이퍼는 도 3a의 웨이퍼 보다 현저한 얼룩과 줄무늬를 나타낸다.
따라서, 기판의 표면에 근접해서 프로세싱 가스의 도포를 허용하는 방법 및 구조물이 필요하다.
본 발명에 따른 실시예들은 일반적으로 반도체 소자의 제조에 이용되는 방법 및 장치에 관한 것이며, 보다 구체적으로 고온 증착 프로세스에 사용되는 가스 분배 샤워헤드에 관한 것이다.
도 1a는 종래의 고온 증착 시스템의 단순 횡단면도이며,
도 1b는 도 1a의 시스템의 종래의 가스 분배 샤워헤드의 면판의 바닥 투시도이며,
도 2는 종래의 쉘로우 트렌치 분리 구조의 횡단면도이며,
도 3a는 면판 대 웨이퍼 간격이 75밀인 종래의 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 3b는 면판 대 웨이퍼 간격이 50밀인 종래의 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 4a는 본 발명의 일 실시예에 따른 고온 증착 시스템의 단순 횡단면도이며,
도 4b는 본 발명에 따른 가스 분배 샤워헤드용 면판의 일 실시예의 평면도이며,
도 4c는 본 발명에 따른 가스 분배 샤워헤드용 면판의 일 실시예의 저면도이며,
도 4d는 도 4a 및 도 4b의 면판의 확대 횡단면도이며,
도 5a는 면판 대 웨이퍼 간격이 75밀인, 본 발명의 실시예에 따른 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 5b는 면판 대 웨이퍼 간격이 50밀인, 본 발명의 실시예에 따른 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 6a는 홀과 연장된 슬롯을 모두 지지하는 복합 면판의 평면도이며,
도 6b는 면판 대 웨이퍼 간격이 75밀인 경우에, 복합 홀/슬롯 구조를 갖는 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 6c는 면판 대 웨이퍼 간격이 50밀인 경우에, 복합 홀/슬롯 구조를 갖는샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이며,
도 7a 내지 도 7d는 상이한 패턴의 연장된 슬롯을 지지하는 본 발명의 실시예에 따른 면판의 단순 평면도이며,
도 8은 상이한 온도와 압력에서 USG 증착에 대한 증착 속도 대 면판 대 웨이퍼 간격을 도시하며,
도 9는 넓은 범위의 면판 대 웨이퍼 간격에 대한 증착 속도를 도시하며,
도 10은 상이한 온도와 압력에서 USG 증착 프로세스에 대한 % 필름 수축(shrinkage) 및 습식 에칭 선택비 대 면판 대 웨이퍼 간격을 도시하며,
도 11a 및 도 11b는 각각 종래의 샤워헤드와 본 발명에 따른 샤워헤드를 이용하여 고온 USG 증착에 의해 형성된 쉘로우 트렌치 분리 구조의 횡단면의 사진을 도시하며,
도 12는 면판 대 웨이퍼의 두 간격에 대해 측정된 추가 질량 유량(mass flow) 대 웨이퍼의 중심으로부터의 거리를 도시하며,
도 13은 본 발명에 따른 고온 증착 시스템의 대안적인 실시예의 단순 횡단면도이며,
도 14는 세 개의 상이한 면판 프로파일에 대해 측정된 추가 질량 유량 대 웨이퍼 중심으로부터의 거리를 도시한다.
반도체 제조 분야용 가스 분배 샤워헤드는 분리된 홀 보다는 연장된 슬롯 또는 채널 형태의 가스 출구 포트를 갖는 면판을 포함한다. 본 발명의 실시예에 따른 연장된 가스 출구 포트의 이용은 실질적으로 샤워헤드가 웨이퍼로부터 가깝게 이격된 경우에도 증착된 재료의 바람직하지 않은 얼룩 및 줄무늬의 발생을 감소시킨다. 증착된 재료의 에지 두께를 감소시키기 위해 경사진 프로파일을 갖는 샤워헤드가 또한 개시된다.
반도체 웨이퍼 상에 재료를 형성하는 장치의 실시예는 벽에 의해 한정된 프로세싱 챔버, 프로세싱 가스 공급원, 및 프로세싱 챔버 내에 위치되고 반도체 웨이퍼를 수용하도록 구성된 웨이퍼 지지부를 포함한다. 가스 분배 샤워헤드는 웨이퍼 지지부로부터 분리되어 위에 놓이고, 가스 분배 샤워헤드는 면판의 출구부의 연장된 슬롯과 유체 연통하는 홀을 포함하는 유입구를 구비한 면판을 포함하며, 연장된 슬롯의 길이는 면판 두께의 적어도 2배이다.
본 발명에 따른 가스 분배 면판의 실시예는 소정 두께를 갖는 면판 본체를 포함한다. 면판의 유입부는 프로세싱 가스의 유동을 수용하도록 구성되며, 유입구는 소정 폭을 갖는 어퍼쳐를 포함한다. 면판의 출구부는 프로세싱 가스 유동을 반도체 웨이퍼로 이송시키도록 구성되고, 출구부는 어퍼쳐와 유체 연통하는 연장된 슬롯을 포함하며, 연장된 슬롯은 면판 본체 두께의 적어도 두배를 갖는다.
반도체 웨이퍼 상에 재료를 형성하는 장치는 벽에 의해 한정된 프로세싱 챔버, 프로세싱 가스 공급원, 및 프로세싱 챔버 내에 위치되고 반도체 웨이퍼를 수용하도록 구성된 웨이퍼 지지부를 포함한다. 가스 분배 샤워헤드는 웨이퍼 지지부를 덮고 웨이퍼 지지부에 근접한 테이퍼진 면판을 포함하며, 테이퍼진 면판의 에지가 면판의 중심 두께에 비해 감소된 두께를 가져, 웨이퍼 지지부와 접촉하고 있는 웨이퍼 상에 증착된 재료가 균일한 중심 대 에지 두께를 나타낸다.
반도체 제조 프로세스 중에 가스를 분배하는 방법은 가스를 가스 공급원으로부터 소정 폭을 갖는 홀을 특징으로 하는 가스 분배 면판의 유입부로 유동시키는 단계, 및 가스를 홀로부터 가스 분배 면판의 출구부의 연장된 슬롯을 통해 반도체 웨이퍼의 표면으로 유동시키는 단계를 포함하며, 연장된 슬롯은 가스 분배 면판 두께의 적어도 두 배의 길이를 갖는다.
본 발명의 이러한 실시예 및 다른 실시예 뿐만 아니라, 그 특징 및 소정의 잠재적인 장점이 이후의 설명 및 첨부 도면을 참조하여 보다 자세히 설명된다.
본 발명에 따른 가스 분배 샤워헤드의 실시예는 연장된 슬롯 또는 채널 형태의 가스 출구부를 갖는 면판을 포함한다. 본 발명의 실시예에 따라 연장된 출구부의 이용은 가까운 면판 대 웨이퍼 간격에서 증착된 재료의 바람직하지 않은 얼룩 및 줄무늬의 발생을 실질적으로 감소시킨다. 증착된 재료의 에지 두께를 감소시키기 위해 테이퍼진 프로파일을 갖는 샤워헤드가 또한 개시된다.
도 4a는 본 발명에 따른 화학 기상 증착 시스템의 일 실시예의 단순 횡단면도이다. 장치(300)는 웨이퍼 지지 구조물(304)과 접촉하고 있고 증착 챔버(306) 내에 수용된 웨이퍼(302)를 포함한다. 가스 분배 샤워헤드(308)는 웨이퍼(302) 위에 위치되고 웨이퍼(302)로부터 갭 Y'만큼 분리되어 있다.
가스 분배 샤워헤드(308)는 어퍼쳐(314)를 갖는 블로커판(312)과 유체 연통하는 프로세스 가스 유입구(310)를 포함한다. 두께 Z의 본체(315)를 갖는 가스 분배 면판(316)은 블로커판(312) 아래에 위치된다. 면판(316)은 블로커판(312)으로부터 프로세스 가스의 유동을 수용하고 이 가스를 본체(315) 내의 어퍼쳐(318)를 통해 웨이퍼(302)로 유동시킨다.
전체 증착 장치의 도시를 위해, 도 4a는 일정한 단면 프로파일을 갖는 어퍼쳐(318)를 도시하기 위해 단순화된다. 그러나, 본 출원의 양수인에게 공동 양도된 미국 특허 제 4,854,263호에는 가스 유동 방향을 가로지르는 횡단면의 증가를 나타내는 면판 어퍼쳐의 수치가 개시되어 있다.
도 4b는 본 발명에 따른 가스 분배 샤워헤드용 면판(316)의 일 실시예의 평면도(가스 유입구)이다. 도 4c는 본 발명에 따른 가스 분배 샤워헤드용 면판(316)의 일 실시예의 저면도(가스 출구)이다.
도 4b에 도시된 바와 같이, 블로커판으로부터 대략적으로 균일하게 분포된프로세스 가스의 유동을 수용하는 면판(316)의 가스 입구측(316a)은 직경이 X인 다수의 분리된 홀(318a)을 포함한다. 도 4c에 도시된 것처럼, 미세하게 분포된 프로세스 가스를 면판으로부터 웨이퍼로 이송시키는 면판(316)의 가스 출구측(316b)은 길이가 L인 다수의 연속적인 연장된 슬롯(318b)을 포함한다. 연장된 슬롯(318b)은 하나 이상의 분리된 홀(318a)로부터 가스 유동을 수용할 수도 있다. 면판(316)의 두께 Z의 절반 이상인 길이 L을 갖는 연장된 슬롯을 제공하면, 증착된 재료가 얼룩 및 줄무늬와 같은 원치 않는 형상 특성을 나타냄이 없이 면판(316)이 웨이퍼의 표면에 가깝게 위치될 수 있음이 공지되어 있다.
도 4d는 도 4a 내지 도 4c의 면판의 확대된 횡단면도이다. 도 4d는 도시된 특정 실시예에서 유동 유입부(316a)에서 홀(318a)의 횡단면 폭 X가 유동 출구부(316b)에서 연장된 슬롯(318b)의 횡단면 폭 X'보다 실질적으로 좁다는 것을 도시한다. 본 발명의 실시예는 2.25 이상의 X'/X의 비를 갖는 연장된 면판 슬롯을 이용할 수도 있다.
도 5a 및 도 5b는 본 발명의 실시예에 따른 재료 증착 결과를 도시하는 사진이다. 도 5a는 면판 대 웨이퍼 간격이 75밀인 경우에 본 발명의 실시예에 따른 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 5a의 웨이퍼는 도 3a에 도시된 종래의 샤워헤드를 이용하여 동일한 간격에서 증착된 웨이퍼 보다 실질적으로 적은 얼룩 및 줄무늬를 나타낸다.
도 5b는 면판 대 웨이퍼 간격이 50밀인 경우에 본 발명의 실시예에 따른 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 5b의웨이퍼는 도 3b에 도시된 종래의 샤워헤드를 이용하여 동일한 간격에서 증착된 웨이퍼 보다 실질적으로 적은 얼룩 및 줄무늬를 나타낸다.
본 발명의 개발 중에, 종래의 홀과 연장된 슬롯 개구를 모두 지지하는 복합 면판이 웨이퍼 상에 USG를 증착하기 위해 사용되었다. 도 6a는 종래의 홀(454)을 포함하는 제 1 영역(452)과, 본 발명의 실시예에 따른 연장된 홀(458)을 포함하는 제 2 영역(456)을 포함하는 복합 샤워헤드(450)의 단순 평면도를 도시한다.
도 6b는 면판 대 웨이퍼 간격이 75밀일 때 도 6a의 복합 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 6c는 면판 대 웨이퍼 간격이 50밀일 때 복합 홀/슬롯 구조를 갖는 샤워헤드로부터 증착된 USG 필름을 지지하는 웨이퍼를 도시하는 사진이다. 도 6b 및 도 6c 모두는 연장된 슬롯을 통해 증착된 재료(402)가 복합 면판의 종래 홀로부터 증착된 재료(400) 보다 실질적으로 평탄한 형상을 나타냄을 도시한다.
전술한 도면은 출구측 상에 다수의 연속적이고 동심적으로 배향된 슬롯을 지지하는 샤워헤드를 도시하지만, 이러한 특정 구성이 본 발명에서 요구되는 것은 아니다. 연장된 슬롯의 다른 구성이 이용될 수 있고, 샤워헤드는 본 발명의 범위 내에 있을 것이다.
도 7a 내지 도 7d는 각각 연장된 슬롯의 상이한 배향을 지지하는 본 발명에 따른 가스 분배 면판의 다양한 대안적인 실시예의 출구부의 단순 저면도이다. 도 7a의 면판 출구부(660)는 원주방향으로 배향된 다수의 불연속 슬롯(662)을 지지한다. 도 7b의 면판 출구부(664)는 방사방향으로 배향된 다수의 불연속 슬롯(666)을지지한다. 도 7c의 면판 출구부(668)는 동심적으로도 아니고 방사방향으로도 아닌 배타적으로 배향된 다수의 불연속 슬롯(670)을 지지한다. 도 7d의 면판 출구부(672)는 종래의 홀(676)과 조합된 다수의 불연속 슬롯(674)을 지지한다.
본 발명에 따른 장치 및 방법의 실시예는 많은 장점을 제공한다. 예를 들어, 도 8은 USG 증착 프로세스에 대해 상이한 온도에서 증착 속도 대 면판 대 웨이퍼 간격을 도시한다. 도 8은 510℃ 내지 540℃에서 일어나는 증착 프로세스에 대해, 면판 대 웨이퍼 간격의 감소가 증착 속도의 증가를 야기함을 도시한다. 이러한 관계는 보다 가까운 면판 대 웨이퍼 간격에서 보다 현저하다.
도 9는 보다 넓은 범위(50-250밀)의 면판 대 웨이퍼 간격에서 USG 증착 속도를 도시한다. 도 9는 이러한 보다 넓은 범위에 걸쳐 도 8의 결과와 일치한다. 특히, 도 9는 보다 가까운 간격에서 USG 증착 속도의 증가를 나타내고, 또한 보다 가까운 간격에서 증착 속도에 보다 현저한 효과를 나타낸다.
도 10은 USG 증착 프로세스에 대해 상이한 온도 및 압력에서 % 필름 수축 및 습식 에칭 선택비 대 면판 대 웨이퍼 간격을 도시한다. 도 10은 510℃ 및 540℃에서 증착된 USG 필름이 가까운 면판 대 웨이퍼 간격에서 증착될 때 낮은 수축을 나타냄을 도시한다. 이러한 데이타는 가까운 간격에서 보다 조밀하고 높은 품질의 필름을 형성함을 나타낸다.
도 10의 습식 에칭 데이타는 가까운 면판 대 웨이퍼 간격에서 증착된 개선된 품질의 층의 발견과 상호관련된다. 특히, 보다 가까운 면판 대 웨이퍼 간격에서 증착된 USG 필름은 보다 큰 밀도와 일치하는 습식 에칭 선택비를 나타내었다.
도 11a 및 도 11b는 본 발명에 따른 샤워헤드를 이용하여 고온 USG 증착에 의해 형성된 쉘로우 트렌치 분리 구조의 단면 사진이다. 도 11a 및 도 11b에 도시된 USG 증착 프로세스는 면판 대 웨이퍼 간격이 75밀인 경우 510℃의 온도에서 일어난다. 상기 사진은 USG가 1050℃에서 60분 동안 사후 증착 어닐링 후에 쉘로우 트렌치 구조물을 채움을 도시한다. 도 11a 및 도 11b는 종래의 면판 설계를 이용한 프로세스와 비교할 때 유사한 품질의 갭 충진이 본 발명의 실시예에 따른 프로세스로 달성됨을 도시한다.
지금까지 본 발명은 도핑되지 않은 실리케이트 유리의 고온 증착에서 이용되는 실리콘 함유 전구체 가스의 유동과 관련하여 설명되었지만, 본 발명은 이러한 특정 실시예에 제한되지 않는다. 본 발명의 실시예에 따른 샤워헤드는 포스포실리케이트 유리(PSG), 보로실리케이트 유리(BSG), 또는 보로포스포실리케이트 유리(BPSG) 형태의 도핑된 실리콘 산화물의 화학 기상 증착을 포함하지만 이에 제한되지 않는 반도체 제조 프로세스의 어레이에 유용한 광범위한 가스를 분포시키는데 이용될 수도 있다.
본 발명의 실시예에 따른 샤워헤드를 이용하여 분포될 수도 있는 가스의 예로는 테트라에틸올소실란(TEOS), 트리에틸포스페이트(TEPO), 및 트리에틸보레이트(TEB)를 포함하지만 이에 한정되지 않는다. 본 발명은 전구체 가스의 유동을 분배시키는데 제한되지 않고, CVD 반응에 직접 참여하지 않는 He 및 N2와 같은 캐리어 가스를 유동시키는데 이용될 수 있다.
본 발명의 실시예에 따른 샤워헤드는 금속, 나이트라이드, 및 옥시나이트라이드를 포함하지만 이에 한정되지 않는 실리콘 산화물 이외의 재료 형성을 위해 전구체 가스를 유동시키는데 이용될 수도 있다. 그리고 샤워헤드는 고온 CVD 프로세스와 관련하여 설명되지만, 본 발명의 실시예에 따른 실시예는 플라즈마 강화 화학 기상 증착(PECVD) 프로세스 또는 저압 화학 기상 증착(SACVD) 프로세스와 같은 다른 형태의 CVD 프로세스에서 가스를 유동시키는데 이용될 수도 있다.
본 발명에 따른 실시예는 또한 화학 기상 증착 프로세스와 관련하여 이용되는 것에 제한되지 않는다. 본 발명에 따른 샤워헤드는 건식 또는 플라즈마 에칭 프로세스와 같은 다른 형태의 반도체 제조 프로세스에서 가스를 유동시키는데 이용될 수도 있다.
본 발명에 따른 실시예는 또한 슬롯 샤워헤드 면판의 이용에 제한되지 않는다. 도 4a를 다시 참조하면, 웨이퍼(302)에 대한 샤워헤드(308)의 근접 결과는 웨이퍼의 에지 부근에서 프로세스 가스의 하방향 유동의 증가일 수도 있다. 웨이퍼 에지에서 질량 유량의 증가는 증착된 재료(320)의 증가된 에지 두께(320a)를 일으킬 수도 있다.
도 12는 면판 대 웨이퍼의 두 간격에 대해 측정된 추가 질량 유량(mass flow) 대 웨이퍼의 중심으로부터의 거리를 도시한다. 0.270"의 종래의 넓은 면판 대 웨이퍼 간격에서, 증착은 웨이퍼의 중심으로부터 에지까지 상대적으로 일정한 질량 유량을 추가시켰다. 그러나, 0.075"의 보다 좁은 면판 대 웨이퍼 간격에서, 프로세스는 웨이퍼의 주변 영역에 현저한 추가 질량 유량을 나타낸다. 이러한 추가 질량 유량은 웨이퍼의 중심에서 보다 에지에서 상당히 큰 두께를 갖는 증착된 재료 층을 발생시킬 수도 있다.
따라서, 본 발명의 샤워헤드의 대안적인 실시예는 가까운 면판 대 웨이퍼 간격에서 증착된 재료의 증가된 에지 두께를 방지하기 위해 테이퍼진 프로파일을 갖는 면판을 이용할 수도 있다. 도 13은 본 발명에 따른 고온 증착 시스템의 대안적인 실시예의 단순 횡단면도이다. 장치(900)는 웨이퍼 지지 구조물(904)과 접촉하고 있고 증착 챔버(906) 내에 위치된 웨이퍼(902)를 포함한다. 가스 분배 샤워헤드(908)는 웨이퍼(902) 위에 위치되고 웨이퍼(902)로부터 갭 Y"만큼 떨어져 있다.
가스 분배 샤워헤드(908)는 어퍼쳐(916)를 갖는 블로커판(914)과 유체 연통하는 프로세스 가스 유입구(912)를 포함한다. 가스 분배 면판(918)은 블로커판(914) 아래에 위치된다. 면판(918)은 블로커판(914)으로부터 프로세스 가스의 유동을 수용하고 이 가스를 홀(920)을 통해 웨이퍼(902)로 유동시킨다.
도 4a에서 설명된 바와 같이, 웨이퍼에 대한 면판의 근접 위치는 웨이퍼의 에지에 향상된 질량 유량을 야기할 수도 있다. 따라서, 도 13에 도시된 실시예는 테이퍼진 프로파일을 갖는 면판(918)을 포함한다. 특히, 면판(918)의 에지부(918a)는 면판(918)의 중심부(918b)에 대해 리세스된다. 테이퍼 각 A는 면판 중심과 에지 사이의 두께 차이에 의해 정의되는 각도이며, 약 0.5°내지 약 5°범위일 수도 있다.
가스 분배 샤워헤드의 이용은 가까운 면판 대 웨이퍼 간격에서 증착된 재료의 개선된 두께 균일성을 특징으로 한다. 표 A는 테이퍼진 면판 그리고 평탄한 면판에 의해, 100 및 75밀의 간격에서 증착된 재료에 대해 증착 속도, 두께 균일성, 및 두께 범위를 비교한다.
표 A는 테이퍼진 면판을 이용하는 증착이 보다 균일한 중심 대 에지 두께를 갖는 재료층의 형성을 야기함을 나타낸다. 표 A의 데이타는 연장된 슬롯을 갖는 평탄한 면판과 테이퍼진 면판을 이용한 증착을 반영하지만, 본 발명의 실시예에 따른 테이퍼진 면판은 연장된 슬롯을 가질 것을 요구하지 않는다.
도 14는 세 개의 상이한 면판 프로파일에 대해 측정된 추가 질량 유량 대 웨이퍼 중심으로부터의 거리를 도시한다. 도 14는 웨이퍼를 가로질러 추가 질량 유량의 피크 대 밸리(peak-to-valley) 변화가 각각 0.025" 및 0.050"만큼 갭을 테이퍼링시킴으로써 35% 및 46% 감소됨을 나타낸다. 본 발명의 실시예에 따른 테이퍼진 면판 구조물의 이용은 800Å 이하의 중심 대 에지 두께 변화를 나타내는 재료층의 증착을 야기할 수도 있다.
본 발명의 단지 소정의 실시예가 본 출원에서 도시되고 설명된다. 본 발명이 다양한 다른 조합 및 환경에서 사용될 수 있고 본 발명의 범위로부터 벗어남이 없이 변경 및 수정될 수 있음을 이해해야 한다. 예를 들어, 본 발명의 실시예에따른 장치 및 방법은 소정의 특정 크기의 반도체 웨이퍼 프로세싱에 제한되지 않고, 200mm 직경의 웨이퍼, 300mm 직경의 웨이퍼, 또는 다른 형상 및 크기의 반도체 웨이퍼와 관련된 반도체 제조 프로세스에 유용하다.
본 발명의 상세한 설명 및 전술된 다양한 실시예가 개시되지만, 명백한 변형예 및 수정예와 함께 그 균등예 및 대안예가 본 발명의 범위에 포함된다고 의도된다.

Claims (29)

  1. 반도체 웨이퍼 상에 재료를 형성하는 장치로서,
    벽에 의해 한정된 프로세싱 챔버,
    상기 프로세싱 챔버 내에 위치되고 반도체 웨이퍼를 수용하도록 구성된 웨이퍼 지지부,
    프로세싱 가스 공급원, 및
    상기 웨이퍼 지지부로부터 분리되어 그 위에 놓이는 가스 분배 샤워헤드를 포함하며,
    상기 가스 분배 샤워헤드는 면판을 포함하며, 상기 면판은 상기 면판의 출구부의 연장된 슬롯과 유체 연통하는 홀을 포함하는 유입부를 구비하는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  2. 제 1 항에 있어서,
    상기 연장된 슬롯의 길이가 상기 면판 두께의 절반 이상인,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  3. 제 1 항에 있어서,
    상기 가스 분배 샤워헤드는 천공부를 구비한 블로커판을 더 포함하며, 상기 블로커판은 상기 면판의 유입부 상류에서 상기 면판의 유입부가 유체 연통하도록위치되는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  4. 제 1 항에 있어서,
    상기 연장된 슬롯은 연속적이고 동심적으로 배향된,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  5. 제 1 항에 있어서,
    상기 연장된 슬롯의 단면 폭은 상기 홀의 단면 폭 보다 큰,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  6. 제 5 항에 있어서,
    상기 연장된 슬롯의 단면 폭은 상기 홀의 단면 폭 보다 2.25배 이상 큰,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  7. 가스 분배 면판으로서,
    소정 두께를 갖는 면판 본체,
    프로세싱 가스의 유동을 수용하도록 구성되고, 폭을 갖는 어퍼쳐를 포함하는 유입부,
    상기 프로세싱 가스 유동을 반도체 웨이퍼로 이송시키도록 구성되고, 상기어퍼쳐와 유체 연통하는 연장된 슬롯을 포함하는 출구부를 포함하는,
    가스 분배 면판.
  8. 제 7 항에 있어서,
    상기 연장된 슬롯이 상기 면판 본체 두께의 절반 이상의 길이를 갖는,
    가스 분배 면판.
  9. 제 7 항에 있어서,
    상기 연장된 슬롯이 원형이고 연속적인,
    가스 분배 면판.
  10. 제 7 항에 있어서,
    상기 연장된 슬롯의 폭이 상기 어퍼쳐의 폭 보다 큰,
    가스 분배 면판.
  11. 제 10 항에 있어서,
    상기 연장된 슬롯의 폭이 상기 어퍼쳐의 폭 보다 2.25배 이상 큰,
    가스 분배 면판.
  12. 반도체 웨이퍼 상에 재료를 형성하는 장치로서,
    벽에 의해 한정된 프로세싱 챔버,
    상기 프로세싱 챔버 내에 위치되고 반도체 웨이퍼를 수용하도록 구성된 웨이퍼 지지부,
    프로세싱 가스 공급원, 및
    상기 웨이퍼 지지부 위에 놓이고 상기 웨이퍼 지지부에 근접한 테이퍼진 면판을 포함하는 가스 분배 샤워헤드를 포함하며,
    상기 테이퍼진 면판의 에지가 테이퍼 각도를 형성하도록 상기 면판의 중심 두께에 비해 감소된 두께를 나타내어 상기 웨이퍼 지지부와 접촉하고 있는 웨이퍼 상에 증착된 재료가 균일한 중심 대 에지 두께를 나타내는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  13. 제 12 항에 있어서,
    상기 테이퍼 각도는 약 0.5°내지 5°범위인,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  14. 제 12 항에 있어서,
    상기 테이퍼진 면판은,
    프로세싱 가스의 유동을 수용하도록 구성되고, 폭을 갖는 어퍼쳐를 포함하는 유입부,
    상기 프로세싱 가스 유동을 반도체 웨이퍼로 이송시키도록 구성되고, 상기어퍼쳐와 유체 연통하는 연장된 슬롯을 포함하는 출구부를 포함하는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  15. 제 14 항에 있어서,
    상기 연장된 슬롯이 상기 면판 두께의 절반 이상의 길이를 갖는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  16. 제 14 항에 있어서,
    상기 연장된 슬롯은 원형이고 연속적인,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  17. 제 14 항에 있어서,
    상기 연장된 슬롯의 폭이 상기 어퍼쳐의 폭 보다 큰,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  18. 제 17 항에 있어서,
    상기 연장된 슬롯의 폭이 상기 어퍼쳐의 폭 보다 2.25배 이상 큰,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  19. 반도체 제조 프로세스 중에 가스를 분배하는 방법으로서,
    가스 공급원으로부터의 가스를 소정 폭을 갖는 홀을 특징으로 하는 가스 분배 면판의 유입부로 유동시키는 단계, 및
    상기 홀로부터의 상기 가스를 상기 가스 분배 면판의 출구부의 연장된 슬롯을 통해 반도체 웨이퍼의 표면으로 유동시키는 단계를 포함하는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  20. 제 19 항에 있어서,
    상기 가스는 상기 가스 분배 면판 두께의 절반 이상의 길이를 갖는 연장된 슬롯을 통해 유동되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  21. 제 19 항에 있어서,
    캐리어 가스 및 프로세스 가스 중 하나 이상이 상기 면판을 통해 유동되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  22. 제 19 항에 있어서,
    상기 가스가 화학 기상 증착(CVD) 프로세스 중에 유동되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  23. 제 19 항에 있어서,
    상기 가스가 도핑되지 않은 실리케이트 유리의 고온 증착 프로세스 중에 유동되고, 상기 면판과 상기 웨이퍼 사이의 간격이 300밀 이하인,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  24. 제 19 항에 있어서,
    상기 유동된 가스는 테트라에틸올소실란(TEOS), 트리에틸포스페이트(TEPO), 트리에틸보레이트(TEB), 오존(O3), 산소, 헬륨, 및 질소(N2)로 구성된 그룹 중 하나 이상으로부터 선택되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  25. 제 19 항에 있어서,
    상기 유동된 가스는 보로실리케이트 유리(BSG), 포스포실리케이트 유리(PSG), 및 보로포스포실리케이트 유리(BPSG)로 구성된 그룹으로부터 선택된 재료의 증착을 야기하는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  26. 제 19 항에 있어서,
    상기 가스는 약 0.5°내지 5°범위의 면판 테이퍼 각도를 형성하도록 중심부에 대해 리세스된 에지부를 갖는 상기 가스 분배 면판으로부터 유동되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  27. 제 19 항에 있어서,
    상기 가스는 건식 에칭 프로세스 중에 유동되는,
    반도체 제조 프로세스 중에 가스를 분배하는 방법.
  28. 반도체 웨이퍼 상에 재료를 형성하는 장치로서,
    벽에 의해 한정된 프로세싱 챔버,
    상기 프로세싱 챔버 내에 위치되고 반도체 웨이퍼를 수용하도록 구성된 웨이퍼 지지부,
    프로세싱 가스 공급원, 및
    상기 웨이퍼 지지부 위에 놓이고 상기 웨이퍼 지지부에 근접한 테이퍼진 면판을 포함하는 가스 분배 샤워헤드를 포함하며,
    상기 테이퍼진 면판이, 프로세싱 가스의 유동을 수용하도록 구성되고 소정 폭을 갖는 어퍼쳐를 포함하는 유입부와, 상기 프로세싱 가스 유동을 반도체 웨이퍼로 이송하도록 구성되고 어퍼쳐와 유체 연통하는 연장된 슬롯을 포함하는 출구부를 포함하며,
    상기 테이퍼진 면판의 에지가 테이퍼 각도를 형성하도록 상기 면판의 중심 두께에 비해 감소된 두께를 나타내어, 상기 웨이퍼 지지부와 접촉하고 있는 웨이퍼 상에 증착된 재료가 균일한 중심 대 에지 두께를 나타내는,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
  29. 제 28 항에 있어서,
    상기 테이퍼 각도가 약 0.5°내지 5°범위인,
    반도체 웨이퍼 상에 재료를 형성하는 장치.
KR1020047011422A 2002-01-25 2002-11-27 가스 분배 샤워헤드 KR100993037B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/057,280 2002-01-25
US10/057,280 US6793733B2 (en) 2002-01-25 2002-01-25 Gas distribution showerhead
PCT/US2002/038035 WO2003064725A1 (en) 2002-01-25 2002-11-27 Gas distribution showerhead

Publications (2)

Publication Number Publication Date
KR20040085164A true KR20040085164A (ko) 2004-10-07
KR100993037B1 KR100993037B1 (ko) 2010-11-08

Family

ID=27609412

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047011422A KR100993037B1 (ko) 2002-01-25 2002-11-27 가스 분배 샤워헤드

Country Status (6)

Country Link
US (1) US6793733B2 (ko)
JP (1) JP4426306B2 (ko)
KR (1) KR100993037B1 (ko)
CN (1) CN100342057C (ko)
TW (1) TWI283437B (ko)
WO (1) WO2003064725A1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101333379B1 (ko) * 2008-09-24 2013-11-28 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 산화 아연막(ZnO) 또는 산화 마그네슘아연막(ZnMgO)의 성막 방법 및 산화 아연막 또는 산화 마그네슘아연막의 성막 장치
KR20140020887A (ko) * 2011-01-31 2014-02-19 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 원자 층 증착 장치 및 방법
KR20200118259A (ko) * 2011-10-19 2020-10-14 어플라이드 머티어리얼스, 인코포레이티드 균일한 가스 유동을 제공하기 위한 장치 및 방법

Families Citing this family (399)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
AU2003282533A1 (en) * 2002-08-08 2004-02-25 Trikon Technologies Limited Improvements to showerheads
US7141483B2 (en) 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
ATE491220T1 (de) * 2005-10-05 2010-12-15 Pva Tepla Ag Plasmaätzverfahren und ätzkammer
TWI329136B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100735728B1 (ko) * 2006-02-15 2007-07-06 이용연 일체 웰딩형 샤워 헤드
KR100943431B1 (ko) 2006-04-13 2010-02-19 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US8702866B2 (en) * 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US11136667B2 (en) 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
EP1970468B1 (de) * 2007-03-05 2009-07-15 Applied Materials, Inc. Beschichtungsanlage und Gasleitungssystem
WO2008117832A1 (ja) * 2007-03-27 2008-10-02 Canon Anelva Corporation 真空処理装置
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
WO2009059238A1 (en) 2007-11-02 2009-05-07 Applied Materials, Inc. Plasma treatment between deposition processes
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
JP5150217B2 (ja) * 2007-11-08 2013-02-20 東京エレクトロン株式会社 シャワープレート及び基板処理装置
CN101453822B (zh) * 2007-12-04 2013-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 喷嘴及反应腔室
US8876024B2 (en) * 2008-01-10 2014-11-04 Applied Materials, Inc. Heated showerhead assembly
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
US8729425B2 (en) * 2008-02-25 2014-05-20 Epilog Corporation Air assist apparatus and method for an engraving laser
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
KR20090102955A (ko) * 2008-03-27 2009-10-01 주식회사 유진테크 기판처리장치 및 기판처리방법
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US9714465B2 (en) * 2008-12-01 2017-07-25 Applied Materials, Inc. Gas distribution blocker apparatus
JP5662334B2 (ja) * 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
JP5777615B2 (ja) 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110088760A1 (en) * 2009-10-20 2011-04-21 Applied Materials, Inc. Methods of forming an amorphous silicon layer for thin film solar cell application
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US20120024478A1 (en) * 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
KR101249999B1 (ko) * 2010-08-12 2013-04-03 주식회사 디엠에스 화학기상증착 장치
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
CN103370765B (zh) 2010-12-23 2016-09-07 六号元素有限公司 控制合成金刚石材料的掺杂
GB201021870D0 (en) * 2010-12-23 2011-02-02 Element Six Ltd A microwave plasma reactor for manufacturing synthetic diamond material
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
CN104916564B (zh) * 2014-03-13 2018-01-09 北京北方华创微电子装备有限公司 反应腔室以及等离子体加工设备
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
KR102451499B1 (ko) * 2014-05-16 2022-10-06 어플라이드 머티어리얼스, 인코포레이티드 샤워헤드 설계
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
GB2528141B (en) * 2014-09-18 2016-10-05 Plasma App Ltd Virtual cathode deposition (VCD) for thin film manufacturing
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP1545606S (ko) * 2015-08-26 2016-03-14
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10775533B2 (en) * 2016-02-12 2020-09-15 Purdue Research Foundation Methods of forming particulate films and films and devices made therefrom
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106735642A (zh) * 2017-03-15 2017-05-31 广东工业大学 一种电射流掩膜加工系统及其喷头
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10450655B2 (en) * 2017-10-27 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with multi-zone thickness control
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7365761B2 (ja) * 2018-08-24 2023-10-20 株式会社ニューフレアテクノロジー 気相成長装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
US20220307138A1 (en) 2019-06-10 2022-09-29 Swegan Ab Reactor for gas treatment of a substrate
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
CN110129766B (zh) * 2019-06-11 2021-06-11 广东先导稀材股份有限公司 镀膜装置以及石英舟表面镀覆系统
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TWI737250B (zh) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 氣體噴灑裝置、半導體基板處理設備及其操作方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111889030B (zh) * 2020-07-28 2023-02-10 茂名立强化学有限公司 一种4-氯乙酰乙酸酯的合成装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010295A1 (ko) * 2022-07-08 2024-01-11 주성엔지니어링(주) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) * 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
JPH01283375A (ja) 1988-05-09 1989-11-14 Fujitsu Ltd Cvd装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4268231B2 (ja) 1997-12-12 2009-05-27 忠弘 大見 プラズマ処理装置、表面処理方法および光学部品の製造法
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP1273213B1 (en) * 2000-04-04 2007-03-07 DEK International GmbH Method and apparatus for applying viscous or paste material onto a substrate
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101333379B1 (ko) * 2008-09-24 2013-11-28 도시바 미쓰비시덴키 산교시스템 가부시키가이샤 산화 아연막(ZnO) 또는 산화 마그네슘아연막(ZnMgO)의 성막 방법 및 산화 아연막 또는 산화 마그네슘아연막의 성막 장치
KR20140020887A (ko) * 2011-01-31 2014-02-19 네덜란제 오르가니자티에 포오르 토에게파스트-나투우르베텐샤펠리즈크 온데르조에크 테엔오 원자 층 증착 장치 및 방법
KR20200118259A (ko) * 2011-10-19 2020-10-14 어플라이드 머티어리얼스, 인코포레이티드 균일한 가스 유동을 제공하기 위한 장치 및 방법

Also Published As

Publication number Publication date
CN1659308A (zh) 2005-08-24
TW200302510A (en) 2003-08-01
US6793733B2 (en) 2004-09-21
JP4426306B2 (ja) 2010-03-03
CN100342057C (zh) 2007-10-10
KR100993037B1 (ko) 2010-11-08
US20030140851A1 (en) 2003-07-31
TWI283437B (en) 2007-07-01
JP2005516407A (ja) 2005-06-02
WO2003064725A1 (en) 2003-08-07

Similar Documents

Publication Publication Date Title
KR100993037B1 (ko) 가스 분배 샤워헤드
US11264213B2 (en) Chemical control features in wafer process equipment
US20040060514A1 (en) Gas distribution showerhead
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
TWI785045B (zh) 用於改善之前驅物流動的半導體處理腔室
KR20210075853A (ko) 기판 지지 플레이트 및 이를 포함하는 기판 처리 장치 및 기판 처리 방법
CN111712924B (zh) 空气间隙形成工艺
US20210404064A1 (en) Showerhead for Process Tool
US11222771B2 (en) Chemical control features in wafer process equipment
JP2022524280A (ja) 複数のプレナムおよびガス分配室を有する堆積ツール用のシャワーヘッド
US9053928B2 (en) Wafer and film coating method of using the same
CN111816584B (zh) 喷头、包括其的半导体制造装置以及半导体制造方法
KR102181120B1 (ko) 기판 처리 장치
JP6782546B2 (ja) プラズマ化学気相蒸着装置および方法
KR102179754B1 (ko) Ald 박막 증착 장치
KR20200120860A (ko) 샤워헤드, 그를 포함하는 반도체 소자의 제조 장치, 및 반도체 소자의 제조 방법
CN115552591A (zh) 多区域半导体基板支撑
KR20060084897A (ko) 반도체 제조설비의 샤워헤드
KR20180074351A (ko) Ald 박막 증착 방법
KR20040028234A (ko) 싱글 챔버식 화학 기상증착 장치를 이용한 질화막 증착방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee