CN1659308A - 气体分配喷头 - Google Patents

气体分配喷头 Download PDF

Info

Publication number
CN1659308A
CN1659308A CN028274970A CN02827497A CN1659308A CN 1659308 A CN1659308 A CN 1659308A CN 028274970 A CN028274970 A CN 028274970A CN 02827497 A CN02827497 A CN 02827497A CN 1659308 A CN1659308 A CN 1659308A
Authority
CN
China
Prior art keywords
panel
gas
wafer
gas distribution
elongate slit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN028274970A
Other languages
English (en)
Other versions
CN100342057C (zh
Inventor
K·亚纳基拉曼
N·K·英格尔
Z·袁
S·E·贾诺拉基斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1659308A publication Critical patent/CN1659308A/zh
Application granted granted Critical
Publication of CN100342057C publication Critical patent/CN100342057C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber

Abstract

一种用于半导体制造工艺的气体分配喷头,其具有面板(316),该面板具有细长狭缝或通道形式的气体出口(318B)。按照本发明的实施例,细长气体出口的使用显著减少沉积材料的不期望的斑点形成(spotting)和条纹形成(streaking),其中喷头和晶片近距离间隔开。也公开了具有面板的喷头,而面板具有锥形轮廓,以减小在面板到晶片近间距下沉积材料的边缘厚度。

Description

气体分配喷头
技术领域
按照本发明的实施例一般涉及用于制造半导体器件的方法和装置,具体地涉及高温沉积工艺中采用的气体分配喷头。
背景技术
高温化学气相沉积(CVD)工艺广泛应用于半导体工业。图1A显示用于执行传统的高温化学气相沉积装置的简化剖视图。为了说明的目的,图1A-本申请的另一个图没有按比例显示。
装置100包括晶片支撑结构104,其设置在沉积腔室105内。在衬底加工过程中,晶片102可设置在支撑结构104上面。
气体分配喷头106定位在晶片102的上方,且和晶片102以间隙(gap)Y分开。对具体的应用,间隙Y的大小可通过调整晶片支撑结构104相对于喷头106的高度来控制。例如,在传统的未搀杂硅玻璃(USG)材料的沉积中,间隙Y可约大于300密耳。
气体分配喷头106包括工艺气体入口108,其与具有孔口(apertures)112的阻滞板(blocker plate)110间有流体传输。气体分配面板114定位在阻滞板110的下游。面板114从阻滞板110接收工艺气流,并将气体经孔(hole)116输送到晶片102。作为工艺气体流动的结果,沉积材料层118形成于晶片102上。
图1B显示图1A中传统气体分配面板114透视仰视图。面板114的孔116分布于面板表面上。图1B只显示孔116在面板上分布的一个例子,面板上的孔可有许多其它的布置。
再参考图1A,阻滞板110的作用是粗略地分配进入的工艺气流120于面板114的入口侧114a。面板114再分配气流以产生一致的,精细分配的气流,使晶片102暴露在该气流下。暴露于细分配的处理气流的结果是在晶片102上形成高质量的沉积材料层118。
显示于图1A-1B的传统高温沉积装置在半导体晶片表面上产生结构是有效的。由高温CVD形成的一种类型的结构是浅沟槽隔离(shallow trench isolation,STI)。图2显示晶片200放大的横截面图,该晶片200具有半导体结构202,如有源晶体管。临近有源半导体器件202与另一个器件由STI结构204电绝缘,该STI结构204包括注有介电材料,如未搀杂的硅玻璃(USG)的沟槽。
STI结构是通过掩模和蚀刻晶片暴露区域形成的,从而产生沟槽。掩模然后被除去,且应用高温工艺,沉积USG于晶片上,包括在沟槽内。沉积于沟槽外部的USG随后可通过蚀刻或化学机械抛光(CMP)而除去,以显露出最终的STI结构。
示于图1A-1B中的传统装置已成功应用于为STI和其它应用在高温时沉积材料,如USG。然而,期望对高温沉积装置的设计进行改进。例如,通过使喷头距晶片更近而获得更快的沉积速率是公知的。更快的沉积速率将提高沉积装置的产量,因此使得经营者能够更快地收回购买和维护设备的成本。
然而,晶片相对于喷头隔的更近可导致沉积的材料显示出不均匀的形貌,看起来就是在晶片上形成斑点和条纹。以近的晶片对喷头距离沉积的材料的形貌可反应孔在面板上位置。
图3A-3B是说明按照本发明实施例材料沉积的结果的照片。图3A是显示具有USG膜的晶片的照片,该膜是用传统的喷头沉积的,该喷头面板到晶片间距为75密耳。图3A中的晶片显示有明显的斑点和条纹。
图3B是显示具有USG膜的晶片的照片,该USG膜是用传统的喷头沉积的,该喷头面板到晶片间距为50密耳。图3B中的晶片比图3A中的晶片显示出的斑点和条纹更明显。
因此,期望获得允许在紧邻衬底表面处施加处理气体的方法和结构。
发明内容
半导体制造应用的气体分配喷头(gas distribution showerhead)包括具有气体出口(gas outlet port)的面板(face plate),该气体出口的形式为细长狭缝和通道,而不是独立的孔。按照本发明的实施例,使用细长气体出口显著减少沉积材料的不期望的斑点和条纹的出现,其中喷头与晶片近距离间隔开。也公开了为减小沉积材料的边缘厚度的具有锥形轮廓的喷头。
用于形成材料于半导体晶片上的装置的实施例包括由腔壁形成的处理腔室、处理气体源(gas supply)和定位在处理腔室内且被构造以接收半导体晶片的晶片支撑件。气体分配喷头位于晶片支撑件上方,并与气体分配喷头分隔开,气体分配喷头包括具有入口部分的面板,该入口部分包括孔,其与面板出口部分的细长狭缝输送气流,细长狭缝的长度至少是面板厚度的两倍。
按照本发明的实施例的气体分配面板的实施例包括具有一定厚度的面板主体。构造面板的入口部分以接收处理气流,该入口部分包括具有一定宽度的孔口。构造面板的出口部分以传送加工气流至半导体晶片,该出口部分包括细长狭缝,其与孔口进行气流传送,该细长狭缝的长度至少为面板主体厚度的两倍。
用于形成材料于半导体晶片上的装置,该装置包括由腔壁形成的处理腔室、处理气体源和晶片支撑件,该晶片支撑件定位在加工腔室内,且被构造以接收半导体晶片。气体分配喷头在晶片支撑件上方且包括锥形面板,该锥形面板临近晶片支撑件,该锥形面板边缘显示出相对于面板中心的厚度减小的厚度,以便沉积于晶片上的材料显示出从中心到边缘一致的厚度,该晶片和晶片支撑件接触。
在半导体制造工艺中分配气体的方法包括将气体从气体源流到气体分配面板的入口部分(inlet portion),该气体分配面板具有孔,而该孔有一定的宽度,和将气体从该孔通过气体分配面板的出口部分的细长狭缝流到半导体晶片的表面,该细长狭缝的长度至少为气体分配面板的厚度的两倍。
本发明的这些和其它的实施例,及其特征和某些潜在的优点将在下面结合说明书和附图详细说明。
附图说明
图1A是简化的传统高温沉积系统的剖视图。
图1B是图1A中系统的气体分配喷头的面板透视仰视图。
图2显示传统浅沟槽隔离结构的剖视图。
图3A显示具有USG膜的晶片的照片,该膜是用传统喷头在面板到晶片间距为75密耳的条件下沉积的。
图3B显示具有USG膜的晶片的照片,该膜是用传统喷头在面板到晶片间距为50密耳的条件下沉积的。
图4A是按照本发明的一个实施例简化的高温沉积系统的剖视图。
图4B是面板的一个实施例的俯视图,该面板用于按照本发明的气体分配喷头。
图4C是面板的一个实施例的底视图,该面板用于按照本发明的气体分配喷头。
图4D是图4A-4B中的面板放大的剖视图。
图5A是显示具有USG膜的晶片的照片,该膜是用按照本发明实施例的喷头在面板到晶片间距为75密耳的条件下沉积的。
图5B是显示具有USG膜的晶片的照片,该膜是用按照本发明实施例的喷头在面板到晶片间距为50密耳的条件下沉积的。
图6A是具有孔和细长狭缝的复合面板的平面图。
图6B是显示具有USG膜的晶片的照片,该膜是用具有复合孔/狭缝(composite hole/slot)结构的喷头在面板到晶片间距为75密耳的条件下沉积的。
图6C是显示具有USG膜的晶片的照片,该膜是用具有复合孔/狭缝结构的喷头在面板到晶片间距为50密耳的条件下沉积的。
图7A-7D是显示按照本发明可替换的实施例的面板的简化的平面图,该实施例的面板具有不同形式的细长狭缝。
图8绘出对于在不同温度和压力下的USG沉积中,沉积速率与面板到晶片间距之间关系的曲线图。
图9绘出沉积速率与较大范围的面板到晶片间距之间关系的曲线图。
图10绘出对于USG沉积工艺在不同温度和压力下,膜收缩百分数和湿蚀刻选择性与面板到晶片间距之间关系图。
图11A和11B显示浅沟槽隔离结构的横截面照片,该浅沟槽横截面结构是通过高温USG沉积形成的,该USG沉积分别使用传统的喷头和本发明的喷头进行。
图12绘出对于两个面板到晶片间距,计算出的增加的质量流量与距离晶片中心的距离之间关系的曲线图。
图13显示按照本发明的高温沉积系统的可替换的实施例的简化的剖视图。
图14绘出对于三个不同的面板到晶片间距,计算出的增加的质量流量与距离晶片中心的距离之间关系的曲线图。
具体实施方式
按照本发明的气体分配喷头的实施例包括具有气体出口的面板,该出口的形式为细长狭缝或沟道(channel)。按照本发明实施例使用细长气体出口,这显著减少在近面板到晶片间距的条件下沉积材料的不期望的斑点和条纹的形成。也公开了具有锥形轮廓以减少沉积材料的边缘厚度的喷头。
图4A显示按照本发明的化学气相沉积系统的一个实施例的简化的剖视图。装置300包括晶片302,该晶片和晶片支撑结构304接触且被设置在沉积腔室306内。气体分配喷头308定位在晶片302上方,且和晶片302以间隙Y’隔开。
气体分配喷头308包括工艺气体入口310,其与具有孔口314的阻滞板312进行气体传输。气体分配面板316具有主体315,该主体的厚度为Z,且定位在阻滞板312的下游。面板316从阻滞板312接收工艺气流,且通过主体315内的孔口318输送气体至晶片302。
为了说明整个沉积装置,图4A被简化以显示具有不变的横截面轮廓的孔口318。然而,转让给本申请的受让人的美国专利No.4854263公开了面板孔口的值,该面板孔口显示与气流方向相切的横截面的增加。
图4B是面板316的一个实施例的俯(气体入口)视图,该面板316用于按照本发明的气体分配喷头。图4C是面板316的一个实施例的仰(气体出口)视图,该面板316用于按照本发明的气体分配喷头。
如图4B所示,面板316的气体入口边316a,接收来自阻滞板的粗分布的工艺气流,其包括多个独立的直径为X的孔318a。如图4C所示,面板316的气体出口边316b,将细分布的工艺气体从面板传送到晶片,其包括多个连续的长度为L的细长狭缝318b。细长狭缝318b可从多于一个独立的孔318a接收气流。已经发现提供长度为L细长狭缝,使面板316能定位在晶片表面邻近处,而不会引起沉积材料显示出不期望的形貌特征,如斑点和条纹,其中狭缝长度L至少为面板316的厚度Z的一半。
图4D显示图4A-4C中面板的放大的剖视图。图4D显示,对于说明的具体实施例,气流入口部分上的孔318a的横截面宽度X比气流出口部分316b上的细长狭缝318b的横截面宽度X’明显的窄。本发明的实施例可利用X’/X的比值等于2.25或更大的细长面板狭缝。
图5A-5B是说明按照本发明实施例的材料沉积结果的照片。图5B是显示具有USG膜的晶片的照片,该USG膜是由按照本发明的实施例的喷头在面板到晶片间距为75密耳的条件下沉积的。图5A中的晶片显示出比用如图3A所示的传统喷头在间距相同时沉积的膜显示出明显少的斑点和条纹。
图5B是显示具有USG膜的晶片的照片,该USG膜是由按照本发明的实施例的喷头在面板到晶片间距为50密耳的条件下沉积的。图5B中的晶片显示出比用如图3B所示的传统喷头在相同间距下沉积的膜显著少的斑点和条纹。
在本发明的开发过程中,具有传统孔和细长狭缝开口的复合面板被用于沉积USG于晶片上。图6A显示该复合喷头450简化的平面图,其包括第一区域452,该区域包括传统孔454,也包括第二区域456,该区域包括按照本发明实施例的细长狭缝458。
图6B是显示具有USG膜的晶片的照片,该USG膜是由图6A中的复合喷头在面板到晶片间距为75密耳条件下沉积的。图6C是显示具有USG膜的晶片的照片,该USG膜是由具有复合孔/狭缝结构的喷头在面板到晶片间距为50密耳条件下沉积的。图6B和图6C揭示出通过细长狭缝沉积的材料402显示出比由复合面板的传统孔沉积的材料400更光滑的形貌。
虽然上面的图说明具有多个连续的,在出口边同心取向的狭缝的喷头,该特定结构不是本发明必须的。也可采用其它结构的细长狭缝,且此喷头将仍然在本发明的范围内。
图7A-7D显示按照本发明气体分配面板的多个可替换的实施例的出口部分的简化的仰视图,其中每个气体分配面板具有不同取向的细长狭缝。图7A中的面板出口部分660具有多个非连续狭缝662,它们沿圆周方向取向。图7B中的面板出口部分664具有多个非连续狭缝466,它们沿径向取向。图7C中的面板出口部分668具有多个非连续狭缝670,它们独特地采取即不同心,也不在径向取向的方式排布。图7D中的面板出口部分672具有多个非连续狭缝674,其与传统孔676组合。
按照本发明的装置和方法的实施例提供了许多好处。例如,图8绘出在不同温度下,USG沉积工艺中沉积速率与面板到晶片间距之间关系的曲线图。图8显示发生在510℃或540℃的沉积工艺,面板到晶片间距的减小导致沉积速率的增加。在更近的面板到晶片间距下,该关系更突出。
图9绘出USG沉积速率对更广泛的面板到晶片间距范围(50-25密耳)。图9表明在更近的间距下,USG沉积速率的增加,也表明在间距更近的条件下,对沉积速率的影响。
图10绘出在不同的温度和压力下,对USG沉积工艺,膜收缩百分数和湿蚀刻选择性与面板到晶片间距之间的关系图。图10表明当在近面板到晶片间距时,在510℃和540℃沉积的USG膜显示出低收缩率。此数据表明在近间距下,形成密度更高,质量更高的膜。
图10中的湿蚀刻数据和在近面板到晶片间距下沉积的质量改进的沉积层这一发现相关。特别地,在近面板到晶片间距时沉积的USG膜显示了湿蚀刻选择性和更高密度的一致性。
图11A和11B显示浅沟槽绝缘结构横截面的照片,该结构是通过应用按照本发明的喷头高温USG沉积形成的。图11A和11B显示的USG沉积工艺发生在510℃,面板到晶片间距为75密耳。照片显示出在1050℃,沉积后(post-deposition)退火60分钟的USG填充的浅沟槽结构。图11A和11B显示出由按照本发明实施例的工艺获得的间隙填充的质量与采用传统面板设计的工艺所获得质量是可比的。
虽然到目前为止,本发明是结合用在高温沉积未搀杂硅玻璃中的含硅前体气体进行说明的,本发明不局限于这个具体实施例。按照本发明的实施例的喷头可用于分配品种广泛的气体,这些气体在半导体制造工艺的阵列中有用的,此半导体制造工艺包括但不局限于搀杂氧化硅的化学气相沉积,这些氧化硅的形式为磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)或硼磷硅酸盐玻璃(BPSG)。
可使用按照本发明的实施例的喷头分配气体的例子包括,但不局限于四乙基正硅烷(TEOS)、磷酸三乙酯(TEPO)和硼酸三乙酯(TEB)。本发明不局限于分配前体气体流,也可用于输送载体气如氦气和氮气等不直接参与CVD反应的气体。
按照本发明实施例的喷头也可用于输送前体气体以便非氧化硅材料的形成,这些材料包括但不局限于金属,氮化物和氮氧化物。并且虽然上面是结合高温CVD工艺说明该喷头的,按照本发明实施例的实施例可用于在其它类型的CVD工艺中输送气体,如等离子体增强化学气相沉积(PECVD)工艺或准常压化学气相沉积(SACVD)工艺。
按照本发明的实施例也不局限于和化学气相沉积工艺结合使用。按照本发明的喷头也可用来在其它类型半导体制造工艺中输送气体,如干蚀刻工艺或等离子体蚀刻工艺。
按照本发明的实施例也不局限于使用具有狭缝的喷头面板。参考图4A,喷头308相对晶片302近间距的一个结果是在晶片边缘向下的工艺气流增加。晶片边缘的质量流量的增加引起沉积的材料320边缘的厚度320a增加。
图12绘出对于两个面板到晶片间距,计算出的增加的质量流量与距离晶片中心的距离之间的关系曲线。在0.270英寸的传统宽面板到晶片间距下,沉积增加的质量流量从晶片中心到边缘相对一致。然而,在0.075英寸的更窄的面板到晶片间距下,该工艺显示出有显著增加的质量流量流到晶片外围区域。此增加的质量流量产生沉积材料层,该沉积材料层边缘厚度明显比中心厚度厚。
因此,本发明的喷头可替换实施例可使用具有锥形轮廓的面板以避免近面板到晶片间距条件下,沉积材料在边缘处厚度的增加。图13显示按照本发明的高温沉积系统可替换实施例的简化的剖视图。装置900包括晶片902,其与晶片支撑结构904接触,且定位在沉积腔室906内。气体分配喷头908定位在晶片902上方,且与晶片902以间隙Y”分隔开。
气体分配喷头908包括工艺气体入口912,其与具有孔口916的阻滞板914进行流体传输。气体分配面板918位于阻滞板914的下游,面板918接收来自阻滞板914的工艺气流,并且通过孔920将气体输送到晶片902。
如上结合图4A所述,面板相对晶片的近间距可导致到晶片边缘的气流质量的增加。因此,图13所示的实施例包括具有锥形轮廓的面板918。特别地,面板918的边缘部分918a相对于面板918的中心部分918b凹进。圆锥角A代表由面板中心到边缘的厚度差定义的角,并且该角大小约在0.5°到5°。
使用气体分配喷头,使得在近面板到晶片间距时沉积的材料具有改进的厚度一致性。表A比较锥形面板和平面面板在100密耳和75密耳的间距时沉积的材料,沉积速率,厚度一致性,和厚度范围。
                            表A
间隙距离(密耳) 锥形面板 平面面板
  沉积速率(/min) 1σ一致性 范围   沉积速率(/min) 1σ一致性 范围
    75     1950     7.3     12.7     2000     13.4     20.5
    100     1600     4.6     7.6     1890     8.7     13.3
表A显示出利用锥形面板沉积导致材料层的形成具有更一致的中心到边缘厚度。虽然收集在表A中的数据反应利用锥形面板和平面面板的沉积,该平面面板具有细长狭缝,按照本发明实施例的锥形面板不必具有细长狭缝。
图14绘出对三个不同的面板轮廓,计算出的增加的质量流量与距晶片中心的距离之间的关系图。图14显示通过分别将间隙逐渐减小0.025英寸和0.050英寸,在整个晶片上增加的质量的峰谷变化分别减少35%和46%。按照本发明实施例的锥形面板结构的使用,可导致材料层的沉积显示出中心到边缘厚度的变化为800埃()或更小。
这里只显示和说明了本发明某些实施例。应该明白本发明能使用在多种其它组合和环境中,并且能够在本发明此处公开的范畴内变化和修改。例如,按照本发明实施例的装置和方法不局限于加工任何尺寸的半导体晶片,并且对涉及直径为200毫米的晶片,直径为300毫米的晶片或其它形状和尺寸的半导体晶片制造工艺都有用。
虽然这里详细描述了本发明和多个实施例,这些等价的和可替换的方式和可理解的显然的变化和修改应包括在本发明的范围内。

Claims (29)

1.一种用于在半导体晶片上形成材料的装置,该装置包括:
由腔壁形成的处理腔室;
定位在所述处理腔室内的晶片支撑件,构造所述晶片支撑件以接收半导体晶片;
处理气体源;和
气体分配喷头,其在所述晶片支撑件上方并且与所述晶片支撑件分开,所述气体分配喷头包括具有入口部分的面板,该入口部分包括孔,其与所述面板的出口部分的细长狭缝进行流体传输。
2.根据权利要求1所述的装置,其中所述细长狭缝的长度至少为所述面板厚度的一半。
3.根据权利要求1所述的装置,其中所述气体分配喷头进一步包括阻滞板,所述阻滞板包括穿孔,所述阻滞板定位在所述面板的所述入口部分的上游,且与其进行流体传输。
4.根据权利要求1所述的装置,其中所述细长狭缝是连续的并且为通信取向的。
5.根据权利要求1所述的装置,其中所述细长狭缝的横截面的宽度大于所述孔的横截面宽度。
6.根据权利要求5所述的装置,其中所述细长狭缝的横截面宽度至少比所述孔的横截面宽度大2.25倍。
7.一种气体分配面板,其包括:
面板主体,其具有一定厚度;
入口部分,其被构造以接收处理气流,所述入口部分包括具有一定宽度的孔口;
出口部分,其被构造以将处理气流传送至半导体晶片,所述出口部分包括细长狭缝,其与所述孔口进行流体传输。
8.根据权利要求7所述的气体分配面板,其中所述细长狭缝的长度至少为所述面板主体的厚度的一半。
9.根据权利要求7所述的气体分配面板,其中所述细长狭缝是环形的且为连续的。
10.根据权利要求7所述的气体分配面板,其中所述细长狭缝的宽度大于所述孔口的宽度。
11.根据权利要求10所述的气体分配面板,其中所述细长狭缝的宽度至少比所述孔口的宽度大2.25倍。
12.一种用于在半导体晶片上形成材料的装置,所述装置包括:
由腔壁定义的处理腔室;
定位在所述处理腔室内的晶片支撑件,构造所述晶片支撑以接收半导体晶片;
处理气体源;和
气体分配喷头,其在所述晶片支撑件上方并且包括锥形面板,该面板邻近所述晶片支撑件,所述锥形面板的边缘显示出相对于所述面板的中心厚度减小的厚度,从而产生一锥形角,以便沉积于晶片上的材料与所述晶片支撑件接触,以显示出一致的中心到边缘厚度。
13.根据权利要求12所述的装置,其中所述锥形角约在0.5°和5°之间。
14.根据权利要求12所述的装置,其中所述锥形面板包括:
入口部分,其被构造以接收处理气流,所述入口部分包括具有一定宽度的孔口;
出口部分,其被构造以传送所述处理气流至半导体晶片,所述出口部分包括细长狭缝,其与所述孔口进行流体传输。
15.根据权利要求14所述的装置,其中所述细长狭缝的长度至少为所述面板厚度的一半。
16.根据权利要求14所述的装置,其中所述细长狭缝是环形的并且为连续的。
17.根据权利要求14所述的装置,其中所述细长狭缝宽度大于所述孔口的宽度。
18.根据权利要求17所述的装置,其中所述细长狭缝的宽度至少比所述孔口的宽度大2.25倍。
19.一种在半导体制造工艺过程中分配气体的方法,其包括:
从气源输送气体至气体分配面板的入口部分,所述气体分配面板包括具有一定宽度的孔;以及
通过所述气体分配面板的出口部分的细长狭缝,从所述孔输送所述气体至半导体晶片表面。
20.根据权利要求19所述的方法,其中所述气体是通过细长狭缝输送的,所述细长狭缝的长度至少为所述气体分配面板厚度的一半。
21.根据权利要求19所述的方法,其中至少一种载体气和一种工艺气体通过所述面板输送。
22.根据权利要求19所述的方法,其中所述气体是在化学气相沉积工艺中输送的。
23.根据权利要求19所述的方法,其中所述气体是在未搀杂硅玻璃的高温沉积工艺中输送的,以便所述面板和所述晶片之间的间距为300密耳或更小。
24.根据权利要求19所述的方法,其中所述被输送的气体选自由四乙基正硅烷、磷酸三乙酯、硼酸三乙酯、臭氧、氧气、氦气和氮气组成的组中一种。
25.根据权利要求19所述的方法,其中所述被输送的气体导致选自由硼硅酸盐玻璃、磷硅酸盐玻璃或硼磷硅酸盐玻璃组成的组中的材料的沉积。
26.根据权利要求19所述的方法,其中所述气体是从所述气体分配面板输送的,所述气体分配面板相对于中心部分凹进,从而产生0.5°到5°之间的面板锥形角。
27.根据权利要求19所述的方法,其中所述气体是在干蚀刻工艺中输送的。
28.一种用于在半导体晶片上形成材料的装置,所述装置包括:
由腔壁定义的处理腔室;
定位在所述处理腔室内的晶片支撑件,其被构造以接收半导体晶片;
处理气体源;和
气体分配喷头,其在所述晶片支撑件上方并且包括锥形面板,所述面板邻近所述晶片支撑件,所述锥形面板包括,
入口部分,其被构造以接收处理气流,所述入口部分包括具有一定宽度的孔口;以及
出口部分,其被构造以传送处理气流至半导体晶片,所述出口部分包括细长狭缝,其与所述孔口进行流体传输。
其中所述锥形面板的边缘显示出相对于所述面板中心的厚度减小的厚度,从而产生锥形角,以便沉积于晶片上的材料与所述晶片支撑件接触,以显示出一致的中心到边缘的厚度。
29.根据权利要求28所述装置,其中所述锥形角在0.5°和5°之间。
CNB028274970A 2002-01-25 2002-11-27 气体分配喷头 Expired - Fee Related CN100342057C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/057,280 2002-01-25
US10/057,280 US6793733B2 (en) 2002-01-25 2002-01-25 Gas distribution showerhead

Publications (2)

Publication Number Publication Date
CN1659308A true CN1659308A (zh) 2005-08-24
CN100342057C CN100342057C (zh) 2007-10-10

Family

ID=27609412

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028274970A Expired - Fee Related CN100342057C (zh) 2002-01-25 2002-11-27 气体分配喷头

Country Status (6)

Country Link
US (1) US6793733B2 (zh)
JP (1) JP4426306B2 (zh)
KR (1) KR100993037B1 (zh)
CN (1) CN100342057C (zh)
TW (1) TWI283437B (zh)
WO (1) WO2003064725A1 (zh)

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
CN102373440A (zh) * 2010-08-12 2012-03-14 Snt能源技术有限公司 化学气相沉积装置
CN103354946A (zh) * 2010-12-23 2013-10-16 六号元素有限公司 用于制造合成金刚石材料的微波等离子体反应器
US9136097B2 (en) 2007-11-08 2015-09-15 Tokyo Electron Limited Shower plate and substrate processing apparatus
CN104916564A (zh) * 2014-03-13 2015-09-16 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室以及等离子体加工设备
CN105088191A (zh) * 2009-07-15 2015-11-25 应用材料公司 Cvd腔室的流体控制特征结构
CN101911262B (zh) * 2008-01-10 2016-06-22 应用材料公司 加热的喷头组件
CN109600898A (zh) * 2018-12-13 2019-04-09 大连理工大学 一种喷淋式电极及放电系统
CN110047775A (zh) * 2018-01-16 2019-07-23 台湾积体电路制造股份有限公司 半导体装置制造设备与制造方法
CN110129766A (zh) * 2019-06-11 2019-08-16 广东先导稀材股份有限公司 镀膜装置以及石英舟表面镀覆系统
CN110724938A (zh) * 2014-05-16 2020-01-24 应用材料公司 喷头设计
TWI737250B (zh) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 氣體噴灑裝置、半導體基板處理設備及其操作方法

Families Citing this family (380)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4592856B2 (ja) * 1999-12-24 2010-12-08 東京エレクトロン株式会社 バッフル板及びガス処理装置
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
KR100476370B1 (ko) * 2002-07-19 2005-03-16 주식회사 하이닉스반도체 배치형 원자층증착장치 및 그의 인시튜 세정 방법
JP2005536042A (ja) * 2002-08-08 2005-11-24 トリコン テクノロジーズ リミティド シャワーヘッドの改良
US20070212850A1 (en) * 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7141483B2 (en) * 2002-09-19 2006-11-28 Applied Materials, Inc. Nitrous oxide anneal of TEOS/ozone CVD for improved gapfill
US7431967B2 (en) 2002-09-19 2008-10-07 Applied Materials, Inc. Limited thermal budget formation of PMD layers
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
KR100536797B1 (ko) * 2002-12-17 2005-12-14 동부아남반도체 주식회사 화학 기상 증착 장치
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
JP4707959B2 (ja) * 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US7785672B2 (en) * 2004-04-20 2010-08-31 Applied Materials, Inc. Method of controlling the film properties of PECVD-deposited thin films
US20050233092A1 (en) * 2004-04-20 2005-10-20 Applied Materials, Inc. Method of controlling the uniformity of PECVD-deposited thin films
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US7528051B2 (en) * 2004-05-14 2009-05-05 Applied Materials, Inc. Method of inducing stresses in the channel region of a transistor
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US20070212847A1 (en) * 2004-08-04 2007-09-13 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7429410B2 (en) 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
FR2884044A1 (fr) * 2005-04-01 2006-10-06 St Microelectronics Sa Reacteur de depot et procede de determination de son diffuseur
US20070056845A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Multiple zone sputtering target created through conductive and insulation bonding
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
ATE491220T1 (de) * 2005-10-05 2010-12-15 Pva Tepla Ag Plasmaätzverfahren und ätzkammer
CN100416756C (zh) * 2005-12-05 2008-09-03 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体刻蚀装置
US20070151516A1 (en) * 2006-01-03 2007-07-05 Law Kam S Chemical vapor deposition apparatus and electrode plate thereof
US20070163716A1 (en) * 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
KR100735728B1 (ko) * 2006-02-15 2007-07-06 이용연 일체 웰딩형 샤워 헤드
KR100943431B1 (ko) 2006-04-13 2010-02-19 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
JP5010234B2 (ja) * 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US8702866B2 (en) 2006-12-18 2014-04-22 Lam Research Corporation Showerhead electrode assembly with gas flow modification for extended electrode life
US7789961B2 (en) * 2007-01-08 2010-09-07 Eastman Kodak Company Delivery device comprising gas diffuser for thin film deposition
US11136667B2 (en) * 2007-01-08 2021-10-05 Eastman Kodak Company Deposition system and method using a delivery head separated from a substrate by gas pressure
US20080166880A1 (en) * 2007-01-08 2008-07-10 Levy David H Delivery device for deposition
US8203071B2 (en) 2007-01-18 2012-06-19 Applied Materials, Inc. Multi-junction solar cells and methods and apparatuses for forming the same
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置
DE502007001071D1 (de) * 2007-03-05 2009-08-27 Re Beschichtungsanlage und Gasleitungssystem
KR101097625B1 (ko) * 2007-03-27 2011-12-22 캐논 아네르바 가부시키가이샤 진공 처리 장치
US8048226B2 (en) * 2007-03-30 2011-11-01 Tokyo Electron Limited Method and system for improving deposition uniformity in a vapor deposition system
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
US8398770B2 (en) * 2007-09-26 2013-03-19 Eastman Kodak Company Deposition system for thin film formation
US8211231B2 (en) * 2007-09-26 2012-07-03 Eastman Kodak Company Delivery device for deposition
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US7976631B2 (en) 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
WO2009059238A1 (en) 2007-11-02 2009-05-07 Applied Materials, Inc. Plasma treatment between deposition processes
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
CN101453822B (zh) * 2007-12-04 2013-02-27 北京北方微电子基地设备工艺研究中心有限责任公司 喷嘴及反应腔室
US8729425B2 (en) * 2008-02-25 2014-05-20 Epilog Corporation Air assist apparatus and method for an engraving laser
US8066895B2 (en) * 2008-02-28 2011-11-29 Applied Materials, Inc. Method to control uniformity using tri-zone showerhead
JP2009239082A (ja) * 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
KR20090102955A (ko) * 2008-03-27 2009-10-01 주식회사 유진테크 기판처리장치 및 기판처리방법
US8097082B2 (en) * 2008-04-28 2012-01-17 Applied Materials, Inc. Nonplanar faceplate for a plasma processing chamber
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
WO2010035312A1 (ja) * 2008-09-24 2010-04-01 東芝三菱電機産業システム株式会社 酸化亜鉛膜(ZnO)または酸化マグネシウム亜鉛膜(ZnMgO)の成膜方法および酸化亜鉛膜または酸化マグネシウム亜鉛膜の成膜装置
WO2010065473A2 (en) * 2008-12-01 2010-06-10 Applied Materials, Inc. Gas distribution blocker apparatus
JP5662334B2 (ja) * 2008-12-04 2015-01-28 ビーコ・インストゥルメンツ・インコーポレイテッド 化学気相成長用の流入口要素及び化学気相成長方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8147614B2 (en) * 2009-06-09 2012-04-03 Applied Materials, Inc. Multi-gas flow diffuser
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8216640B2 (en) * 2009-09-25 2012-07-10 Hermes-Epitek Corporation Method of making showerhead for semiconductor processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20110088760A1 (en) * 2009-10-20 2011-04-21 Applied Materials, Inc. Methods of forming an amorphous silicon layer for thin film solar cell application
TWI417984B (zh) 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US20120024478A1 (en) * 2010-07-29 2012-02-02 Hermes-Epitek Corporation Showerhead
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
GB201021913D0 (en) 2010-12-23 2011-02-02 Element Six Ltd Microwave plasma reactors and substrates for synthetic diamond manufacture
KR101481928B1 (ko) 2010-12-23 2015-01-21 엘리멘트 식스 리미티드 합성 다이아몬드 물질의 도핑을 제어하는 방법
KR101306315B1 (ko) * 2011-01-11 2013-09-09 주식회사 디엠에스 화학기상증착 장치
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
EP2481833A1 (en) * 2011-01-31 2012-08-01 Nederlandse Organisatie voor toegepast -natuurwetenschappelijk onderzoek TNO Apparatus for atomic layer deposition
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US20140116339A1 (en) * 2011-06-11 2014-05-01 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8955547B2 (en) 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9111980B2 (en) * 2012-09-04 2015-08-18 Applied Materials, Inc. Gas exhaust for high volume, low cost system for epitaxial silicon deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103789747B (zh) * 2012-10-26 2016-03-09 中微半导体设备(上海)有限公司 一种气体喷淋头及制作该气体喷淋头的方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
TWI624560B (zh) * 2013-02-18 2018-05-21 應用材料股份有限公司 用於原子層沉積的氣體分配板及原子層沉積系統
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10077497B2 (en) * 2014-05-30 2018-09-18 Lam Research Corporation Hollow cathode discharge (HCD) suppressing capacitively coupled plasma electrode and gas distribution faceplate
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP6298383B2 (ja) * 2014-08-19 2018-03-20 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
GB2528141B (en) * 2014-09-18 2016-10-05 Plasma App Ltd Virtual cathode deposition (VCD) for thin film manufacturing
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
JP1545606S (zh) * 2015-08-26 2016-03-14
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102462931B1 (ko) 2015-10-30 2022-11-04 삼성전자주식회사 가스 공급 유닛 및 기판 처리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10775533B2 (en) * 2016-02-12 2020-09-15 Purdue Research Foundation Methods of forming particulate films and films and devices made therefrom
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6462613B2 (ja) * 2016-03-15 2019-01-30 株式会社東芝 分流構造
USD793526S1 (en) 2016-04-08 2017-08-01 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD790039S1 (en) 2016-04-08 2017-06-20 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
USD794753S1 (en) 2016-04-08 2017-08-15 Applied Materials, Inc. Showerhead for a semiconductor processing chamber
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
CN106735642A (zh) * 2017-03-15 2017-05-31 广东工业大学 一种电射流掩膜加工系统及其喷头
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10450655B2 (en) * 2017-10-27 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. CVD apparatus with multi-zone thickness control
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10526703B2 (en) 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7365761B2 (ja) * 2018-08-24 2023-10-20 株式会社ニューフレアテクノロジー 気相成長装置
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
DE102018126617A1 (de) 2018-10-25 2020-04-30 Aixtron Se Schirmplatte für einen CVD-Reaktor
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11572624B2 (en) * 2018-12-13 2023-02-07 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Apparatus and method for semiconductor fabrication
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20220061941A (ko) 2019-06-10 2022-05-13 스웨간 에이비 기판의 가스 처리를 위한 반응기
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11810764B2 (en) * 2020-04-23 2023-11-07 Applied Materials, Inc. Faceplate with edge flow control
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111889030B (zh) * 2020-07-28 2023-02-10 茂名立强化学有限公司 一种4-氯乙酰乙酸酯的合成装置
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
USD969980S1 (en) 2020-10-20 2022-11-15 Applied Materials, Inc. Deposition chamber showerhead
USD967351S1 (en) 2020-10-20 2022-10-18 Applied Materials, Inc. Showerhead reflector
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024010295A1 (ko) * 2022-07-08 2024-01-11 주성엔지니어링(주) 가스 분사 장치, 기판 처리 장치 및 박막 증착 방법

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4297162A (en) 1979-10-17 1981-10-27 Texas Instruments Incorporated Plasma etching using improved electrode
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
JP2602298B2 (ja) * 1988-01-30 1997-04-23 日本電気株式会社 気相成長装置
JPH01283375A (ja) 1988-05-09 1989-11-14 Fujitsu Ltd Cvd装置
US5439524A (en) * 1993-04-05 1995-08-08 Vlsi Technology, Inc. Plasma processing apparatus
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
JP3824675B2 (ja) 1995-03-03 2006-09-20 有限会社デジタル・ウェーブ 結晶製造装置
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
US5892886A (en) 1996-02-02 1999-04-06 Micron Technology, Inc. Apparatus for uniform gas and radiant heat dispersion for solid state fabrication processes
US5976261A (en) 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
US6267074B1 (en) 1997-02-24 2001-07-31 Foi Corporation Plasma treatment systems
JP4268231B2 (ja) 1997-12-12 2009-05-27 忠弘 大見 プラズマ処理装置、表面処理方法および光学部品の製造法
US20020011215A1 (en) * 1997-12-12 2002-01-31 Goushu Tei Plasma treatment apparatus and method of manufacturing optical parts using the same
US6050506A (en) 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6079353A (en) * 1998-03-28 2000-06-27 Quester Technology, Inc. Chamber for reducing contamination during chemical vapor deposition
US5980686A (en) 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
JP3595853B2 (ja) * 1999-03-18 2004-12-02 日本エー・エス・エム株式会社 プラズマcvd成膜装置
JP2000290777A (ja) * 1999-04-07 2000-10-17 Tokyo Electron Ltd ガス処理装置、バッフル部材、及びガス処理方法
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6206972B1 (en) 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
EP1273213B1 (en) * 2000-04-04 2007-03-07 DEK International GmbH Method and apparatus for applying viscous or paste material onto a substrate
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US6586886B1 (en) * 2001-12-19 2003-07-01 Applied Materials, Inc. Gas distribution plate electrode for a plasma reactor
US20040060514A1 (en) * 2002-01-25 2004-04-01 Applied Materials, Inc. A Delaware Corporation Gas distribution showerhead
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101448977B (zh) * 2005-11-04 2010-12-15 应用材料股份有限公司 用于等离子体增强的原子层沉积的设备和工艺
US9136097B2 (en) 2007-11-08 2015-09-15 Tokyo Electron Limited Shower plate and substrate processing apparatus
CN101911262B (zh) * 2008-01-10 2016-06-22 应用材料公司 加热的喷头组件
CN101489344B (zh) * 2008-01-14 2011-07-06 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备、其气体分配装置及工艺气体提供方法
CN101556904B (zh) * 2008-04-10 2010-12-01 北京北方微电子基地设备工艺研究中心有限责任公司 一种气体分配装置及应用该分配装置的半导体处理设备
CN105088191A (zh) * 2009-07-15 2015-11-25 应用材料公司 Cvd腔室的流体控制特征结构
US10550472B2 (en) 2009-07-15 2020-02-04 Applied Materials, Inc. Flow control features of CVD chambers
CN102373440B (zh) * 2010-08-12 2014-07-16 显示器生产服务株式会社 化学气相沉积装置
CN102373440A (zh) * 2010-08-12 2012-03-14 Snt能源技术有限公司 化学气相沉积装置
CN103354946B (zh) * 2010-12-23 2016-06-29 六号元素有限公司 用于制造合成金刚石材料的微波等离子体反应器
CN103354946A (zh) * 2010-12-23 2013-10-16 六号元素有限公司 用于制造合成金刚石材料的微波等离子体反应器
WO2015135350A1 (zh) * 2014-03-13 2015-09-17 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室以及等离子体加工设备
CN104916564B (zh) * 2014-03-13 2018-01-09 北京北方华创微电子装备有限公司 反应腔室以及等离子体加工设备
CN104916564A (zh) * 2014-03-13 2015-09-16 北京北方微电子基地设备工艺研究中心有限责任公司 反应腔室以及等离子体加工设备
CN110724938A (zh) * 2014-05-16 2020-01-24 应用材料公司 喷头设计
CN110724938B (zh) * 2014-05-16 2022-02-22 应用材料公司 喷头设计
US10876208B2 (en) 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
CN110047775A (zh) * 2018-01-16 2019-07-23 台湾积体电路制造股份有限公司 半导体装置制造设备与制造方法
CN110047775B (zh) * 2018-01-16 2021-09-14 台湾积体电路制造股份有限公司 半导体装置制造设备与制造方法
CN109600898B (zh) * 2018-12-13 2020-04-17 大连理工大学 一种喷淋式电极及放电系统
CN109600898A (zh) * 2018-12-13 2019-04-09 大连理工大学 一种喷淋式电极及放电系统
CN110129766A (zh) * 2019-06-11 2019-08-16 广东先导稀材股份有限公司 镀膜装置以及石英舟表面镀覆系统
TWI737250B (zh) * 2020-04-06 2021-08-21 力晶積成電子製造股份有限公司 氣體噴灑裝置、半導體基板處理設備及其操作方法

Also Published As

Publication number Publication date
JP4426306B2 (ja) 2010-03-03
TWI283437B (en) 2007-07-01
TW200302510A (en) 2003-08-01
US6793733B2 (en) 2004-09-21
US20030140851A1 (en) 2003-07-31
JP2005516407A (ja) 2005-06-02
WO2003064725A1 (en) 2003-08-07
KR100993037B1 (ko) 2010-11-08
CN100342057C (zh) 2007-10-10
KR20040085164A (ko) 2004-10-07

Similar Documents

Publication Publication Date Title
CN100342057C (zh) 气体分配喷头
CN1860252A (zh) 气体分配喷头
US11264213B2 (en) Chemical control features in wafer process equipment
KR101659303B1 (ko) Cvd 챔버의 유동 제어 피쳐
KR20140092892A (ko) 개선된 증착 균일성을 위한 전구체 분배 피처들
CN1424429A (zh) 用于优先化学气相沉积的方法和系统
CN1763912A (zh) 气体扩散板
CN106098527A (zh) 用于形成膜堆叠的双通道喷头
CN1763913A (zh) 衬底处理装置及衬底处理方法
CN101068950A (zh) 气体分配系统
CN111785604A (zh) 气体喷淋头、制作方法及包括气体喷淋头的等离子体装置
CN101153387A (zh) 高密度等离子体沉积反应室和用于反应室的气体注入环
TWI502096B (zh) 用於化學氣相沉積的反應裝置及反應製程
US11222771B2 (en) Chemical control features in wafer process equipment
CN218621044U (zh) 等离子体化学气相沉积装置
US20180258531A1 (en) Diffuser design for flowable cvd
JP2022524280A (ja) 複数のプレナムおよびガス分配室を有する堆積ツール用のシャワーヘッド
CN213624369U (zh) 气体喷洒部件以及薄膜沉积装置
CN110904437A (zh) 薄膜制备设备及其反应腔室
TWI620830B (zh) Batch coating process system
TW389796B (en) Method of forming an undoped silicate glass layer using a high-density plasma chemical vapor deposition method
TWM539510U (zh) 批次式鍍膜製程系統
KR20080085459A (ko) 가스 분리형 샤워헤드를 이용한 bpsg 증착 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20071010

Termination date: 20121127