CN105088191A - Cvd腔室的流体控制特征结构 - Google Patents

Cvd腔室的流体控制特征结构 Download PDF

Info

Publication number
CN105088191A
CN105088191A CN201510491086.7A CN201510491086A CN105088191A CN 105088191 A CN105088191 A CN 105088191A CN 201510491086 A CN201510491086 A CN 201510491086A CN 105088191 A CN105088191 A CN 105088191A
Authority
CN
China
Prior art keywords
perforation
manifold
gas
fluid
inch
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201510491086.7A
Other languages
English (en)
Other versions
CN105088191B (zh
Inventor
坚·N·祝
起威·梁
汉·D·阮
陈兴隆
马修·米勒
朴素纳
端·Q·陈
阿迪卜·汗
杨张圭
德米特里·鲁博弥尔斯克
山卡尔·文卡特拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN105088191A publication Critical patent/CN105088191A/zh
Application granted granted Critical
Publication of CN105088191B publication Critical patent/CN105088191B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及一种CVD腔室的流体控制特征结构。本发明提供用于气体散布组件的设备与方法。在一方面中,提供一种气体散布组件,其包含:环形本体,其包含:环形圈,其具有内环形壁、外壁、上表面与底表面;上凹部,其形成到该上表面内;及座部,其形成到该内环形壁内;上板,其设置在该上凹部中且包含:盘形本体,其具有多个形成为从其中通过的第一穿孔;及底板,其设置在该座部上且包含:盘形本体,其具有多个形成为从其中通过的第二穿孔,这些第二穿孔和这些第一穿孔对准;及多个第三穿孔,其形成在这些第二穿孔之间且通过该底板,该底板密封地连接到该上板以将该多个第一、第二穿孔与该多个第三穿孔流体地隔离。

Description

CVD腔室的流体控制特征结构
本申请是2010年07月15日申请的申请号为201080031919.7,并且发明名称为“CVD腔室的流体控制特征结构”的发明专利申请的分案申请。
技术领域
本发明是关于用以处理基材(诸如半导体基材)的设备,并且特别是关于用以于基材上方散布过程流体的设备。
背景技术
半导体过程系统大致上包括过程腔室,过程腔室具有载座用以支撑腔室内邻近过程区域的基材(诸如半导体基材)。腔室形成了真空容室,其部分地界定了过程区域。气体散布组件或喷头提供一或多种过程气体到过程区域。接着,这些气体被加热与(或)能量化以形成等离子体,等离子体在基材上执行特定过程。这些过程可包括沉积过程(诸如化学气相沉积(CVD))以于基材上沉积材料,或蚀刻反应以从基材移除材料,或其它过程。
在需要多种气体的过程中,这些气体可在混合腔室内结合,该混合腔室进而经由导管连接到气体散布组件。举例而言,在传统的热CVD过程中,两种过程气体并同两种相应的载气被供应到混合腔室,其在该混合腔室处被结合以形成气体混合物。气体混合物可直接地被引进到腔室,或行经腔室的上部内的导管到散布组件。散布组件大致上包括具有多个孔的板,以致气体混合物均匀地被散布到基材上方的过程区域内。在另一示例中,两种气体分别地通过散布组件,并被容许在抵达过程区域与(或)基材之前结合。随着气体混合物进入过程区域且被注入热能,在这些过程气体之间会发生化学反应,致使了在基材上的化学气相沉积反应。
尽管在释放到过程区域内之前混合多种气体以例如确保这些组成气体均匀地被散布到过程区域内是大致上有益的,这些气体倾向于在混合腔室或散布板内开始还原或反应。所以,在气体混合物抵达过程区域之前,会造成蚀刻腔室、导管、散布板与其它腔室部件上的沉积或其蚀刻。此外,反应副产物会在腔室气体输送部件中或在散布板的内表面上累积,因此产生与(或)增加了不希望的微粒的存在。
当气体被释放到过程区域内时,气体的温度控制是有益的,以用来控制气体的活性。举例而言,冷却这些气体有助于在释放到过程区域内之前控制不希望的反应。可避免这些气体反应,直到其接触了经加热的基材。在其它情况中,这些气体的加热是有必要的。举例而言,热气体净化或清洁有助于从过程腔室移除污染物。因此,将温度控制方面结合到气体散布板是有用的。
所以,持续存在对气体散布装置的需求,其中该气体散布装置输送至少两种气体到过程区域内而不会在这些气体抵达过程区域之前发生混合。
发明内容
本文描述的方面是关于一种用以输送过程流体(诸如气体)到过程腔室以为了在基材上沉积膜或以为了其它过程的设备。在一方面中,提供一种气体散布组件,其包含:第一歧管,其具有形成为通过其间的多个第一穿孔以用于供第一流体通过,并且该第一歧管界定用于第二流体的流动路径;及第二歧管,其顶侧连接到该第一歧管且将该流动路径和该第一流体隔离,并且该第二歧管具有多个第二穿孔与多个第三穿孔,各个第二穿孔连接到这些第一穿孔的一个,该多个第三穿孔流体连接到该流动路径。
在另一方面中,提供气体散布组件,其包含:上歧管,包含:多个第一穿孔,其形成为同心地绕着该上歧管的中心部而设置的多个第一径向列,及多个第二穿孔,其同心地绕着该多个第一穿孔而设置且形成为多个第二径向列;中心歧管,其连接到该上歧管且包含:第一开口组,其同心地绕着该中心歧管的中心部而设置,及第二开口组,其同心地绕着该第一开口组而设置;及底歧管,其连接到该中心歧管且包含:第三开口组,其同心地绕着该底歧管的中心部而设置,第四开口组,其同心地绕着该第三开口组而设置,多个第一气体信道,其设置在该底歧管的上侧的第四开口的每个之间,及信道网络,其同心地绕着该第四开口组而设置且流体连接到第一气体信道的一或多个。
附图说明
然而要指出的是,附图仅说明本发明的典型实施例,因此不应被视为其范围的限制,本发明也适用于其它具有同等功效的实施例。即使如此,可通过考虑以下详细说明和附图而轻易地了解本发明的启示,其中:
图1为过程工具的一个实施例的俯视图;
图2A-2C为过程腔室的一个实施例的示意剖视图;
图3A-3M为本文描述的气体散布组件的一个实施例的示意图;
图4A-4I为本文描述的气体散布组件的一个实施例的示意图;
图5A-5F为本文描述的气体散布组件的一个实施例的示意图。
为了便于理解,已经在可能的情况下,使用相同的组件符号指示各图中相同的组件。意即,在个实施例中所揭示的组件也可有利地用于其它实施例而无需特别指明。
具体实施方式
本文描述的方面是关于一种用以输送过程流体到过程腔室以为了在基材上沉积膜或以为了其它过程的设备。
图1为根据所揭示实施例的具有沉积、烘烤与硬化腔室的过程工具100的实施例的俯视图。在图中,一对FOUP(前开式结合舱(frontopeningunifiedpods))102供应基材(例如300mm直径基材),这些基材在被放置到串联过程腔室109a-c的基材处理部108a-f中的一个之前被机械臂104接收且被放置在下腔室固持区域106内。可使用第二机械臂110来从固持区域106传送基材到过程腔室108a-f并返回。
串联过程腔室109a-c的基材处理部108a-f可包括用以沉积、退火、硬化与(或)蚀刻基材上的可流动电介膜的一或多个系统部件。在一种结构中,可使用过程腔室的两对串联处理部(例如108c-d与108e-f)来在基材上沉积可流动电介材料,并且可使用第三对串联处理部(例如108a-b)来退火经沉积的电介质。在另一结构中,该过程腔室的两对串联处理部(例如108c-d与108e-f)可设以沉积且退火基材上的可流动电介膜,而该第三对串联处理部(例如108a-b)可用于经沉积的膜的UV或电子束硬化。在又另一结构中,所有的三对串联处理部(例如108a-f)可设以沉积且硬化基材上的可流动电介膜。
在又另一结构中,两对串联处理部(例如108c-d与108e-f)可用于可流动电介质的沉积与UV或电子束硬化,而第三对串联处理部(例如108a-b)可用于退火电介膜。可了解,系统100包括用于可流动界面膜的沉积、退火与硬化腔室的额外结构。
此外,一或多个串联处理部108a-f可设以作为湿式处理腔室。这些过程腔室包括在包括湿气的氛围中加热可流动电介膜。因此,系统100的实施例可包括湿式处理串联处理部108a-b与退火串联处理部108c-d,以在经沉积的电介膜上执行湿式与干式退火。
图2A是串联过程腔室内的具有多个分隔的等离子体产生区域的过程腔室部200的实施例的剖视图。在膜沉积(氧化硅、氮化硅、氮氧化硅或碳氧化硅)的期间,过程气体可经由气体入口组件205流动到第一等离子体区域215内。过程气体在进入第一等离子体区域215之前可在远程等离子体系统(remoteplasmasystem,RPS)201内被激发。根据所揭示实施例,示出盖212、喷头225与基材支撑件265,基材255设置在基材支撑件265上。盖212可以是金字塔形、圆锥形、或其具有窄的顶部扩张到宽的底部的他类似结构。盖212被示出成具有施加的AC电压源,且喷头接地,和第一等离子体区域215中的等离子体产生一致。绝缘环220定位在盖212与喷头225之间,使得电容式耦合等离子体(capacitivelycoupledplasma,CCP)形成在第一等离子体区域中。
根据所揭示实施例,盖212可以是用于过程腔室的双源盖。流体入口组件205将流体(诸如气体)引进到第一等离子体区域215内。在流体入口组件205内可见到两个不同的流体供应信道。第一信道202携载通过远程等离子体系统RPS201的流体(诸如气体),而第二信道204具有绕过RPS201的流体(诸如气体)。在所揭示实施例中,第一信道202可用于过程气体,并且第二信道204可用于处理气体。这些气体可流动到等离子体区域215内,并且被挡件206分散。图上显示在盖212与喷头225之间有绝缘环220,其容许AC电位相对于喷头225被施加到盖212。
流体(诸如前驱物,例如含硅前驱物)可通过本文描述的喷头的实施例流动到第二等离子体区域内。从等离子体区域215中的过程气体获得的激发物种行经喷头225中的穿孔,并且和从喷头流动到第二等离子体区域233内的前驱物反应。第二等离子体区域233中几乎不存在或不存在有等离子体。过程气体与前驱物的激发衍生物在基材上方的区域中且有时候在基材上结合,以在基材上形成可流动膜。随着膜生长,更近来添加的材料具有比下方材料更高的移动性(mobility)。因有机含量通过蒸发而减少,移动性降低。间隙可通过此技术被可流动膜填充,而不会在完成了沉积之后在膜内留下传统的有机含量密度。仍可使用硬化步骤来从经沉积的膜进一步减少或移除有机含量。
直接地在第一等离子体区域215中激发过程气体、在远程等离子体系统(RPS)中激发过程气体、或上述两者可提供一些优点。由于第一等离子体区域215中的等离子体,从过程气体引致的激发物种的浓度可在第二等离子体区域233内被增加。此增加可能因为第一等离子体区域215中的等离子体的位置。第二等离子体区域233比远程等离子体系统(RPS)201更靠近第一等离子体区域215,通过与其它气体分子、腔室壁与喷头表面的碰撞,使得激发物种远离激发状态的时间更少。
从过程气体引致的激发物种的浓度的均匀性也可在第二等离子体区域233内被增加。这可能因为第一等离子体区域215的形状(其更类似于第二等离子体区域233的形状)。远程等离子体系统(RPS)201中产生的激发物种行进更远的距离,用于相对于从靠近喷头225的中心处的穿孔通过的物种,而从靠近喷头225的边缘处的穿孔通过。更远的距离造成了减少的激发物种的激发,并且例如可造成在靠近基材的边缘处的更慢的生长速率。在第一等离子体区域215中激发过程气体会减轻此变化。
较佳地,过程气体在RPS201中被激发且在激发状态通过喷头225到第二等离子体区域233。或者,可施加功率到第一过程区域以激发等离子体气体或增强来自RPS的已激发的过程气体。尽管可在第二等离子体区域233中产生等离子体,在过程的较佳实施例中,在第二等离子体区域中没有产生等离子体。在过程的较佳实施例中,过程气体或前驱物的激发仅来自于在RPS201中激发过程气体以在第二等离子体区域233中和前驱物反应。
过程腔室与工具更详细地被描述在2008年9月15日申请的美国专利申请案号12/210,940与2008年9月15日申请的美国专利申请案号12/210,982中,以引用方式并入本文到不会与本文的请求方面和叙述不一致的程度作为参考。
图2B-2C为本文描述的过程腔室与气体散布组件中前驱物流动过程的实施例的侧视图。用于过程腔室部200的气体散布组件称为双区域喷头(dualzoneshowerhead,DZSH),并且在图3A-3K、图4A-4I与图5A-5F所叙述的实施例中更详细地示出。以下的气体流动叙述涉及宽广的双区域喷头叙述,并且不应被解释或解读为对本文描述的喷头方面构成限制。尽管以下叙述以电介材料的沉积描述,发明人欲说明的是此设备与方法可用以沉积其它材料。
在沉积过程的一个实施例中,双区域喷头容许电介材料的可流动沉积。可在过程腔室中被沉积的电介材料的示例包括氧化硅、氮化硅、碳氧化硅、或氮氧化硅。氮化硅材料包括氮化硅(SixNy)、含氢氮化硅(SixNyHz)、氮氧化硅(包括含氢氮氧化硅,SixNyHzOzz)、与含卤素氮化硅(包括氯化氮化硅,SixNyHzClzz)。经沉积的电介材料可接着被转换成类似氧化硅材料。
电介层可通过引进电介材料前驱物且在第二等离子体区域233或反应空间中使前驱物和过程气体反应来沉积。前驱物的示例为含硅前驱物,包括硅烷、二硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、四乙氧基硅烷(TEOS)、三乙氧基硅烷(TES)、八甲基环状四硅氧烷(OMCTS)、四甲基二硅氧烷(TMDSO)、四甲基环状四硅氧烷(TMCTS)、四甲基二乙氧基二硅氧烷(TMDDSO)、二甲基二甲氧基硅烷(DMDMS)、或上述的组合。用于氮化硅的沉积的额外前驱物包括含SixNyHz-的前驱物(诸如硅胺及其衍生物,包括三硅胺(TSA)与二硅胺(DSA))、含SixNyHzOzz-的前驱物、含SixNyHzClzz-的前驱物、或上述的组合。
过程气体包括含氢化合物、含氧化合物、含氮化合物、或上述的组合。适当气体的示例包括选自以下组中的一或多种化合物:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、NxHy化合物(包括N2H4)蒸气、NO、N2O、NO2、水蒸气、或上述的组合。过程气体可被等离子体激发(诸如在RPS单元中),以包括含N*与(或)H*与(或)O*的基团或等离子体,例如NH3、NH2 *、NH*、N*、H*、O*、N*O*、或上述的组合。或者,过程气体可包括本文描述的一或多种前驱物。
前驱物先由第一歧管226(或上板)与第二歧管227(或底板)被引进到界定在喷头225中的内部喷头空间294内,而被引进到反应区域内。内部喷头空间294中的前驱物经由形成在第二歧管中的穿孔296(开口)流动295到过程区域233内。此流动路径是和腔室中的其余过程气体隔离的,并且提供了前驱物处于未反应或大体上未反应状态直到其进入了界定在基材217与第二歧管227的底部之间的过程区域233。一旦前驱物位于过程区域233中,前驱物可和过程气体反应。前驱物可经由形成在喷头中的侧信道(诸如本文的喷头实施例所显示的信道490、518与(或539))而先被引进到界定在喷头225中的内部喷头空间294内。过程气体可以处于等离子体状态,包括来自RPS的基团或来自第一等离子体区域中所产生的等离子体。此外,可在第二等离子体区域中产生等离子体。
过程气体可被提供到第一等离子体区域215或上方空间(其通过盖212与喷头225的顶部来界定)内。过程气体的散布可通过使用挡件206来达成,如图2A所示。过程气体可在第一等离子体区域215中被等离子体激发以制造过程气体等离子体与基团,包括含N*与(或)H*与(或)O*的基团或等离子体,例如NH3、NH2 *、NH*、N*、H*、O*、N*O*、或上述的组合。或者,过程气体可在通过远程等离子体系统的后且在被引进到第一等离子体过程区域215之前已经处于等离子体状态。
包括等离子体与基团的过程气体290接着经由穿孔290(诸如信道290)被输送到过程区域233用于与前驱物反应。当过程气体与前驱物皆通过喷头255时,通过信道的过程气体和内部喷头空间294物理上隔离且不会和通过内部喷头空间294的前驱物反应。一旦过程气体与前驱物位于过程空间,过程气体与前驱物可混合并反应以沉积电介材料。
除了过程气体与电介材料前驱物,可为了各种目的在各种时间点引进其它气体。可引进处理气体,例如氢、碳与氟,以从腔室壁、基材、经沉积的膜、与(或)沉积期间的膜移除不希望的物种。过程气体与(或)处理气体可包含以下组合的气体的至少一者:H2、H2/N2混合物、NH3、NH4OH、O3、O2、H2O2、N2、N2H4蒸气、NO、N2O、NO2、水蒸气、或上述的组合。处理气体可在等离子体中被激发且接着用来从经沉积的膜减少或移除残余的有机含量。在其它所揭示实施例中,可在不存在等离子体下使用处理气体。当处理气体包括水蒸气时,可使用质流计(massflowmeter,MFM)、注射阀或通过商业上可获得的水蒸气产生器来达到输送。可经由RPS单元或绕过RPS单元来引进处理气体到第一过程区域内,并且处理气体可在第一等离子体区域中进一步被激发。
穿孔291的开口的轴292与穿孔296的开口的轴297可彼此平行或大体上平行。或者,轴292与轴297可彼此呈夹角,诸如1°至80°,例如1°至30°。或者,各个相应的轴292可彼此呈夹角,诸如1°至80°,例如1°至30°,并且各个相应的轴297可彼此呈夹角,诸如1°至80°,例如1°至30°。
相应的开口可呈角度(诸如图2B显示的穿孔291),开口具有1°至80°(诸如1°至30°)的角度。穿孔291的开口的轴292与穿孔296的开口的轴297可垂直于或大体上垂直于基材217的表面。或者,轴292与轴297可与基材表面呈夹角,诸如小于5°。
图2C示出了过程腔室200与喷头225的部分剖视图,其中前驱物从内部空间294经由穿孔296流动295到过程区域233内。图上亦示出替代性实施例,显示有两个穿孔296的轴297与297’彼此呈夹角。
图3A示出气体散布组件300的俯视立体图。在使用时,气体散布组件300具有大体上水平的方位,以致其间形成的气体穿孔的轴垂直于或大体上垂直于基材支撑件的平面(参见图2A中的基材支撑件265)。图3B示出气体散布组件300的仰视立体图。图3C为气体散布组件300的仰视图。图3D为沿着图3C的线3D-3D的气体散布组件300的剖视图。图3E为沿着图3C的线3E-3E的气体散布组件300的底板325的剖视图。图3F和3G为底板325的特征结构的放大图。图3H为气体散布组件300的上板320的仰视图。图3H’为沿着图3H的线3H’-3H’的上板320的剖视图。图3H”为上板320的仰视立体图。图3I和3I’为上板320的特征结构的放大图。图3J为气体散布组件300的环形本体340的俯视图。图3K示出环形本体340的底部的立体图,其中该环形本体340具有设置在其中的加热构件327。图3L为图3D的气体散布组件300的部分放大图。图3M为沿着图3J的线3M-3M的环形本体340的剖视图。
参照图3A-M,气体散布组件300大致上包括环形本体340、上板320与底板325。环形本体340是环形圈,其具有内环形壁301、内唇部302(其从内环形壁301向外径向地延伸)、上凹部303、座部304与外壁305,尤其如图3L所示。环形本体340具有顶表面315与底表面310,其界定了环形本体340的厚度。导管350可形成在顶表面315中且流体地连接冷却信道356(其亦可形成在顶表面315中),如图3A所示。导管355可形成在底表面310中且流体地连接冷却信道357(其亦可形成在底表面310中),如图3B所示。冷却信道356、357可适于容许冷却流体流经其中。加热器凹部342可形成在底表面310中且适于固持加热构件327,如图3K所示。
上板320是具有多个第一穿孔360形成于其间的盘形本体,盘形本体具有经选择的直径以匹配于上凹部303的直径,尤其如图3D和3H-I’所示。第一穿孔360可延伸超过上板320的底表面306,从而形成多个凸起的圆柱状本体307。在各个凸起的圆柱状本体307之间是间隙395。如图3H和3H”所示,第一穿孔360在上板320上被安排成多边形图案,从而通过最外边第一穿孔360的中心的虚构线界定了十二边形。此图案的特征亦可在于第一穿孔360的5-60列的交错列排列(诸如15-25列,例如21列)。各个列沿着y-轴具有5-20个第一穿孔360(诸如6-18个穿孔),且各个列相隔0.4-0.7英寸(例如约0.54英寸)。在列中的各个第一穿孔360可沿着x-轴从先前第一穿孔由每个相应的直径平移0.4-0.8英寸(诸如约0.63英寸)。第一穿孔360沿着x-轴从另一列中的穿孔由每个相应的直径交错0.2-0.4英寸(诸如约0.32英寸)。在各个列中,第一穿孔360可彼此均等地分隔。在显示的配置中,存在有总数为312个的第一穿孔360。可了解,可使用其它孔洞图案。
在上板360的中心处,存在有突部308,而不是第一穿孔360,如图3I’所示。突部308延伸到和凸起的圆柱状本体307相同的高度。
底板325是具有多个第二穿孔365与第三穿孔375形成其间的盘形本体,盘形本体具有经选择的直径以匹配于上凹部303的直径,尤其如图3C和3E-G所示。底板325具有约0.1-0.2英寸的均匀厚度(诸如约0.15英寸),以及具有匹配于环形本体340的内环形壁301的直径的直径。第二穿孔365被安排成的图案对准第一穿孔360的图案,如前所述。在实施例中,当上板320与底板325设置成一者在另一者上,第一穿孔360与第二穿孔365的轴对准。该多个第一穿孔360与该多个第二穿孔365可使得其相应的轴彼此平行或大体上平行,例如这些穿孔360、365可以是同心的。或者,该多个第一穿孔360与该多个第二穿孔365可使得相应的轴设置成彼此呈1°至30°的夹角。在底板325的中心处,没有存在第二穿孔365,如图3F所示。
该多个第二穿孔365与该多个第三穿孔375形成交替的交错列。这些第三穿孔375被安排在底板325的至少两个第二穿孔365之间。在各个第二穿孔365之间存在有一个第三穿孔375,该第三穿孔375均等地间隔在该两个第二穿孔365之间。亦存在以六边形图案绕着底板325的中心来设置的六个第三穿孔375。没有第三穿孔375设置在底板325的中心。亦没有第三穿孔375设置在形成这些第二穿孔的多边形图案的顶点的外缘第二穿孔365之间。共有876个第三穿孔375形成通过底板325。
第一、第二与第三穿孔360、365、375皆适于容许流体通过其中。第一与第二穿孔360、365可具有圆柱形状,并且或者可具有变化的截面形状(包括圆锥形、圆柱形或多种形状的组合)。在示例中,第一与第二穿孔360、365可具有约0.125英寸至约0.5英寸的直径,诸如约0.25英寸。或者,第二穿孔365可具有等于或大于第一穿孔360的直径。
第三穿孔可具有沙漏形状,如图3G所示。第三穿孔可具有轮廓或界定第一圆柱状区段376(喷嘴)的形状,第一圆柱状区段376具有0.2-0.3英寸的第一直径(诸如约0.25英寸)。第一圆柱状区段376在端处具有入口。第一圆柱状区段376可具有约0.1-0.12英寸的高度(诸如约0.11英寸)。第二圆柱状区段378(喉)具有小于第一直径的第二直径且通过过渡区段377连接到第一圆柱状区段376。第二直径可以是0.01-0.03英寸,诸如0.016英寸,或者约为30:1至6:1的第一直径对第二直径的比值(诸如约16:1)。第二圆柱状区段378可具有约0.01-0.02英寸的高度,诸如约0.017英寸。过渡区段377从第一区段376和第一直径以约120°的角度渐渐变细到第二区段378和第二直径。过渡区段377可具有约0.1-0.12英寸的高度,诸如约0.11英寸。第三区段374(扩散器)连接到第二圆柱状区段378。第三区段374可具有圆锥形状,其从第二圆柱状区段378扩张到出口,而具有0.2-0.3英寸的高度(诸如0.25英寸),并且可具有大于第二直径的且小于第一直径的出口直径。第三直径可以为0.05-0.08英寸(诸如0.06英寸)。或者,该多个第三穿孔的各者可具有圆锥形状,并且具有等于或大于该多个第一穿孔360的直径。
参照图3J和3M,环形本体340可具有多个流体输送信道380,这些流体输送信道380相对于冷却信道356、357径向地向内形成到上凹部303内。这些流体输送信道380可流体地连接到导管372。这些流体输送信道380亦可和多个流体管道381流体地连接,这些流体管道381相对于流体输送信道380径向地向内形成到上凹部303内。
如前所述,气体散布组件300大致上由环形本体340、上板320与底板325构成。上板320设置在上凹部303内,而凸起的圆柱状本体307面向环形本体340的底板310,如图3L所示。接着,底板325设置在座部304上且可旋转地被定向,以致第一和第二穿孔360、365的轴对准,如图3L所示。上板320密封地连接到底板325,以将第一和第二穿孔360、365和第三穿孔375流体地隔离。举例而言,上板320可被铜焊到底板325,以致在凸起的圆柱状本体307的表面与底板325的表面之间建立密封。接着,上板320与底板325被电子束焊接到环形本体340。上板320被电子束焊接成使得在环形本体的外缘311与上凹部303的内缘312之间建立密封。底板325被电子束焊接成使得在环形本体的外缘313与内环形壁301之间建立密封。流体可沿着流动路径F1流动通过第一和第二穿孔360、365。流体亦可沿着流动路径F2分别地流动通过导管372、进入流体输送信道380、通过流体管道381、通过间隙395、与通过第三穿孔375。使流体沿着两个分离的流动路径F1、F2流动可确保流体在离开气体散布组件300的后的反应,其有助于避免材料在气体散布组件300内的累积。
参照图4A-4H,提供气体散布组件400或喷头的实施例,气体散布组件400包括第一或上歧管410与第二或底歧管415,并且第二歧管415的顶部适于连接到第一歧管410的底部。在使用时,喷头400相对于基材的方位使得任何形成在喷头中的穿孔的轴垂直于或大体上垂直于基材平面。
图4A示出包括有第一歧管410的喷头的顶部的立体图,并且图4B示出包括有第二歧管415的喷头的底部的立体图。图4C示出第二歧管的仰视图。图4D示出沿着图4C的线4D的喷头的侧视图。图4D’为第一穿孔的实施例的侧视图。图4E为第二歧管的圆形板的侧视图。图4F为图4E的第三穿孔的实施例的侧视图。图4G为图4E的第二和第三穿孔的实施例的侧视图。图4H为第一歧管的俯视图且未示出具有穿孔的圆形板。图4I为底歧管(其具有本文描述的含穿孔图案的圆形板)的俯视图且未示出圆形板。
第一歧管410包括内圆形板420,内圆形板420设置在外框440中。横向导管450形成在外框440中。
参照图4A和4B,内圆形板420具有多个形成在图案部分470中的第一穿孔460,并且这些穿孔适于流体通过其间。图案部分470可包含15-25列的交错列(例如19列)排列。各个列沿着y-轴具有2-20个穿孔360(诸如4-17个穿孔),且各个列相隔0.4-0.7英寸(例如约0.54英寸)。在列中的各个穿孔可沿着x-轴从先前穿孔由各个相应的直径平移0.4-0.8英寸(诸如约0.63英寸)。穿孔沿着x-轴从另一列中的穿孔由各个相应的直径交错0.2-0.4英寸(诸如约0.31英寸)。在各个列中,这些穿孔可彼此均等地分隔。
各个第一穿孔460可具有圆锥形入口部,渐渐变细到第一圆柱状部分。在示例中,穿孔460可具有约0.2英寸至约0.5英寸的入口直径(诸如约0.35英寸),其以约90°而渐渐变细到0.125-0.4英寸的第一圆柱状部分(例如约0.25英寸)。穿孔460延伸通过圆形板以提供用于供流体通过的通道。第一穿孔的组合高度为0.05-0.15英寸,并且渐渐变细到第一圆柱状部分的圆锥形入口部可具有均等高度。圆形板的图案化部分可根据圆形板的尺寸而改变,并且可以在直径为约14英寸的圆形板的约0.5英寸至约6英寸的直径处。
参照图4B、4E、4F、4G、4H和4I,内圆形板425具有多个形成在图案部分485中的第二穿孔465,并且这些第二穿孔适于流体通过其间。内圆形板亦具有多个形成在图案部分485中的第三穿孔475,并且这些第三穿孔适于通过流体管道使气体被引进到喷头内而进入到设置有喷头的过程腔室内。圆形板具有约0.1-0.2英寸的厚度,诸如约0.15英寸。
参照图4H,第一歧管415被多个形成在框440中的流体输送信道480围绕,流体输送信道480流体连通于第三穿孔475且流体连通于第二流体源入口490,其中该第二流体源入口490适于容许流体从外部源进入喷头内。第二歧管415包括内圆形板425,内圆形板425设置在外框445内。
第二歧管的多个第二穿孔465可和多个第一穿孔对准。该多个第一穿孔460与该多个第二穿孔465可具有各自的轴,且该各自的轴是彼此平行或彼此大体上平行。或者,该多个第一穿孔460与该多个第二穿孔465可具有各自的轴,且该各自的轴设置成彼此呈1°至30°的角度。
图案部分485可包含15-25列的交错列(例如19列)排列。各个列沿着y-轴具有2-20个穿孔(诸如4-17个穿孔),且各个列相隔0.4-0.7英寸(例如约0.54英寸)。在列中的各个穿孔可沿着x-轴从先前穿孔由各个相应的直径平移0.4-0.8英寸(诸如约0.63英寸)。这些穿孔沿着x-轴从另一列中的穿孔由各个相应的直径交错0.2-0.4英寸(诸如约0.31英寸)。在各个列中,这些穿孔可彼此均等地分隔。
各个第二穿孔465可具有第二圆柱状部分,第二圆柱状部分连接到扩张到开端的圆锥形出口部。在示例中,穿孔465可具有0.125英寸至0.4英寸的第二圆柱状部分直径(诸如约0.25英寸)以及约0.2英寸至约0.5英寸的出口直径(诸如约0.40英寸),其以约40°从第二圆柱状部分渐渐变细。穿孔465可具有等于或大于穿孔460的直径。穿孔465延伸通过圆形板以供流体通过其间。第一穿孔的组合高度为0.05-0.15英寸,例如约0.35英寸。圆形板的图案化部分可根据圆形板的尺寸而改变,并且可以在直径为约14英寸的圆形板的约0.5英寸至约6英寸的直径处。
图案部分485可具有30-45列(例如37列)的交错列排列的多个第三穿孔。各个列沿着y-轴具有2-30个第三穿孔(诸如3-17个穿孔),且各个列相隔0.2-0.35英寸(例如约0.31英寸)。每一隔列可沿着和这些第二穿孔相同的x-轴设置,并且这些第三穿孔可沿着x-轴处于与这些第二穿孔交替的顺序。对于仅具有第三穿孔的列,在列中的各个第三穿孔可沿着x-轴从先前第三穿孔由各个相应的直径平移0.4-0.8英寸(诸如约0.31英寸)。对于仅具有第三穿孔的列,在列中的各个第三穿孔可沿着x-轴从先前第二穿孔由各个相应的直径平移0.4-0.8英寸(诸如约0.31英寸)。第三穿孔沿着x-轴从另一列中的第三穿孔由各个相应的直径交错0.1-0.2英寸(诸如约0.16英寸)。在各个列中,这些穿孔可彼此均等地分隔。
参照图4G,第三穿孔可具有轮廓,或定义具有0.2-0.3英寸第一直径(诸如约0.25英寸)的第一圆柱状部分476(喷嘴)的形状。第一圆柱状部分在一端具有入口。第一圆柱状部分可具有约0.1-0.16英寸的高度(诸如约0.14英寸)。第二圆柱状部分478通过过渡区段477连接到第一圆柱状部分476,第二圆柱状部分478具有小于第一直径的第二直径。第二直径可以为0.04-0.07英寸(诸如0.06英寸)或者约为7.5:1至3:1的第一直径对第二直径的比值(诸如约4:1)。第二圆柱状部分可具有约0.01-0.1英寸的高度(诸如约0.05英寸)。过渡区段477从第一区段和第一直径以约40°的角度渐渐变细到第二区段和大于0.07-0.1英寸的第一主要直径(例如约0.08英寸)。第一主要直径大于第二直径。
第三圆柱状部分444(喉)连接到第二圆柱状部分478,并且可具有0.01-0.03英寸的第三直径(诸如0.016英寸)或者约为30:1至6:1的第一直径对第三直径的比值(诸如约16:1)。第三圆柱状部分可具有约0.01-0.03英寸的高度,诸如约0.025英寸。第四圆柱状部分479(扩散器)连接到第三圆柱状部分444。第四圆柱状部分可具有类似于第二圆柱状部分478的直径,而具有小于第一直径的第四直径。第四直径可以为0.04-0.07英寸(诸如0.06英寸)或者约为7.5:1至3:1的第一直径对第二直径的比值(诸如约4:1)。第四直径可具有约0.01-0.5英寸的高度,诸如约0.025英寸。
参照图4E-4H,第一流体(诸如过程气体)在进入过程区域之前经由上歧管中的第一穿孔460与下歧管中的第二穿孔465流动F1通过喷头。第二流体(诸如前驱物)流经信道490到气体散布信道480到达上歧管与下歧管之间的内部区域495而流动F2到过程区域(其为环绕第一与第二穿孔的隔离的流动路径)且经由第三穿孔475离开。第一流体与第二流体在喷头中均彼此隔离,直到输送到过程区域内。
参照图5A-5F,提供气体散布组件500或喷头的实施例,气体散布组件500包括第一或上歧管510、连接到第一歧管510的底部的第二或中心歧管520、和连接到第二歧管520的底部的第三或底歧管530。在使用时,喷头500相对于基材的方位使得任何形成在喷头中的穿孔的轴垂直于或大体上垂直于基材平面。
图5A示出第一歧管510、第二歧管515与第三歧管520的立体图。图5B示出顶歧管的俯视图。图5C示出中心歧管的俯视图。图5D示出底歧管的顶部的立体图。图5E示出第一歧管510、第二歧管515与第三歧管520的切割立体图。图5F示出图5E的切割立体图的放大部分。
参照图5A和5B,上歧管510可具有图案化部分516,图案化部分516具有多个第一穿孔511以及多个第二穿孔514,这些第一穿孔511形成为同心地绕着上歧管的中心部513而设置的多个第一径向列512,这些第二穿孔514同心地绕着该多个第一穿孔511而设置且这些第二穿孔514形成为多个第二径向列515。
该多个第一穿孔511可包含两个或更多个穿孔(诸如各个径向列中具有2-10个穿孔,例如约4个穿孔)的多个第一径向列512(诸如2-24列,例如16列)。这些同心径向列可以均等的角度彼此均等地分隔。在各个径向列中,这些穿孔可彼此均等地分隔。各个穿孔可在圆形板中具有圆柱形状。在示例中,穿孔511可具有约0.1英寸至约0.5英寸的直径(诸如约0.2英寸),并且延伸通过圆形板以提供用于供流体通过其间。
同心地绕着该多个第一穿孔511而设置的该多个第二穿孔514可包含两个或更多个穿孔(诸如各个径向列中具有2-10个穿孔,例如约5个穿孔)的多个第二径向列515(诸如3-40列,例如32列)。这些同心径向列可以均等的角度彼此均等地分隔。在各个径向列中,这些第二穿孔可彼此均等地分隔。各个第二穿孔可在圆形板中具有圆柱形状。在示例中,穿孔514可具有约0.1英寸至约0.5英寸的直径(诸如约0.2英寸),并且延伸通过圆形板以提供用于供流体通过其间。
背信道518(在图5B的显示为虚线)可形成在上歧管510的背侧以用于输送气体到中心间隙519(亦显示为虚线)。背侧信道从外部源提供第二流体到中心间隙,第二流体经由中心歧管的中心穿孔被传送到底歧管的中心,多个内气体信道流体连通到底歧管的中心且经由设置在这些气体信道中的穿孔而流体连通至过程区域。
参照图5A和5C,中心歧管520可具有图案化部分526,图案化部分526具有多个第一开口521以及多个第二开口524,这些第一开口521形成为绕着中心歧管的中心部523而设置的同心圆列,这些第二开口524形成为同心地绕着该多个第一开口521而设置的同心圆列。
这些第一开口521可形成为三角形或梨形。该形状可包含初始侧,该初始侧位于邻近歧管的中心处且以5°至45°的角度(例如22.5°)扩张到周边部分。周边部分的形状可以是圆滑的或平坦的。这些第一开口521可包含2-24个开口,例如16个。各个第一开口521可设置成和这些第一径向列512的一个相应。各个开口521可适于具有足够的尺寸,以提供围绕各个相应的第一径向列的所有穿孔的开口。这些第一开口521可以均等的角度彼此均等地分隔。
这些第二开口524可形成为三角形或梨形。该形状可包含初始侧,该初始侧位在邻近该多个第一开口521处且以5°至45°的角度(例如11.25°)扩张到周边部分。在第二开口的实施例中,第二开口可具有这些第一开口的扩张角度的约一半。周边部分的形状可以是圆滑的或平坦的。这些第二开口524可包含4-48个开口,例如32个。各个第二开口524可设置成和这些第二径向列515的一个相应。各个第二开口524可适于具有足够的尺寸,以提供围绕各个相应的第二径向列的所有穿孔的开口。这些第二开口524可以均等的角度彼此均等地分隔。这些第二开口可被提供成这些第一开口对这些第二开口的比值为1:1至1:3,例如1:2。在示例中,中心歧管包含16个以22.5°的角度扩张的第一开口以及32个以11.25°的角度扩张的第二开口。
中心歧管的中心部523可包含穿孔,其容许流体从上歧管的背中心到底歧管的中心533的流体连通。
参照图5A和5D,底歧管530可具有图案化部分536,图案化部分536具有多个第一开口531、多个第一气体信道537、多个第二开口534、多个第二气体信道538与信道网络539,这些第一开口531形成为绕着中心歧管的中心部533而设置的同心圆列,这些第一气体信道537设置在该多个第一开口531之间,这些第二开口534形成为同心地绕着该多个第一开口531而设置的同心圆列,这些第二气体信道538设置在该多个第二开口534之间,该信道网络539同心地绕着该多个第二气体信道538与该多个第二开口534而设置。
气体信道网络539流体地连接到该多个第二气体信道538且可和这些第二开口531流体地隔离。该多个第一气体信道537可流体地连接到中心部533且可和这些第一开口531流体地隔离。这些第一流体信道537可和这些第二流体信道538隔离。这些第一流体信道包括穿孔542以用于输送流体到过程区域。
这些第一开口531可形成为三角形或梨形。该形状可包含初始侧,该初始侧位于邻近歧管的中心处且以5°至45°的角度(例如22.5°)扩张到周边部分。周边部分的形状可以是圆滑的或平坦的。这些第一开口531可包含2-24个开口,例如16个。各个第一开口531可设置成和中心歧管的这些第一开口521的一个相应。各个开口531亦可适于具有足够的尺寸,以提供围绕上歧管的各个相应的第一径向列的所有穿孔的开口。这些第一开口531可以均等的角度彼此均等地分隔。
这些第二开口534可形成为三角形或梨形。该形状可包含初始侧,该初始侧位于邻近该多个第一开口531处且以5°至45°的角度(例如11.25°)扩张到周边部分。在第二开口531的实施例中,第二开口可具有这些第一开口的扩张角度的约一半。周边部分的形状可以是圆滑的或平坦的。这些第二开口534可包含4-48个开口,例如32个。各个第二开口534可设置成和中心歧管的这些第二开口524的一个相应。各个第二开口534可适于具有足够的尺寸,以提供围绕上歧管的各个相应的第二径向列的所有穿孔的开口。这些第二开口534可以均等的角度彼此均等地分隔。这些第二开口534可被提供成这些第一开口531对这些第二开口534的比值为1:1至1:3,例如1:2。在示例中,中心歧管包含16个以22.5°的角度扩张的第一开口以及32个以11.25°的角度扩张的第二开口。
该多个第一气体信道537设置在该多个第一开口531之间,并且可具有与这些第一开口531的数量相同的信道537数量。这些气体信道具有可连接到歧管的中心部533的内部、可和这些第一开口531一起扩张的外部,并且具有大致上矩形或方形的截面。各个第一气体信道具有一或多个穿孔、出口,出口在第一气体信道的底部形成为一或多个列以提供到与程腔室的流体连通。举例而言,各个第一气体信道具有2列的10个穿孔,各个列具有5个穿孔。该多个第一气体信道537适于接触中心歧管的底表面,形成了密封的信道,并且与中心歧管的开口521、524隔离。
该多个第二气体信道538设置在该多个第二开口534之间,并且可具有和这些第二开口534的数量相同的信道538数量。这些气体信道具有可和这些第二开口的内部一起扩张的内部、连接到信道网络539的外部,并且具有大致上矩形或方形的截面。各个第二气体信道具有一或多个穿孔、出口,出口在第二气体信道的底部形成为一或多个列以提供与过程腔室的流体连通。举例而言,各个第二气体信道具有2列的10个穿孔,各个列具有5个穿孔。该多个第二气体信道538适于接触中心歧管的底表面,形成了密封的信道,并且与中心歧管的开口521、524隔离。该多个第一气体信道537与该多个第二气体信道538可彼此流体地隔离。
信道网络539同心地绕着该多个第二气体信道538与该多个第二开口534而设置,并且流体地连接到这些第二气体信道538。在底歧管的实施例中,各个第二气体信道538连接到信道网络539。信道提供第二流体到喷头以输送到过程腔室的过程区域。流动到信道网络的第二流体可以与经由信道518被提供到该多个第一气体信道537的第二流体相同或不同。
参照图5E和5F,第一流体(诸如过程气体)在进入过程区域550之前经由上歧管中的第二穿孔514、中心歧管中的第二开口524与底歧管中的第二穿孔534流动F3通过喷头。第二流体(诸如前驱物)流动F4通过信道518到中心519、通过中心歧管的中心523到底歧管的中心533、通过一或多个第一气体信道537与穿孔542,并且(或)第二流体(或第三流体)流动通过信道网络539到一或多个第二气体信道538且经由穿孔542输送到过程区域。第一流体与第二流体在喷头中均彼此隔离,直到输送到过程区域内。
本文描述的实施例可使两种不同的流体(诸如气体)输送到过程区域而不混合,直到直接位于基材的表面上方。本文提供的热控制方面亦使各种被提供到过程区域的气体的温度受到控制。这提升了腔室内过程(诸如沉积、蚀刻过程、及诸如此类)的控制。举例而言,气体混合可控制成使得可增加过程区域中的反应。可将腔室部件上的不希望的沉积和微粒产生减到最少。通过减少微粒且将用于腔室清洁的停机时间减到最少,这增加了产出。
相信如本文描述的双区域气体喷头容许分离的过程气体被引进到过程腔室内,以在进入过程腔室之前可避免任何不希望的气体反应与混合。通过在喷头的中心处与边缘处的独立的气体引进和控制,双区域喷头提供了更佳的均匀气体散布。
在替代性实施例中,前驱物可通过超过一个气体独立信道被引进到内部空间。调节来从第一过程区域(诸如215)到第二过程区域(诸如233)的第一流体流的多个穿孔以及调节前驱物流的多个穿孔可适于提供任何必要的结构,以提供中心到边缘的多个区域流动控制。在这样的设计中,均从中心与边缘(甚至多个区域)引进前驱物流与顶部流,其可分别地被控制以控制最终的沉积轮廓。举例而言,限制基材的外部上方的区域中的穿孔的数量,以引导在基材的中心部上方的流动。在双区域喷头结构下,前驱物注入可在径向被分隔成两个或多个区域,并且各个区域具有独立的流动控制。
此外,本发明可包含对称的泵送衬垫,其具有从腔室到前线的对称的分隔(第一阶信道具有一个端口且连接到具有两埠的第二阶;各个第二阶埠连接到第三阶上的两埠,等等,到连接到腔室的最终泵送孔)。最终信道可被分隔成多个不同的区块或可以是连接的信道。其变化可省略一或多阶,例如从4至32等,但仍通过将泵送孔尺寸(孔径与沟槽长度)予以最佳化而维持来自腔室的均匀的泵送。衬垫亦去除了衬垫与C-沟槽之间的间隙,减少了狭缝开口的效应。
虽然前文针对本发明的实施例,但是在不脱离本发明的基本范围的情况下,可设计本发明的其它及另外实施例,且本发明的范围由以下权利要求确定。

Claims (5)

1.一种气体散布组件,包含:
上歧管,其包含:
多个第一穿孔,其形成为同心地绕着所述上歧管的中心部而设置的多个第一径向列;及
多个第二穿孔,其同心地绕着所述多个第一穿孔而设置且形成为多个第二径向列;
中心歧管,其连接到所述上歧管且包含:
第一开口组,其同心地绕着所述中心歧管的中心部而设置;及
第二开口组,其同心地绕着所述第一开口组而设置;及
底歧管,其连接到所述中心歧管且包含:
第三开口组,其同心地绕着所述底歧管的中心部而设置;
第四开口组,其同心地绕着所述第三开口组而设置;
多个第一气体信道,其设置在所述底歧管的上侧的这些第四开口的各者之间;及
信道网络,其同心地绕着所述第四开口组而设置且流体地连接到这些第一气体信道的一或多个。
2.根据权利要求1所述的气体散布组件,其中,所述多个第一气体信道的每个还包括设置在其中且形成为通过所述底歧管的一或多个穿孔。
3.根据权利要求1所述的气体散布组件,还包括多个第二气体信道,其设置在所述底歧管的上侧的这些第三开口的各者之间。
4.根据权利要求1所述的气体散布组件,还包括:
第一流体储槽,其形成在所述上歧管的底侧的所述上歧管的中心部中;
一或多个气体信道,其设置在所述上歧管的底侧且流体地连接到所述第一流体储槽;
中心开口,其形成为通过所述中心歧管的中心部且与所述第一流体储槽流体连通;及
第二流体储槽,其形成在所述底歧管的上侧的所述底歧管的中心部中,其中,所述第二流体储槽与所述中心开口流体连通且与所述多个第二气体信道流体连通。
5.根据权利要求1所述的气体散布组件,其中,所述多个第二气体信道的各者还包括设置在其中且形成为通过所述底歧管的一或多个穿孔。
CN201510491086.7A 2009-07-15 2010-07-15 Cvd 腔室的流体控制特征结构 Active CN105088191B (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22589009P 2009-07-15 2009-07-15
US61/225,890 2009-07-15
US23370609P 2009-08-13 2009-08-13
US61/233,706 2009-08-13
US23412009P 2009-08-14 2009-08-14
US61/234,120 2009-08-14
CN201080031919.7A CN102754190B (zh) 2009-07-15 2010-07-15 Cvd腔室的流体控制特征结构

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201080031919.7A Division CN102754190B (zh) 2009-07-15 2010-07-15 Cvd腔室的流体控制特征结构

Publications (2)

Publication Number Publication Date
CN105088191A true CN105088191A (zh) 2015-11-25
CN105088191B CN105088191B (zh) 2018-07-13

Family

ID=43450221

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201080031919.7A Expired - Fee Related CN102754190B (zh) 2009-07-15 2010-07-15 Cvd腔室的流体控制特征结构
CN201510491086.7A Active CN105088191B (zh) 2009-07-15 2010-07-15 Cvd 腔室的流体控制特征结构

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201080031919.7A Expired - Fee Related CN102754190B (zh) 2009-07-15 2010-07-15 Cvd腔室的流体控制特征结构

Country Status (6)

Country Link
US (3) US8894767B2 (zh)
JP (1) JP5777615B2 (zh)
KR (2) KR101659303B1 (zh)
CN (2) CN102754190B (zh)
TW (1) TWI490366B (zh)
WO (1) WO2011009002A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法

Families Citing this family (186)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
AU2010213360B2 (en) * 2009-02-13 2013-11-28 Gallium Enterprises Pty Ltd Plasma deposition
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
JP5721132B2 (ja) 2009-12-10 2015-05-20 オルボテック エルティ ソラー,エルエルシー 真空処理装置用シャワーヘッド・アセンブリ及び真空処理装置用シャワーヘッド・アセンブリを真空処理チャンバに締結する方法
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8944003B2 (en) 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105441904B (zh) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9840777B2 (en) * 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10157787B2 (en) * 2015-12-17 2018-12-18 Applied Materials, Inc. Method and apparatus for depositing cobalt in a feature
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102515110B1 (ko) 2018-01-29 2023-03-28 주성엔지니어링(주) 기판처리장치
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN112368807A (zh) * 2018-12-21 2021-02-12 玛特森技术公司 工件的表面平滑化
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
CN114127902A (zh) * 2019-07-15 2022-03-01 应用材料公司 用于平板显示器的大面积高密度等离子体处理腔室
US20230167552A1 (en) * 2020-04-28 2023-06-01 Lam Research Corporation Showerhead designs for controlling deposition on wafer bevel/edge
EP4200901A1 (en) * 2020-08-18 2023-06-28 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
KR102589286B1 (ko) * 2021-08-17 2023-10-12 에스케이엔펄스 주식회사 가스 분사 장치 및 이를 포함하는 플라즈마 처리 장치
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers
TWI809706B (zh) * 2022-02-10 2023-07-21 緊固電子束科技有限公司 氣體擴散結構及其維修方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
CN1659308A (zh) * 2002-01-25 2005-08-24 应用材料有限公司 气体分配喷头
US20060011298A1 (en) * 2004-07-15 2006-01-19 Ji-Eun Lim Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
US20080242085A1 (en) * 2007-03-30 2008-10-02 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6126753A (en) * 1998-05-13 2000-10-03 Tokyo Electron Limited Single-substrate-processing CVD apparatus and method
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
WO2001073159A1 (fr) * 2000-03-27 2001-10-04 Mitsubishi Heavy Industries, Ltd. Procede et appareil permettant de former un film metallique
WO2001075188A2 (en) 2000-03-30 2001-10-11 Tokyo Electron Limited Method of and apparatus for gas injection
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
CN101326629B (zh) * 2006-05-30 2011-05-25 应用材料股份有限公司 填充介电质间隙的制程室
JP2007324154A (ja) 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20080193673A1 (en) * 2006-12-05 2008-08-14 Applied Materials, Inc. Method of processing a workpiece using a mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5172617B2 (ja) 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
CN106884157B (zh) * 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
WO2012138866A1 (en) * 2011-04-08 2012-10-11 Applied Materials, Inc. Apparatus and method for uv treatment, chemical treatment, and deposition
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US10577690B2 (en) * 2016-05-20 2020-03-03 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1659308A (zh) * 2002-01-25 2005-08-24 应用材料有限公司 气体分配喷头
US20030143328A1 (en) * 2002-01-26 2003-07-31 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20060011298A1 (en) * 2004-07-15 2006-01-19 Ji-Eun Lim Showerhead with branched gas receiving channel and apparatus including the same for use in manufacturing semiconductor substrates
US20080242085A1 (en) * 2007-03-30 2008-10-02 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法

Also Published As

Publication number Publication date
KR20120062698A (ko) 2012-06-14
WO2011009002A2 (en) 2011-01-20
TWI490366B (zh) 2015-07-01
US20150013793A1 (en) 2015-01-15
US20110011338A1 (en) 2011-01-20
TW201111548A (en) 2011-04-01
KR20160027239A (ko) 2016-03-09
KR101659303B1 (ko) 2016-09-23
JP5777615B2 (ja) 2015-09-09
CN102754190A (zh) 2012-10-24
US8894767B2 (en) 2014-11-25
JP2012533890A (ja) 2012-12-27
US20200149166A1 (en) 2020-05-14
CN105088191B (zh) 2018-07-13
KR101598332B1 (ko) 2016-03-14
WO2011009002A3 (en) 2011-04-14
US10550472B2 (en) 2020-02-04
CN102754190B (zh) 2015-09-02

Similar Documents

Publication Publication Date Title
CN102754190B (zh) Cvd腔室的流体控制特征结构
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
CN101065513B (zh) 用于改良瞬时相沉积的气体分配系统
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
JP4590071B2 (ja) 基板表面上に誘電体層を形成するための方法及び装置
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
CN102154628A (zh) 用于化学气相沉积反应器的多气体分配喷射器
JP2014514744A (ja) 化学気相堆積チャンバ用のライナアセンブリ
TW200809965A (en) Process chamber for dielectric gapfill
CN101326629B (zh) 填充介电质间隙的制程室
US11222771B2 (en) Chemical control features in wafer process equipment
KR20230021993A (ko) SiC 기판의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant