KR20160027239A - Cvd 챔버의 유동 제어 피쳐 - Google Patents

Cvd 챔버의 유동 제어 피쳐 Download PDF

Info

Publication number
KR20160027239A
KR20160027239A KR1020167004522A KR20167004522A KR20160027239A KR 20160027239 A KR20160027239 A KR 20160027239A KR 1020167004522 A KR1020167004522 A KR 1020167004522A KR 20167004522 A KR20167004522 A KR 20167004522A KR 20160027239 A KR20160027239 A KR 20160027239A
Authority
KR
South Korea
Prior art keywords
holes
manifold
gas distribution
distribution assembly
gas
Prior art date
Application number
KR1020167004522A
Other languages
English (en)
Other versions
KR101659303B1 (ko
Inventor
키엔 엔 죽
치웨이 리앙
한 디. 응우옌
싱룽 첸
매튜 밀러
수남 박
토안 큐. 트란
아디브 칸
장규 양
드미트리 루보미르스키
샹카르 벤카타라만
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160027239A publication Critical patent/KR20160027239A/ko
Application granted granted Critical
Publication of KR101659303B1 publication Critical patent/KR101659303B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/85938Non-valved flow dividers

Abstract

가스 분배 조립체용 장치 및 방법이 제공된다. 일면에서, 내경에 위치된 내측 환형 벽, 외경에 위치된 외측 벽, 상부면 및 바닥면을 갖는 환형 링; 상기 상부면의 내측에 형성된 상부 리세스; 상기 내측 환형 벽의 반경 방향으로 외측에 형성된 립; 및 상기 내측 환형 벽의 내측에 형성된 시트;를 포함하는 환형 본체와, 상기 상부 리세스 내에 위치된 상부 판으로서, 관통 형성된 복수의 제 1 구멍을 갖는 디스크형 본체로서, 상기 제 1 구멍들이 상기 본체의 표면을 넘어 연장함으로써 상승된 원통형 본체들을 형성하는 디스크형 본체를 포함하는, 상부 판, 및 상기 시트 상에 위치되는 바닥 판으로서; 상기 제 1 구멍과 정렬되도록 관통 형성된 복수의 제 2 구멍을 갖는 디스크형 본체; 및 상기 제 2 구멍들 사이에 형성되며 상기 바닥 판을 통해 형성되는 복수의 제 3 구멍으로서, 상기 바닥 판이 상기 상부 판에 밀봉되게 연결됨으로써 상기 복수의 제 1 및 제 2 구멍들을 상기 복수의 제 3 구멍으로부터 유동적으로 격리시키는 복수의 제 3 구멍;을 포함하는, 바닥 판을 포함하는 가스 분배 조립체가 제공된다.

Description

CVD 챔버의 유동 제어 피쳐 {FLOW CONTROL FEATURES OF CVD CHAMBERS}
본 발명은 반도체 기판과 같은 기판을 처리하기 위한 장치, 특히 프로세스 유체를 기판 위에 분포시키기 위한 장치에 관한 것이다.
반도체 프로세스 시스템은 일반적으로, 프로세싱 영역 근처의 챔버 내에 반도체 기판과 같은 기판을 지지하기 위한 받침대를 갖는 프로세싱 챔버를 포함한다. 상기 챔버는 프로세싱 영역을 부분적으로 한정하는 진공 밀폐함(vacuum enclosure)을 형성한다. 가스 분배 조립체 또는 샤워헤드는 하나 또는 그보다 많은 프로세스 가스들을 프로세싱 영역에 제공한다. 가스들은 그 후에 가열 및/또는 활성화되어 기판에 대한 임의의 프로세스들을 수행하는 플라즈마를 형성한다. 이들 프로세스들에는 다른 공정들 중에서도, 재료를 기판 상에 증착하기 위한 화학 기상 증착(CVD)과 같은 증착 공정들 또는 기판으로부터 재료를 제거하기 위한 식각 반응이 포함될 수 있다.
다중 가스들을 필요로 하는 공정들에 있어서, 가스들은 혼합 챔버 내에서 조합될 수 있으며, 혼합 챔버는 다음에 도관들을 경유하여 가스 분배 조립체에 연결된다. 예를 들어, 종래의 열 CVD 공정에 있어서, 두 개의 프로세스 가스들이 두 개의 각각의 캐리어 가스들과 함께 혼합 챔버로 공급되며, 혼합 챔버에서 이들 가스들은 가스 혼합물을 형성하도록 조합된다. 가스 혼합물은 챔버로 직접적으로 유입될 수 있거나 챔버의 상부 부분 내에 있는 도관을 통해서 가스 분배 조립체로 이동될 수 있다. 가스 분배 조립체는 일반적으로, 가스 혼합물이 기판 위의 프로세싱 영역으로 균일하게 분포되도록 복수의 구멍을 갖는 판을 포함한다. 다른 예에서, 두 개의 가스들은 가스 분배 조립체를 따로따로 통과하며 프로세싱 영역 및/또는 기판에 도달하기 이전에 조합될 수 있다. 가스 혼합물이 프로세싱 영역으로 유입되어 열 에너지에 의해 용해될 때, 프로세스 가스들 사이에서 화학 반응이 발생하여 기판 상에 화학 기상 증착 반응을 초래한다.
예를 들어, 성분 가스들이 프로세싱 영역 내측에 균일하게 분포되는 것을 보장하기 위해 프로세싱 영역으로의 방출 이전에 가스들을 혼합하는 것이 일반적으로 유리하지만, 가스들은 혼합 챔버 또는 분배 판 내에서 환원, 또는 이와 달리 반응하기 시작하는 경향이 있다. 그 결과로, 가스 혼합물이 프로세싱 영역에 도달하기 이전에 혼합 챔버, 도관, 분배 판, 및 다른 챔버 부품들 상의 증착 또는 식각을 초래할 수 있다. 또한, 반응 부산물들이 챔버 가스 전달 부품들 또는 분배 판의 내측 표면 상에 쌓일 수 있음으로써, 원하지 않는 입자들을 생성 및/또는 이들 입자들의 존재를 증가시킨다.
가스들이 프로세싱 영역으로 방출될 때 이들 가스의 온도 제어는 가스의 반응성을 제어하는데 유리하다. 예를 들어, 가스들을 냉각시키는 것은 프로세싱 영역으로의 방출 이전에 원하지 않는 반응들을 제어하는데 도움을 줄 수 있다. 가스들은 가열된 기판과 접촉될 때까지 반응을 억제한다. 다른 환경에서 가스들의 가열이 필요할 수 있다. 예를 들어, 고온(hot) 가스 퍼징 또는 세정은 프로세싱 챔버로부터 오염물을 제거하는데 도움을 줄 수 있다. 따라서, 온도 제어 양상을 가스 분배 판에 통합하는 것이 유용하다.
그러므로, 프로세싱 영역에 도달하기 이전에 가스들의 상호 혼합 없이 두 개 이상의 가스들을 프로세싱 영역으로 전달하는 가스 분배 장치에 대한 계속적인 요구가 있다.
본 발명에서 설명되는 일면들은 가스들과 같은 프로세스 유체들을 기판 상에 필름을 증착하기 위한 프로세싱 챔버로 또는 다른 공정들로 전달하기 위한 장치에 관한 것이다. 일면에서, 제 1 유체의 통행을 위해 관통 형성되는 복수의 제 1 구멍을 가지며 제 2 유체용 유동로를 형성하는 제 1 매니폴드, 및 상기 제 1 매니폴드에 연결되는 상부 측을 가지며 상기 제 1 유체로부터 상기 유동로를 격리시키는 제 2 매니폴드를 포함하는 가스 분배 조립체가 제공되며, 상기 제 2 매니폴드는 상기 제 1 구멍들 중의 하나에 각각 연결되는 복수의 제 2 구멍 및 상기 유동로에 유동적으로 연결되는 복수의 제 3 구멍을 가진다.
다른 일면에서, 상부 매니폴드의 중심 부분 주위에 동심으로 배치된 복수의 제 1 방사상 열들 내에 형성된 복수의 제 1 구멍, 및 상기 복수의 제 1 구멍 주위에 동심으로 배치되며 복수의 제 2 방사상 열들 내에 형성된 복수의 제 2 구멍을 포함하는 상부 매니폴드와; 상기 상부 매니폴드에 연결되는 중심 매니폴드로서, 상기 중심 매니폴드의 중심 부분 주위에 동심으로 배치된 제 1 개구 세트, 및 상기 제 1 개구 세트 주위에 동심으로 배치된 제 2 개구 세트를 포함하는, 중심 매니폴드; 및 상기 중심 매니폴드에 연결되는 바닥 매니폴드로서, 상기 바닥 매니폴드의 중심 부분 주위에 동심으로 배치된 제 3 개구 세트와, 상기 제 3 개구 세트 주위에 동심으로 배치된 제 4 개구 세트와, 상기 바닥 매니폴드의 상부 측 상의 각각의 상기 제 4 개구들 사이에 배치된 복수의 제 1 가스 채널, 및 상기 제 1 가스 채널들 중의 하나 또는 그보다 많은 채널에 유동적으로 연결되며 상기 제 4 개구 세트 주위에 동심으로 배치된 채널 네트워크를 포함하는 가스 분배 조립체가 제공된다.
본 발명의 전술한 특징들이 상세히 이해될 수 있는 방식으로, 일부가 첨부 도면에 도시된 실시예들을 참조하여 위에서 간략히 요약한 본 발명이 더욱 구체적으로 설명된다. 그러나, 첨부 도면들은 본 발명의 단지 전형적인 실시예들만을 도시하므로, 본 발명의 범주를 제한하는 것으로 고려되어서는 안 되며 본 발명에 대해서 다른 균등하고 효과적인 실시예들이 허용될 수 있다. 그럼에도 불구하고, 본 발명의 사상들은 첨부 도면들과 관련하여 다음의 상세한 설명을 고려함으로써 용이하게 이해될 수 있다.
도 1은 프로세스 툴의 일 실시예에 대한 평면도이며,
도 2a 내지 도 2c는 프로세싱 챔버의 일 실시예에 대한 개략적인 횡단면도이며,
도 3a 내지 도 3m은 본 발명에서 설명된 바와 같은 가스 분배 조립체의 일 실시예에 대한 개략도이며,
도 4a 내지 도 4i는 본 발명에서 설명된 바와 같은 가스 분배 조립체의 일 실시예에 대한 개략도이며,
도 5a 내지 도 5f는 본 발명에서 설명된 바와 같은 가스 분배 조립체의 일 실시예에 대한 개략도이다.
이해를 촉진시키기 위해, 도면들에 있어서 공통인 동일한 구성 요소들을 나타내기 위해 가능하다면 동일한 참조 부호가 사용되었다. 또한, 일 실시예에서 설명된 구성 요소들은 특별한 언급없이도 다른 실시예들에 유리하게 이용될 수 있다는 것을 이해해야 한다.
본 발명에서 설명된 일면들은 기판 상에 필름을 증착하기 위한 프로세싱 챔버로 또는 다른 공정들로 프로세스 유체를 전달하기 위한 장치에 관한 것이다.
도 1은 설명된 실시예들에 따른, 증착, 소성(baking) 및 경화 챔버들의 프로세스 툴(100)의 일 실시예에 대한 평면도이다. 본 도면에서, 한 쌍의 FOUP(102; front opening unified pod)는 직렬 프로세싱 챔버(109a-c)의 기판 프로세싱 섹션(108a-f)들 중의 하나의 섹션 내측에 놓이기 이전에 로봇 아암(104)에 의해 수용되고 저압 유지 영역(106) 내측에 놓이는 기판 또는 기판들(예를 들어, 300 mm 직경의 기판들)을 공급한다. 제 2 로봇 아암(110)은 유지 영역(106)으로부터 프로세싱 챔버(108a-f)로 그리고 이와는 거꾸로 기판 또는 기판들을 이송하는데 사용될 수 있다.
직렬 프로세싱 챔버(109a-c)의 기판 프로세싱 섹션(108a-f)은 기판 상에 유동가능한 유전체 필름을 증착, 어닐링, 경화 및/또는 식각하기 위한 하나 또는 그보다 많은 시스템 부품들을 포함할 수 있다. 하나의 구성에서, 프로세싱 챔버의 두 쌍의 직렬 프로세싱 섹션(예를 들어, 108c-d 및 108e-f)들이 유동가능한 유전체 재료를 기판 상에 증착하는데 사용될 수 있으며, 제 3 쌍의 직렬 프로세싱 섹션(예를 들어, 108a-b)이 증착된 유전체를 어닐링하는데 사용될 수 있다. 다른 구성에서, 프로세싱 챔버의 두 쌍의 직렬 프로세싱 섹션(예를 들어, 108c-d 및 108e-f)은 기판 상에 유동가능한 유전체 필름의 증착과 어닐링을 모두 수행하도록 구성될 수 있는 반면에, 제 3 쌍의 직렬 프로세싱 섹션(예를 들어, 108a-b)이 증착된 필름의 UV 또는 E-빔 경화를 위해 사용될 수 있다. 또 다른 구성에서, 모두 세 쌍의 직렬 프로세싱 섹션(예를 들어, 108a-f)들이 기판 상에 유동가능한 유전체 필름을 증착하고 경화시키도록 구성될 수 있다.
또 다른 구성에서, 두 쌍의 직렬 프로세싱 섹션(예를 들어, 108c-d 및 108e-f)들이 유동가능한 유전체의 증착 및 UV 또는 E-빔 경화 모두에 사용될 수 있는 반면에, 제 3 쌍의 직렬 프로세싱 섹션(예를 들어, 108a-b)이 유전체 필름을 어닐링하는데 사용될 수 있다. 유동가능한 유전체 필름을 위한 증착, 어니링 및 경화 챔버의 추가 구성들이 시스템(100)에 고려될 수 있다고 이해될 것이다.
또한, 하나 또는 그보다 많은 직렬 프로세싱 섹션(108a-f)들이 습식 처리 챔버로서 구성될 수 있다. 이들 프로세싱 챔버들은 습기를 포함하는 분위기에서 유동가능한 유전체 필름을 가열하는 것을 포함한다. 따라서, 시스템(100)의 실시예들은 증착된 유전체 필름에 대한 습식 및 건식 어닐링을 모두 수행하기 위해 습식 처리 직렬 프로세싱 섹션(108a-b)들 및 어닐링 직렬 프로세싱 섹션(108c-d)들을 포함할 수 있다.
도 2a는 직렬 프로세싱 챔버 내에 분할된 플라즈마 생성 영역들을 갖는 프로세싱 챔버 섹션(200)의 일 실시예에 대한 횡단면도이다. 필름(실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 또는 실리콘 산탄화물(oxycarbide)) 증착 중에, 프로세스 가스는 가스 입구 조립체(205)를 통해 제 1 플라즈마 영역(215)으로 유동될 수 있다. 프로세스 가스는 제 1 플라즈마 영역(215)으로의 유입 이전에 원격 플라즈마 시스템(201; RPS) 내에서 여기될(excited) 수 있다. 리드(212), 샤워헤드(225), 및 상부에 배치된 기판(255)을 갖는 기판 지지대(265)가 설명된 실시예에 따라 도시된다. 리드(212)는 피라밋 형상, 원추형상, 또는 넓은 바닥 부분으로 확장하는 좁은 상부 부분을 갖는 다른 유사한 구조일 수 있다. 리드(212)는 인가된 AC 전압원을 갖는 것으로 도시되며 샤워 헤드는 접지되어, 제 1 플라즈마 영역(215) 내의 플라즈마 생성과 일치된다. 절연 링(220)이 리드(212)와 샤워헤드(225) 사이에 위치되어 용량 결합된 플라즈마(CCP)가 제 1 플라즈마 영역 내에 형성될 수 있게 한다.
리드(212)는 설명된 실시예들에 따라 프로세싱 챔버에 사용하기 위한 듀얼-소스 리드(dual-source lid)일 수 있다. 유체 입구 조립체(205)는 가스와 같은 유체를 제 1 플라즈마 영역(215)의 내측으로 유입한다. 두 개의 별도 유체 공급 채널들이 유체 입구 조립체(205) 내에서 볼 수 있다. 제 1 채널(202)은 원격 플라즈마 시스템(201; RPS)을 통과하는, 가스와 같은 유체를 운반하는 반면에, 제 2 채널(204)은 RPS(201)를 우회하는, 가스와 같은 유체를 가진다. 제 1 채널(202)은 프로세스 가스용으로 사용될 수 있으며 제 2 채널(204)은 설명된 실시예들에서 처리 가스용으로 사용될 수 있다. 상기 가스들은 플라즈마 영역(215)의 내측으로 유동할 수 있으며 배플(206)에 의해 분산될 수 있다. 리드(205) 및 샤워헤드(225)는 사이에 절연 링(220)을 갖는 것으로 도시되며, 절연 링은 AC 전위가 샤워헤드(225)와 관련하여 리드(212)에 인가될 수 있게 한다.
전구체 예를 들어, 실리콘-함유 전구체와 같은 유체가 본 발명에서 설명된 샤워헤드의 실시예에 의해 제 2 플라즈마 영역의 내측으로 유동될 수 있다. 플라즈마 영역(215) 내의 프로세스 가스로부터 파생된 여기 종들은 샤워헤드(225) 내의 구멍들을 통해 이동하며 샤워헤드로부터 제 2 플라즈마 영역(233)의 내측으로 유동하는 전구체와 반응한다. 제 2 플라즈마 영역(233) 내에는 플라즈마가 조금 있거나 없다. 프로세스 가스 및 전구체의 여기된 파생물들은 기판 위의 영역에서 그리고 때때로 기판 상에서 조합되어 기판 상에 유동가능한 필름을 형성한다. 필름이 성장하면서, 더 최근에 추가된 재료가 하부 재료보다 더 높은 이동성을 소유한다. 이동성은 감소하는데, 이는 유기 함량이 증발에 의해 감소되기 때문이다. 증착이 완료된 이후에 필름 내에 통상적인 밀도의 유기 함량을 남겨놓음이 없이 이러한 기술을 사용하여 유동가능한 필름에 의해 갭들이 메워질 수 있다. 증착된 필름으로부터 유기 함량을 추가로 감소 또는 제거하기 위해 경화 단계가 여전히 사용될 수 있다.
제 1 플라즈마 영역(215) 내에서 프로세스 가스를 직접적으로 여기시키는 것, 원격 플라즈마 시스템(RPS) 내에서 프로세스 가스를 여기시키는 것, 또는 이들 모두는 여러 이득을 제공한다. 프로세스 가스로부터 파생된 여기된 종들의 농도는 제 1 플라즈마 영역(215) 내의 플라즈마로 인해 제 2 플라즈마 영역(233) 내에서 증가될 수 있다. 이러한 증가는 제 1 플라즈마 영역(215) 내의 플라즈마의 위치로부터 유발될 수 있다. 제 2 플라즈마 영역(233)은 원격 플라즈마 시스템(201; RPS)보다 제 1 플라즈마 영역(215)에 더 가깝게 위치되어서, 여기된 종들이 다른 가스 분자들, 챔버의 벽들 및 샤워헤드의 표면들과의 충돌을 통해 여기 상태로 남아 있는 시간을 줄인다.
프로세스 가스로부터 파생된 여기된 종들의 농도의 균일성도 또한, 제 2 플라즈마 영역(233) 내에서 증가될 수 있다. 이는 제 2 플라즈마 영역(233)의 형상에 더 유사한, 제 1 플라즈마 영역(215)의 형상으로부터 유발될 수 있다. 원격 플라즈마 시스템(201;RPS) 내에 생성된 여기된 종들은 샤워헤드(225)의 중심 근처에 있는 구멍들을 통과하는 종들에 비해 샤워헤드(225)의 에지 근처에 있는 구멍들을 통과하기 위해 더 먼 거리를 이동한다. 더 먼 거리는 여기된 종들의 감소된 여기의 원인이 되며, 예를 들어 기판 에지 근처에서의 늦은 성장률의 원인일 수 있다. 제 1 플라즈마 영역(215) 내에서 프로세스 가스를 여기시키는 것은 이러한 변화를 완화시킨다.
바람직하게, 프로세스 가스는 RPS(201) 내에서 여기되며 여기 상태로 샤워헤드(225)를 통해 제 2 플라즈마 영역(233)으로 통과된다. 이와는 달리, RPS로부터 이미 여기된 프로세스 가스를 강화하거나 플라즈마 가스를 여기시키도록 제 1 프로세싱 영역에 전력이 인가될 수 있다. 플라즈마가 제 2 플라즈마 영역(233) 내에서 생성될 수 있지만, 상기 프로세스의 하나의 바람직한 실시예에서 플라즈마는 제 2 플라즈마 영역에서 생성되지 않는다. 프로세스의 하나의 바람직한 실시예에서, 프로세스 가스 또는 전구체의 유일한 여기는 RPS(201) 내에서 프로세스 가스의 여기시켜 제 2 플라즈마 영역(233) 내의 전구체와 반응하게 되는 것이다.
프로세싱 챔버 및 툴은 2008년 9월 15일자로 출원된 특허출원 번호 12/210,940호 및 2008년 9월 15일자로 출원된 특허출원 번호 12/210,982호에 더욱 상세히 설명되어 있으며, 이들 출원은 본 발명의 특허청구범위의 일면들 및 상세한 설명과 불일치되지 않는 범위로 본 발명에 참조되었다.
도 2b 및 도 2c는 본 발명에서 설명된 프로세싱 챔버 및 가스 분배 조립체 내에서의 전구체 유동 프로세스들의 일 실시예에 대한 개략적인 측면도이다. 프로세싱 챔버 섹션(200)에 사용하기 위한 가스 분배 조립체들은 듀얼 존 샤워헤드(DZSH)로서 지칭되며 본 발명의 도 3a 내지 도 3k, 도 4a 내지 도 4i, 및 도 5a 내지 도 5f에 설명된 실시예들에서 상세히 설명된다. 다음의 가스 유동에 대한 설명은 광의의 듀얼 존 샤워헤드의 설명에 관한 것이며 본 발명에 설명된 샤워헤드의 일면들을 제한하는 것으로 해석 또는 추론해서는 안 된다. 다음의 상세한 설명이 유전체 재료의 증착과 관련하여 설명되지만, 본 발명자들은 이러한 장치 및 방법들이 다른 재료를 증착하는데 사용될 수 있다는 것도 예상하고 있다.
증착 프로세스의 일 실시예에서, 듀얼 존 샤워헤드는 유전체 재료의 유동가능한 증착을 가능하게 한다. 프로세싱 챔버 내에서 증착될 수 있는 유전체 재료의 예들은 실리콘 산화물, 실리콘 질화물, 실리콘 산탄화물, 또는 실리콘 산질화물을 포함한다. 실리콘 질화물 재료들은 실리콘 질화물, 즉 SixNy; 수소-함유 실리콘 질화물, 즉 SixNyHZ; 수소-함유 실리콘 산질화물, 즉 SixNyHZOZZ를 포함하는 실리콘 산질화물; 및 염화 실리콘 질화물, 즉 SixNyHZClZZ를 포함하는 할로겐-함유 실리콘 질화물을 포함한다. 증착된 유전체 재료는 그 후 실리콘 산화물과 같은 재료로 변환될 수 있다.
유전체 층은 유전체 재료 전구체를 유입시키고 제 2 플라즈마 영역(233) 또는 반응 용적에서 프로세스 가스와 전구체를 반응시킴으로써 증착될 수 있다. 전구체의 예들에는 실란, 디실란, 메틸실란, 디메틸실란, 트리메틸실란, 테트라메틸실란, 테트라에톡시실란(TEOS), 트리에톡시실란(TES), 옥타메틸사이클로테트라실록산(OMCTS), 테트라메틸-디실록산(TMDSO), 테트라메틸사이클로테트라실록산(TMCTS), 테트라메틸-디에톡실-디실록산(TMDDSO), 디메틸-디메톡실-실란(DMDMS) 또는 이들의 조합물을 포함하는 실리콘-함유 전구체들이다. 실리콘 질화물의 증착을 위한 추가의 전구체들은 시릴-아민 및 트리시릴아민(TSA)과 디시릴아민(DSA)을 포함하는 시릴-아민의 유도체와 같은 SixNyHZ-함유 전구체, SixNyHZOZZ-함유 전구체, SixNyHZClZZ-함유 전구체, 또는 이들의 조합물을 포함한다.
프로세스 가스들은 수소-함유 화합물, 산소-함유 화합물, 질소-함유 화합물, 또는 이들의 조합물을 포함한다. 적합한 프로세스 가스들의 예들은 H2, H2/N2 혼합물; NH3; NH4OH; O3; O2; H2O2; N2; N2H4 증기, NO, N2O, NO2, 수증기를 포함하는 NXHY 화합물; 또는 이들의 조합물을 포함하는 그룹으로부터 선택되는 하나 또는 그보다 많은 화합물을 포함한다. 프로세스 가스는 예를 들어, NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 조합물과 같은 N* 및/또는 H* 및/또는 O*-함유 라디칼 또는 플라즈마를 포함하도록 RPS 유닛 내에서와 같이 플라즈마 여기될 수 있다. 이와는 달리, 프로세스 가스는 본 발명에서 설명된 전구체들 중의 하나 또는 그보다 많은 전구체를 포함할 수 있다.
전구체들은 제 1 매니폴드(226), 또는 상부 판, 및 제 2 매니폴드(227), 또는 바닥 판에 의해 샤워헤드(225) 내에 한정된 내측 샤워헤드 용적(294) 내측으로 먼저 유입되고 나서 반응 영역으로 유입된다. 내측 샤워헤드 용적(294) 내의 전구체들은 제 2 매니폴드 내에 형성된 구멍(296)(개구)들을 경유하여 프로세싱 영역(233)의 내측으로 유동한다(295). 이러한 유동로는 챔버 내의 나머지 프로세스 가스와 격리되며, 기판(217)과 제 2 매니폴드(227)의 바닥 사이에 한정된 프로세싱 영역(233)의 내측으로 진입할 때까지 전구체에게 미반응된 또는 실질적으로 미반응된 상태를 제공한다. 일단 프로세싱 영역(233) 내에 있으면, 전구체는 프로세싱 가스와 반응할 수 있다. 전구체는 본 발명의 샤워헤드 실시예들에 도시된 바와 같은 샤워헤드, 예를 들어 채널(490,518 및/또는 539) 내에 형성된 측면 채널을 통해 샤워헤드(225) 내에 한정된 내측 샤워헤드 용적(294)의 내측으로 도입될 수 있다. 프로세스 가스는 RPS 유닛으로부터 또는 제 1 플라즈마 영역 내에 생성된 플라즈마로부터의 라디칼을 포함하는 플라즈마 상태일 수 있다. 또한, 플라즈마는 제 2 플라즈마 영역 내에서 생성될 수 있다.
프로세싱 가스들은 리드(212)와 샤워헤드(225)의 상부에 의해 한정된 제 1 플라즈마 영역(215), 또는 상부 용적의 내측으로 제공될 수 있다. 프로세싱 가스의 분배는 도 2a에 도시된 바와 같은 배플(206)의 사용에 의해 달성될 수 있다. 프로세싱 가스는 예를 들어, NH3, NH2 *, NH*, N*, H*, O*, N*O*, 또는 이들의 조합물과 같은 N* 및/또는 H* 및/또는 O*-함유 라디칼 또는 플라즈마를 포함하는 프로세스 가스 플라즈마 및 라디칼들을 생성하도록 제 1 플라즈마 영역(215) 내에서 여기되는 플라즈마일 수 있다. 이와는 달리, 프로세싱 가스는 제 1 플라즈마 프로세싱 영역(215)으로의 유입 이전에 원격 플라즈마 시스템을 통과한 후에 이미 플라즈마 상태일 수 있다.
플라즈마 및 라디칼을 포함하는 프로세싱 가스(290)는 그 후 채널(290)과 같은 구멍을 통한 전구체와의 반응을 위한 프로세싱 영역(233)으로 전달된다. 채널을 통과한 프로세싱 가스들은 내측 샤워헤드 용적(294)으로부터 물리적으로 격리되며 프로세싱 가스 및 전구체 모두가 샤워헤드(225)를 통과할 때 내측 샤워헤드 용적(294)을 통과한 전구체와 반응하지 않는다. 일단 프로세싱 용적 내에 있으면, 프로세싱 가스 및 전구체는 혼합되어 유전체 재료를 증착시키도록 반응한다.
프로세스 가스 및 유전체 재료 전구체 이외에도 변화된 목적을 위한 변화된 시간에 유입된 다른 가스들이 있을 수 있다. 챔버 벽들, 기판, 증착된 필름 및/또는 증착 중인 필름으로부터 수소, 탄소, 및 불소와 같은 원하지 않는 종들을 제거하기 위해 처리 가스가 유입될 수 있다. 프로세스 가스 및/또는 처리 가스는 H2, H2/N2 혼합물, NH3, NH4OH, O3, O2, H2O2, N2, N2H4 증기, NO, N2O, NO2, 수증기, 또는 이들의 조합물 그룹으로부터의 가스들 중에 하나 이상을 포함할 수 있다. 처리 가스는 플라즈마 내에서 여기된 이후에 증착된 필름으로부터 잔류 유기 함량을 감소 또는 제거시키는데 사용될 수 있다. 설명된 다른 실시예들에서 처리 가스는 플라즈마 없이 사용될 수 있다. 처리 가스가 수증기를 포함할 때, 전달은 질량 유동기(MFM) 및 분사 밸브 또는 상업적으로 이용가능한 수증기 발생기를 사용하여 달성될 수 있다. 처리 가스는 RPS 유닛을 통해 또는 RPS 유닛을 우회함으로써 제 1 처리 영역의 내측으로 유입될 수 있으며 제 1 플라즈마 영역 내에서 추가로 여기될 수 있다.
구멍(291)의 개구의 축선(292) 및 구멍(296)의 개구의 축선(297)은 서로에 평행 또는 실질적으로 평행할 수 있다. 이와는 달리, 축선(292) 및 축선(297)은 1도 내지 80도, 예를 들어 1도 내지 30도로 서로로부터 각을 이룰 수 있다. 이와는 달리, 개별 축선(292) 각각은 1도 내지 80도, 예를 들어 1도 내지 30도로 서로로부터 각을 이룰 수 있으며, 개별 축선(297) 각각은 1도 내지 80도, 예를 들어 1도 내지 30도로 서로로부터 각을 이룰 수 있다.
각각의 개구들은 도 2b에 구멍(291)에 대해 도시된 바와 같이 각을 이룰 수 있으며, 상기 개구들은 1도 내지 80도, 예를 들어 1도 내지 30도와 같은 각도를 가진다. 구멍(291)의 개구의 축선(292) 및 구멍(296)의 개구의 축선(297)은 기판(217)의 표면에 대해 수직 또는 실질적으로 수직일 수 있다. 이와는 달리, 축선(292) 및 축선(297)은 5도 미만과 같이, 기판 표면으로부터 각을 이룰 수 있다.
도 2c는 내측 용적(294)으로부터 구멍(296)을 통해 프로세싱 영역(233)으로의 전구체 유동(295)을 도시하는 프로세싱 챔버(200) 및 샤워헤드(225)에 대한 부분적인 개략도이다. 상기 도면은 또한, 서로로부터 각을 이루는 두 개의 구멍(296)들에 대한 축선(297,297')을 도시한 대체 실시예를 도시한다.
도 3a는 가스 분배 조립체(300)의 상부 사시도를 도시한다. 사용 중에, 가스 분배 조립체(300)는 실질적으로 수평 방위를 가짐으로써 관통 형성되는 가스 구멍의 축선이 기판 지지대의 평면에 수직 또는 실질적으로 수직하게 될 것이다(도 2a의 기판 지지대(265) 참조). 도 3b는 가스 분배 조립체(300)의 바닥 사시도를 도시한다. 도 3c는 가스 분배 조립체(300)의 저면도이다. 도 3d는 도 3c의 3D-3D 라인을 따라 취한 가스 분배 조립체(300)의 횡단면도이다. 도 3e는 도 3c의 3E-3E 라인을 따라 취한 가스 분배 조립체(300)의 바닥 판(325)에 대한 횡단면도이다. 도 3f 및 도 3g는 바닥 판(325)의 피쳐들에 대한 확대도이다. 도 3h는 가스 분배 조립체(300)의 상부 판(320)에 대한 저면도이다. 도 3h'는 도 3h의 3H'-3H' 라인에 따라 취한 상부 판(320)에 대한 횡단면도이다. 도 3h"는 상부 판(320)의 저면 사시도이다. 도 3i 및 도 3i'는 상부 판(320)의 피쳐들에 대한 확대도이다. 도 3j는 가스 분배 조립체(300)의 환형 본체(340)에 대한 평면도이다. 도 3k는 내부에 배치된 가열 요소(327)를 갖는 환형 본체(340)의 바닥에 대한 사시도를 도시한다. 도 3l은 도 3d에 도시된 가스 분배 조립체(300)의 일부분에 대한 확대도이다. 도 3m은 도 3j의 3M-3M 라인을 따라 취한 환형 본체(340)에 대한 횡단면도이다.
도 3a 내지 도 3m을 참조하면, 가스 분배 조립체(300)는 일반적으로 환형 본체(340), 상부 판(320), 및 바닥 판(325)을 포함한다. 환형 본체(340)는 특히 도 3l에서 볼 수 있는 바와 같이, 내측 환형 벽(301), 상기 내측 환형 벽(301)으로부터 반경방향 외측으로 연장하는 내측 립(302), 상부 리세스(303), 시트(304), 및 외측 벽(305)을 가지는 환형 링이다. 환형 본체(340)는 환형 본체(340)의 두께를 한정하는 상부면(315) 및 바닥면(310)을 가진다. 도 3a에 도시된 바와 같이, 도관(350)이 상부면(315) 내에 형성될 수 있으며 또한 상부면(315) 내에 형성될 수 있는 냉각 채널(356)과 유동적으로 연결될 수 있다. 도 3b에 도시된 바와 같이, 도관(355)이 바닥면(310) 내에 형성될 수 있으며 또한 바닥면(310) 내에 형성될 수 있는 냉각 채널(357)과 유동적으로 연결될 수 있다. 냉각 채널(356,357)들은 냉각 유체가 냉각 채널들을 통해 유동할 수 있도록 구성될 수 있다. 히터 리세스(342)가 도 3k에 도시한 바와 같이, 바닥면(310) 내에 형성될 수 있으며 가열 요소(327)를 유지하도록 구성될 수 있다.
상부 판(320)은 특히 도 3d 및 도 3h-i'에서 볼 수 있는 바와 같이, 디스형 본체이며, 상부 리세스(303)의 직경과 일치하도록 선택된 직경을 가지며 관통 형성된 다수의 제 1 구멍(360)을 가진다. 제 1 구멍(360)은 상부 판(320)의 바닥면(306)을 넘어 연장함으로써 다수의 상승된 원통형 본체(307)를 형성할 수 있다. 각각의 상승된 원통형 본체(307) 사이에는 갭(395)이 있다. 도 3h 및 도 3h"에서 볼 수 있는 바와 같이, 제 1 구멍(360)은 상부 판(320) 상에 다각형 패턴으로 배열됨으로써, 최외측 제 1 구멍(360)의 중심을 통해 그은 가상선이 12개의 측면을 갖는 다각형 모양을 형성한다. 상기 패턴은 또한 제 1 구멍(360)의 15 내지 25 개의 열, 예를 들어 21 개의 열과 같은 5 내지 60 개의 열에서의 엇갈린 열의 배열을 특징으로 할 수 있다. 각각의 열은 y-축선을 따라, 6 내지 18 개 구멍과 같은 5 내지 20 개의 제 1 구멍(360)을 가지며, 각각의 열은 예를 들어, 약 0.54 인치 간격과 같은 0.4 내지 0.7 인치 간격으로 이격된다. 일 열 내의 각각의 제 1 구멍(360)은 각각의 별도 직경으로부터, 약 0.63 인치와 같은 0.4 내지 0.8 인치만큼 이전 구멍으로부터 x-축선을 따라 변위될 수 있다. 제 1 구멍(360)은 각각의 개별 직경으로부터 약 0.32 인치와 같은 0.2 내지 0.4 인치만큼 다른 열 내의 구멍으로부터 x-축선을 따라 엇갈리게 배열된다. 제 1 구멍(360)들은 각각의 열 내에서 서로로부터 등간격으로 이격될 수 있다. 도시된 배열에서는 총 312 개의 제 1 구멍(360)이 있다. 다른 홀 패턴이 이용될 수 있다고 예상된다.
상부 판(360)의 중심에는 도 3i'에 도시된 바와 같이 제 1 구멍(360) 대신에 돌기(308)가 있다. 돌기(308)는 상승된 원통형 본체(307)와 동일한 동일한 높이로 연장한다.
바닥 판(325)은 특히 도 3c 및 도 3e 내지 도 3g에서 볼 수 있는 바와 같이, 관통 형성된 다수의 제 2 구멍(365) 및 제 3 구멍(375)을 갖는 디스크형 본체이다. 바닥 판(325)은 약 0.15 인치와 같은 약 0.1 내지 0.2 인치의 균일한 두께, 및 환형 본체(340)의 내측 환형 벽(301)의 직경과 일치하는 직경을 가진다. 제 2 구멍(365)은 전술한 바와 같은 제 1 구멍(360)의 패턴과 정렬되는 패턴으로 배열된다. 일 실시예에서, 상부 판(320) 및 바닥 판(325)이 차례로 상하로 위치될 때, 제 1 구멍(360) 및 제 2 구멍(365)의 축선들이 정렬된다. 복수의 제 1 구멍(360) 및 복수의 제 2 구멍(365)은 서로에 평행하거나 실질적으로 평행한 이들 각각의 측선들을 가지며, 예를 들어 구멍(360,365)들은 동심일 수 있다. 이와는 달리, 복수의 제 1 구멍(360) 및 복수의 제 2 구멍(365)은 서로로부터 1도 내지 30도의 각도의 배치된 각각의 축선을 가질 수 있다. 바닥 판(325)의 중심에는 도 3f에 도시된 바와 같이 제 2 구멍(365)이 없다.
복수의 제 2 구멍(365) 및 복수의 제 3 구멍(375)은 교대로 엇갈린 열들을 형성한다. 제 3 구멍(375)들은 바닥 판(325)의 제 2 구멍(365)들의 적어도 두 개 사이에 배열된다. 각각의 제 2 구멍(365)들 사이에는 두 개의 제 2 구멍(365)들 사이에 균등하게 이격된 제 3 구멍(375)이 있다. 또한, 육각형 패턴으로 바닥 판(325)의 중심 주위에 위치된 6 개의 제 3 구멍(375)이 있다. 바닥 판(325)의 중심에 형성된 제 3 구멍(375)이 없다. 또한, 제 2 구멍의 다각형 패턴의 정점들을 형성하는 주변 제 2 구멍(365)들 사이에 위치된 제 3 구멍(375)이 없다. 바닥 판(325)을 통해 형성된 총 876 개의 제 3 구멍(375)들이 있다.
제 1, 제 2 및 제 3 구멍(360,365,375)들은 모두 이들을 통한 유체의 통행이 가능하도록 구성된다. 제 1 및 제 2 구멍(360,365)들은 원통형 형상을 가질 수 있으며, 이와는 달리 원추형, 원통형 또는 다중 형상들의 조합 형상을 포함한 변화된 횡단면 형상을 가질 수 있다. 일 예에서, 제 1 및 제 2 구멍(360,365)은 약 0.25 인치와 같은 약 0.125 인치 내지 약 0.5 인치의 직경을 가질 수 있다. 이와는 달리, 제 2 구멍(365)은 제 1 구멍(360)보다 크거나 같은 직경을 가질 수 있다.
제 3 구멍(375)들은 도 3g에서 볼 수 있는 바와 같이, 모래시계 형상을 가질 수 있다. 제 3 구멍들은 약 0.25 인치와 같은 0.2 내지 0.3 인치의 제 1 직경을 갖는 제 1 원통형 섹션(376)(노즐)의 형상을 형성하거나 프로파일을 가질 수 있다. 제 1 원통형 섹션(376)은 한 단부에 입구를 가진다. 제 1 원통형 섹션(376)은 약 0.11 인치와 같은 약 0.1 내지 0.12 인치의 높이를 가질 수 있다. 제 1 직경 미만의 제 2 직경을 갖는 제 2 원통형 섹션(378)(목부)이 전이부 섹션(377)에 의해 제 1 원통형 섹션(376)에 연결된다. 제 2 직경은 0.016 인치와 같은 0.01 내지 0.03 인치일 수 있으며, 또한 약 16:1과 같은 약 30:1 내지 6:1의 제 1 직경 대 제 2 직경의 비율을 가진다. 제 2 원통형 섹션(378)은 약 0.017 인치와 같은 약 0.01내지 0.02 인치의 높이를 가질 수 있다. 전이부 섹션(377)은 제 1 섹션(376) 및 제 1 직경으로부터 제 2 섹션(378) 및 제 2 직경으로 약 120도의 각도와 같이 테이퍼진다. 전이부 섹션(377)은 약 0.11 인치와 같은 약 0.1 내지 0.12 인치의 높이를 가질 수 있다. 제 3 섹션(374)(확산기)은 제 2 원통형 섹션(378)에 연결된다. 제 3 섹션(374)은 제 2 원통형 섹션(378)으로부터 출구로 연장하는, 0.25 인치와 같은 0.2 인치 내지 0.3 인치의 높이를 갖는 원추 형상을 가질 수 있으며, 제 1 직경 미만의 제 2 직경보다 큰 출구 직경을 가질 수 있다. 제 3 직경은 0.06 인치와 같은 0.05 인치 내지 0.08 인치일 수 있다. 이와는 달리, 복수의 제 3 구멍들 각각은 원통형 형상을 가질 수 있으며 복수의 제 1 구멍(360)보다 크거나 같은 직경을 가질 수 있다.
도 3j 및 도 3m을 참조하면, 환형 본체(340)는 냉각 채널(356,357)의 반경 방향 내측으로 그리고 상부 리세스(303)의 내측에 형성되는 복수의 유체 전달 채널(380)을 가질 수 있다. 유체 전달 채널(380)은 도관(372)에 유동적으로 연결될 수 있다. 유체 전달 채널(380)은 또한, 유체 전달 채널(380)의 반경 방향 내측의 상부 리세스(303)의 내측에 형성되는 복수의 유체 통로(381)에 유동적으로 연결될 수 있다.
전술한 바와 같이, 가스 분배 조립체(300)는 일반적으로, 환형 본체(340), 상부 판(320), 및 바닥 판(325)으로 구성된다. 상부 판(320)은 도 3l에 도시된 바와 같이, 환형 본체(340)의 바닥면(310) 쪽으로 지향된 상승된 원통형 본체(307)를 갖는 상부 리세스(303) 내에 위치된다. 바닥 판(325)은 그 후에 도 3l에 도시된 바와 같이 제 1 및 제 2 구멍(360,365)들의 축선이 정렬되도록 시트(304) 상에 위치되고 그리고 회전가능하게 지향된다. 상부 판(320)은 제 3 구멍(375)으로부터 제 1 및 제 2 구멍(360,365)들을 유동적으로 격리시키기 위해 바닥 판(325)에 밀봉되게 연결된다. 예를 들어, 상부 판(320)은 상승된 원통형 본체(307)의 표면과 바닥 판(325)의 표면 사이에 밀봉이 형성되도록 바닥 판(325)에 경납땜될 수 있다. 상부 판(320) 및 바닥 판(325)은 그 후 환형 본체(340)에 E-빔 경납땜된다. 상부 판(320)은 원형 본체의 외측 에지(311)와 상부 리세스(303)의 내측 에지(312) 사이에 밀봉이 형성되도록 E-빔 경납땜된다. 바닥 판(325)은 원형 본체의 외측 에지(313)와 내측 환형 벽(301) 사이에 밀봉이 형성되도록 E-빔 경납땜된다. 유체는 유동로(F1)를 따라 제 1 및 제 2 구멍(360,365)을 통해 유동할 수 있다. 유체는 또한, 유동로(F2)를 따라 도관(372)을 통해, 유체 통로(381)를 통해, 갭(395)을 통해, 그리고 제 3 구멍(375)을 통해 유체 전달 채널(380)로 분리되어 유동할 수 있다. 두 개의 개별 유동로(F1,F2)에 따른 유체 유동을 가지면 가스 분배 조립체(300)를 빠져나온 이후에 유체의 반응이 발생할 수 있게 하는데, 이는 가스 분배 조립체(300) 내의 재료의 축적을 방지하는데 도움을 줄 수 있다. 일 실시예에서, 가스 분배 조립체(300)의 표면들은 전해연마될 수 있다.
도 4a 내지 도 4h를 참조하면, 제 1 또는 상부 매니폴드(410) 및 제 2 또는 바닥 매니폴드(415)를 포함하는 가스 분배 조립체(400) 또는 샤워헤드의 일 실시예가 제공되며, 여기서 제 2 매니폴드(415)의 상부는 제 1 매니폴드(410)의 바닥에 연결되도록 구성된다. 사용 중에, 기판에 대한 샤워헤드(400)의 방위는 샤워헤드 내에 형성된 임의의 구멍의 축선이 기판 평면에 수직 또는 실질적으로 수직일 수 있는 방식으로 수행된다.
*도 4a는 제 1 매니폴드(410)를 포함하는 샤워헤드의 상부에 대한 사시도이며 도 4b는 제 2 매니폴드(415)를 포함하는 샤워헤드의 바닥에 대한 사시도이다. 도 4c는 제 2 매니폴드의 저면도이다. 도 4d는 도 4c의 4D 라인에 따른 샤워헤드의 측면도이다. 도 4d'는 제 1 구멍의 일 실시예에 대한 개략적인 측면도이다. 도 4e는 제 2 매니폴드의 원형 판에 대한 개략적인 측면도이다. 도 4f는 도 4e의 제 3 구멍의 일 실시예에 대한 개략적인 측면도이다. 도 4g는 도 4e의 제 2 및 제 3 구멍들의 일 실시예에 대한 개략적인 측면도이다. 도 4h는 제 1 매니폴드의 평면도이며 구멍들을 갖는 원형 판을 도시하지 않는다. 도 4i는 본 발명에서 설명된 구멍 패턴을 구비한 원형 판을 갖는 바닥 매니폴드의 평면도이며 원형 판을 도시하지 않는다.
제 1 매니폴드(410)는 외측 림(440) 내에 배치된 내측 원형 판(420)을 포함한다. 측면 도관(450)이 외측 림(440) 내에 형성된다.
도 4a 및 도 4b를 참조하면, 내측 원형 판(420)은 패턴 부분(470) 내에 형성된 복수의 제 1 구멍(460)들을 가지며 상기 구멍들은 구멍들을 통해 유체를 통행시키도록 구성된다. 패턴 부분(470)은 15 내지 25 개의 열, 예를 들어 19 개의 열인 엇갈린 열의 배열을 포함할 수 있다. 각각의 열은 y-축선을 따라 4 내지 17개의 구멍과 같은 2 내지 20 개의 구멍을 가지며, 각각의 열은 0.4 내지 0.7 인치 간격,예를 들어 약 0.54 인치 간격으로 이격된다. 일 열 내부의 각각의 구멍은 각각의 별개 직경으로부터 약 0.63 인치와 같은 0.4 내지 0.8 인치만큼 이전 구멍으로부터 x-축선을 따라 변위될 수 있다. 상기 구멍들은 각각의 별개 직경으로부터 약 0.31 인치와 같은 0.2 내지 0.4 인치만큼 다른 열 내부의 구멍으로부터 x-축선을 따라 엇갈려 있다. 상기 구멍들은 각각의 열 내에서 서로로부터 등간격으로 이격될 수 있다.
각각의 제 1 구멍(460)은 제 1 원통형 부분으로 테이퍼지는 원추형 입구 부분을 가질 수 있다. 일 예에서, 상기 구멍(460)은 0.125 내지 0.4 인치, 예를 들어 약 0.25 인치의 제 1 원통형 부분 직경으로 약 90도로 테이퍼지는 약 0.35 인치와 같은 약 0.2 인치 내지 약 0.5 인치의 입구 직경을 가질 수 있다. 구멍(460)은 원형 판을 통해 연장함으로써 이를 통한 유체의 통행을 제공한다. 제 1 구멍의 조합된 높이는 0.05 내지 0.15 인치이며 제 1 원통형 부분으로 테이퍼진 원추형 입구 부분은 동일한 높이를 가질 수 있다. 원형 판의 패턴화된 부분은 원형 판의 크기에 기초하여 변경될 수 있으며 약 14인치의 직경을 갖는 원형 판에 대해 약 0.5 내지 약 6 인치의 직경을 가질 수 있을 수 있다.
도 4b, 도 4e, 도 4f, 도 4g, 도 4h 및 도 4i를 참조하면, 내측 원형 판(425)은 패턴 부분(485) 내에 형성된 복수의 제 2 구멍(465)을 가지며 제 2 구멍은 제 2 구멍을 통해 유체를 통행시키도록 구성된다. 내측 원형 판은 또한, 패턴 부분(485) 내에 형성된 복수의 제 3 구멍(475)을 가지며 제 2 구멍들은 샤워헤드가 위치된 프로세싱 챔버 내측으로의 유체 통로에 의해 샤워헤드 내측으로 유입되는 가스를 통과시키도록 구성된다. 원형 판은 약 0.15 인치와 같은 약 0.1 내지 0.2 인치의 두께를 가진다.
도 4h를 참조하면, 제 1 매니폴드(415)는 제 3 구멍(475)과 유체 소통되고 외측 소스로부터 샤워헤드로 유체의 통행을 가능하게 하도록 구성된 제 2 유체 소스 입구(490)와 유체 소통되는 림(440) 내부에 형성된 복수의 유체 전달 채널(480)들에 의해 둘러싸여 있다. 제 2 매니폴드(415)는 외측 림(445) 내에 배치된 내측 원형 판(425)을 포함한다.
제 2 매니폴드의 복수의 제 2 구멍(465)은 복수의 제 1 구멍들과 정렬될 수 있다. 복수의 제 1 구멍(460) 및 복수의 제 2 구멍(465)들은 서로에 대해 평행하거나 실질적으로 평행한 각각의 축선을 가질 수 있다. 이와는 달리, 복수의 제 1 구멍(460) 및 복수의 제 2 구멍(465)들은 서로로부터 1도 내지 30도의 각도로 배치된 각각의 축선을 가질 수 있다.
패턴 부분(485)은 15 내지 25 개의 열, 예를 들어 19 개의 열인 엇갈린 열의 배열을 포함할 수 있다. 각각의 열은 y-축선을 따라 4 내지 17 개의 구멍과 같은 2 내지 20 개의 구멍을 가지며, 각각의 열은 0.4 내지 0.7 인치 간격, 예를 들어 약 0.54 인치 간격으로 이격된다. 일 열 내의 각각의 구멍은 각각의 별개 직경으로부터 약 0.63 인치와 같은 0.4 내지 0.8 인치만큼 이전 구멍으로부터 x-축선을 따라 변위될 수 있다. 상기 구멍들은 각각의 별개 직경으로부터 약 0.31 인치와 같은 0.2 내지 0.4 인치만큼 다른 열 내의 구멍으로부터 x-축선을 따라 엇갈려 있다. 상기 구멍들은 각각의 열 내부의 서로로부터 등간격으로 이격될 수 있다.
각각의 제 2 구멍(465)은 아웃셋(outset)으로 확장하는 원추형 출구 부분에 연결되는 제 2 원통형 부분을 가질 수 있다. 일 예에서, 상기 구멍(465)들은 0.125 내지 0.4 인치, 예를 들어 약 0.25 인치의 제 2 원통형 부분 직경 및 상기 제 2 원통형 부분으로부터 약 40도로 테이퍼진 약 0.40 인치와 같은 약 0.2 내지 약 0.5 인치의 외경을 가질 수 있다. 상기 구멍(465)은 구멍(460)보다 크거나 같은 직경을 가질 수 있다. 구멍(465)은 원형 판을 통해 연장하여 이들 통한 유체의 통행을 제공한다. 제 1 구멍의 조합된 높이는 0.05 내지 0.5, 예를 들어 약 0.35 인치이다. 원형 판의 패턴화된 부분은 원형 판의 크기에 기초하여 변경될 수 있으며, 약 14 인치의 직경을 갖는 원형 판에 대해 약 0.5 내지 약 6 인치의 직경을 가질 수 있다.
패턴 부분(485)은 30 내지 45 개의 열, 예를 들어 37 개의 열인 엇갈린 열의 배열 내에 복수의 제 3 구멍을 포함할 수 있다. 각각의 열은 y-축선을 따라 3 내지 17 개의 구멍과 같은 2 내지 30 개의 제 3 구멍을 가지며, 각각의 열은 0.2 내지 0.35 인치 간격, 예를 들어 약 0.31 인치 간격으로 이격된다. 모든 다른 열은 제 2 구멍과 동일한 x-축선의 열을 따라 배치될 수 있으며, 제 3 구멍들은 x-축선을 따라 제 2 구멍과 교대하는 순서일 수 있다. 일 열 내부의 각각의 제 3 구멍은 단지 제 3 구멍을 갖는 일 열에 대한 각각의 별개 직경으로부터 약 0.31 인치와 같은 0.4 내지 0.8 인치로 이전의 제 3 구멍으로부터 x-축선을 따라 변위될 수 있다. 일 열 내부의 각각의 제 3 구멍은 단지 제 3 구멍을 갖는 일 열에 대한 각각의 별개 직경으로부터 약 0.31 인치와 같은 0.4 내지 0.8 인치로 이전의 제 2 구멍으로부터 x-축선을 따라 변위될 수 있다. 제 3 구멍들은 각각의 별개 직경으로부터 약 0.16 인치와 같은 0.1 내지 0.2 인치만큼 다른 열 내부의 제 3 구멍으로부터 x-축선을 따라 엇갈려 있다. 상기 구멍들은 각각의 열 내에 있는 다른 것으로부터 등간격으로 이격될 수 있다.
도 4g를 참조하면, 제 3 구멍들은 약 0.25 인치와 같은 0.2 내지 0.3 인치의 제 1 직경을 갖는 제 1 원통형 부분(476)(노즐)의 형상을 형성하거나 프로파일을 가질 수 있다. 제 1 원통형 부분은 한 단부에 입구를 가진다. 제 1 원통형 부분은 약 0.14 인치와 같은 약 0.1 내지 0.16 인치의 높이를 가질 수 있다. 제 1 직경 미만의 제 2 직경을 갖는 제 2 원통형 부분(478)이 전이부 섹션(477)에 의해 제 1 원통형 부분(476)에 연결된다. 제 2 직경은 0.06 인치와 같은 0.04 내지 0.07 인치일 수 있으며, 또한 약 4:1과 같은 약 7.5:1 내지 3:1의 제 1 직경 대 제 2 직경의 비율을 가진다. 제 2 원통형 부분은 약 0.05 인치와 같은 약 0.01 내지 0.1 인치의 높이를 가질 수 있다. 전이부 부분(477)은 제 1 섹션 및 제 1 직경으로부터 제 2 섹션 및 제 1 프라임 직경으로 약 40도의 각도와 같이 테이퍼지며, 제 1 프라임 직경(prime diameter)은 0.07 이상으로부터 0.1 인치까지, 예를 들어 약 0.08 인치이다. 제 1 프라임 직경은 제 2 직경보다 크다.
제 3 원통형 부분(444)(목부)은 제 2 원통형 부분(478)에 연결되며 0.016 인치와 같은 0.01 내지 0.03 인치의 제 3 직경을 가질 수 있으며, 또는 약 16:1과 같은 약 30:1 내지 6:1의 제 1 직경 대 제 3 직경의 비율을 가질 수 있다. 제 3 원통형 부분은 약 0.025 인치와 같은 약 0.01 내지 0.03 인치의 높이를 가질 수 있다. 제 4 원통형 부분(479)(확산기)는 제 3 원통형 부분(444)에 연결된다. 제 4 원통형 부분은 제 1 직경 미만의 제 4 직경을 갖는 제 2 원통형 부분(478)과 유사한 직경을 가질 수 있다. 제 4 직경은 0.06 인치와 같은 0.04 내지 0.07 인치일 수 있으며, 또는 약 4:1과 같은 약 7.5:1 내지 3:1의 제 1 직경 대 제 2 직경의 비율을 가질 수 있다. 제 4 원통형 부분은 약 0.025 인치와 같은 약 0.01 내지 0.5 인치의 높이를 가질 수 있다.
도 4e 내지 도 4h를 참조하면, 프로세싱 가스와 같은 제 1 유체가 프로세싱 영역으로 진입하기 이전에 상부 매니폴드 내의 제 1 구멍(460) 및 바닥 매니폴드 내의 제 2 구멍(465)을 경유하여 샤워헤드를 통해 유동한다(F1). 전구체와 같은 제 2 유체는 가스 분배 채널(480)로의 채널(490)을 통해 제 1 및 제 2 구멍을 둘러싸는 격리된 유동로인 상부 매니폴드와 하부 매니폴드 사이의 내부 영역(495)으로 유동함으로써 프로세싱 영역으로 유동(F2)하며, 제 3 구멍(475)을 통해 빠져나간다. 제 1 유체 및 제 2 유체는 모두 프로세싱 영역으로 전달될 때까지 샤워헤드 내에서 서로로부터 격리된다.
도 5a 내지 도 5f를 참조하면, 제 1 또는 상부 매니폴드(510), 상기 제 1 매니폴드(510)의 바닥에 연결되는 제 2 또는 중심 매니폴드(520), 및 상기 제 2 매니폴드(520)의 바닥에 연결되는 제 3 또는 바닥 매니폴드(530)를 포함하는 가스 분배 조립체(500) 또는 샤워헤드의 일 실시예가 제공된다. 사용 중에, 기판에 대한 샤워헤드(500)의 방위는 샤워헤드 내에 형성된 임의의 구멍들의 축선이 기판 평면에 대해 수직 또는 실질적으로 수직이 되는 방식으로 수행될 것이다.
도 5a는 제 1 매니폴드(510), 제 2 매니폴드(515), 및 제 3 매니폴드(520)의 사시도이다. 도 5b는 상부 매니폴드의 평면도이다. 도 5c는 중심 매니폴드의 평면도이다. 도 5d는 바닥 매니폴드의 상부에 대한 사시도이다. 도 5e는 제 1 매니폴드(510), 제 2 매니폴드(515), 및 제 3 매니폴드(520)의 절단 사시도이다. 도 5f는 도 5e의 절단 사시도의 확대도이다.
도 5a 및 도 5b를 참조하면, 상부 매니폴드(510)는 상부 매니폴드의 중심 부분(513) 주위에 동심으로 배치되는 복수의 제 1 방사상 열(512) 내에 형성되는 복수의 제 1 구멍(511), 및 상기 복수의 제 1 구멍(511) 주위에 동심으로 배치되는 복수의 제 2 구멍(514)을 갖는 패턴화된 부분(516)을 가질 수 있으며 상기 복수의 제 2 구멍(514)은 복수의 제 2 방사상 열(515) 내에 형성된다.
복수의 제 1 구멍(511)은 각각의 방사상 열 내에 2 내지 10 개의 구멍, 예를 들어 약 4 개의 구멍과 같은 두 개 또는 그보다 많은 구멍을 갖는 2 내지 24 개의 열, 예를 들어 16 개의 열과 같은 복수의 제 1 방사상 열(512)을 포함할 수 있다. 동심의 방사상 열들은 등각으로 서로로부터 균등하게 이격될 수 있다. 구멍들은 각각의 방사상 열 내에서 서로로부터 균등하게 이격될 수 있다. 각각의 구멍은 원판 내에서 원통형 형상을 가질 수 있다. 일 예에서, 구멍(511)은 약 0.2 인치와 같은 약 0.1 인치 내지 약 0.5 인치의 직경을 가질 수 있으며 구멍을 통한 유체의 통행을 제공하도록 원판을 통해 연장한다.
복수의 제 1 구멍(511) 주위에 동심으로 배치되는 복수의 제 2 구멍(514)은 각각의 방사상 열 내에 2 내지 10 개의 구멍, 예를 들어 약 5 개의 구멍과 같은 두 개 또는 그보다 많은 구멍을 갖는 3 내지 40 개의 열, 예를 들어 32 개의 열과 같은 복수의 제 2 방사상 열(515)을 포함할 수 있다. 방사상 열들은 등각으로 서로로부터 균등하게 이격될 수 있다. 제 2 구멍들은 각각의 방사상 열 내에서 서로로부터 균등하게 이격될 수 있다. 각각의 제 2 구멍은 원판 내에서 원통형 형상을 가질 수 있다. 일 예에서, 구멍(514)은 약 0.2 인치와 같은 약 0.1 인치 내지 약 0.5 인치의 직경을 가질 수 있으며 구멍을 통한 유체의 통행을 제공하도록 원판을 통해 연장한다.
도 5b에 파단선으로 도시된 후면 채널(518)은 (또한 파단선으로 도시된)중심 갭(519)으로 가스를 전달하기 위해 상부 매니폴드(510)의 후면 내에 형성될 수 있다. 후면 채널은 제 2 유체를 외부 소스로부터 중심 갭으로 공급하며, 그 제 2 유체는 중심 매니폴드의 중심 구멍을 통해 바닥 매니폴드의 중심으로 이송되며, 여기서 내측의 복수의 가스 채널들이 바닥 매니폴드의 중심과 그리고 가스 채널 내에 배치된 구멍을 통해 프로세싱 영역과 유동적으로 소통된다.
도 5a 및 도 5c를 참조하면, 중심 매니폴드(520)는 중심 매니폴드의 중심 부분(523) 주위에 배치되는 동심원의 열 내에 형성된 복수의 제 1 개구(521) 및 복수의 제 1 개구(521) 주위에 동심으로 배치되는 동심원의 열 내에 형성된 복수의 제 2 개구(524)를 갖는 패턴화된 부분(526)을 가질 수 있다.
제 1 개구(521)는 삼각형 또는 배(pear) 형상으로 형성될 수 있다. 상기 형상은 매니폴드의 중심에 인접되게 배치되고 5도 내지 45도, 예를 들어 22.5도의 각도로 주변부로 연장하는 시선(始線; intial side)을 포함할 수 있다. 상기 주변부는 둥글거나 평탄한 형상일 수 있다. 제 1 개구(521)는 2 내지 24 개, 예를 들어 16 개의 개구를 포함할 수 있다. 각각의 제 1 개구(521)는 제 1 방사상 열(512)들 중의 하나에 대응하도록 위치될 수 있다. 각각의 개구(521)는 각각의 개별적인 제 1 방사상 열에 대한 모든 구멍들을 감쌀 수 있는 개구를 제공하기에 충분한 크기를 갖도록 구성될 수 있다. 제 1 개구(521)는 등각으로 서로로부터 균등하게 이격될 수 있다.
제 2 개구(524)는 삼각형 또는 배(pear) 형상으로 형성될 수 있다. 상기 형상은 복수의 제 1 개구(521)에 인접되게 배치되고 5도 내지 45도, 예를 들어 11.25도의 각도로 주변부로 연장하는 시선을 포함할 수 있다. 제 2 개구의 일 실시예에서, 제 2 개구는 제 1 개구의 확장 각의 약 1/2의 확장 각을 가진다. 상기 주변부는 둥글거나 평탄한 형상일 수 있다. 제 2 개구(524)는 4 내지 48 개, 예를 들어 32 개의 개구를 포함할 수 있다. 각각의 제 2 개구(524)는 제 2 방사상 열(515)들 중의 하나에 대응하도록 위치될 수 있다. 각각의 제 2 개구(524)는 각각의 개별적인 제 2 방사상 열에 대한 모든 구멍들을 감쌀 수 있는 개구를 제공하기에 충분한 크기를 갖도록 구성될 수 있다. 제 2 개구(524)는 등각으로 서로로부터 균등하게 이격될 수 있다. 제 2 개구는 1:1 내지 1:3, 예를 들어 1:2의 제 1 개구 대 제 2 개구의 비율로 제공될 수 있다. 일 예에서, 중심 매니폴드는 22.5도의 각도로 확장하는 16 개의 제 1 개구 및 11.25도의 각도로 확장하는 32 개의 제 2 개구를 포함한다.
중심 매니폴드의 중심 부분(523)은 상부 매니폴드의 후면 중심으로부터 바닥 매니폴드의 중심(533)으로 유체의 유체 소통을 제공할 수 있는 구멍을 포함할 수 있다.
도 5a 및 도 5d를 참조하면, 바닥 매니폴드(530)는 중심 매니폴드의 중심 부분(533) 주위에 배치된 동심원 열 내에 형성된 복수의 제 1 개구(531), 복수의 제 1 개구(531)들 사이에 배치된 복수의 제 1 가스 채널(537), 복수의 제 1 개구(531)들 주위에 동심으로 배치되는 동심 원형 열 내에 형성된 복수의 제 2 개구(534), 복수의 제 2 개구(534)들 사이에 배치된 복수의 제 2 가스 채널(538), 및 복수의 제 2 가스 채널(538) 및 복수의 제 2 개구(534) 주위에 동심으로 배치된 채널 네트워크(539)를 갖는 패턴화된 부분(536)을 가질 수 있다.
가스 채널 네트워크(539)는 복수의 제 2 가스 채널(538)에 유동적으로 연결되며 제 2 개구(531)로부터 유동적으로 격리될 수 있다. 복수의 제 1 가스 채널(537)은 중심 부분(533)에 유동적으로 연결될 수 있으며 제 1 개구(531)로부터 유동적으로 격리될 수 있다. 제 1 유체 채널(537)은 제 2 유체 채널(538)로부터 유동적으로 격리될 수 있다. 제 1 유체 채널은 프로세싱 영역으로 유체를 전달하기 위한 구멍(542)을 포함한다.
제 1 개구(531)는 삼각형 또는 배(pear) 형상으로 형성될 수 있다. 상기 형상은 매니폴드의 중심에 인접되게 배치되고 5도 내지 45도, 예를 들어 22.5도의 각도로 주변부로 연장하는 시선(始線; intial side)을 포함할 수 있다. 상기 주변부는 둥글거나 평탄한 형상일 수 있다. 제 1 개구(531)는 2 내지 24 개, 예를 들어 16 개의 개구를 포함할 수 있다. 각각의 제 1 개구(531)는 중심 매니폴드의 제 1 개구(521)들 중의 하나에 대응하도록 위치될 수 있다. 각각의 개구(531)는 또한, 상부 매니폴드의 각각의 개별적인 제 1 방사상 열에 대한 모든 구멍들을 감쌀 수 있는 개구를 제공하기에 충분한 크기를 갖도록 구성될 수 있다. 제 1 개구(531)는 등각으로 서로로부터 균등하게 이격될 수 있다.
제 2 개구(534)는 삼각형 또는 배(pear) 형상으로 형성될 수 있다. 상기 형상은 복수의 제 1 개구(531)에 인접되게 배치되고 5도 내지 45도, 예를 들어 11.25도의 각도로 주변부로 연장하는 시선을 포함할 수 있다. 제 2 개구의 일 실시예에서, 제 2 개구는 제 1 개구의 확장 각의 약 1/2의 확장 각을 가진다. 상기 주변부는 둥글거나 평탄한 형상일 수 있다. 제 2 개구(524)는 4 내지 48 개, 예를 들어 32 개의 개구를 포함할 수 있다. 각각의 제 2 개구(524)는 중심 매니폴드의 제 2 개구(524)들 중의 하나에 대응하도록 위치될 수 있다. 각각의 제 2 개구(524)는 상부 매니폴드의 각각의 개별적인 제 2 방사상 열에 대한 모든 구멍들을 감쌀 수 있는 개구를 제공하기에 충분한 크기를 갖도록 구성될 수 있다. 제 2 개구(524)는 등각으로 서로로부터 균등하게 이격될 수 있다. 제 2 개구(534)는 1:1 내지 1:3, 예를 들어 1:2의 제 1 개구(531) 대 제 2 개구(534)의 비율로 제공될 수 있다. 일 예에서, 중심 매니폴드는 22.5도의 각도로 확장하는 16 개의 제 1 개구 및 11.25도의 각도로 확장하는 32 개의 제 2 개구를 포함한다.
복수의 제 1 가스 채널(537)들은 복수의 제 1 개구(531)들 사이에 배치되며 제 1 개구(531)의 수와 동일한 채널(537)의 수를 가질 수 있다. 상기 가스 채널들은 매니폴드의 중심 부분(533)에 연결될 수 있는 내측 부분 및 제 1 개구(531)와 같은 크기의 외측 부분을 가지며 일반적으로 직사각형 또는 정사각형의 횡단면을 가진다. 복수의 제 1 가스 채널들 각각은 프로세싱 챔버로의 유체 소통을 제공하도록 채널의 바닥에 있는 하나 또는 그보다 많은 열들 내에 형성된 하나 또는 그보다 많은 구멍, 즉 출구를 가진다. 예를 들어, 복수의 제 1 가스 채널들 각각은 각각 5 개의 구멍들을 갖는 2 개의 열에 10 개의 구멍들을 가진다. 복수의 제 1 가스 채널(537)들은 중심 매니폴드의 바닥면과 접촉하도록 구성되어서 밀봉된 채널을 형성하며 중심 매니폴드의 개구(521,524)들로부터 격리된다.
복수의 제 2 가스 채널(538)들은 복수의 제 2 개구(534)들 사이에 배치되며 제 2 개구(534)의 수와 동일한 채널(538)의 수를 가질 수 있다. 상기 가스 채널들은 제 2 개구들의 내측 부분과 같은 크기의 내측 부분 및 채널 네트워크(539)에 연결되는 외측 부분을 가지며 일반적으로 직사각형 또는 정사각형의 횡단면을 가진다. 복수의 제 2 가스 채널들 각각은 프로세싱 챔버로의 유체 소통을 제공하도록 채널의 바닥에 있는 하나 또는 그보다 많은 열들 내에 형성된 하나 또는 그보다 많은 구멍, 즉 출구를 가진다. 예를 들어, 복수의 제 2 가스 채널들 각각은 각각 5 개의 구멍들을 갖는 2 개의 열에 10 개의 구멍들을 가진다. 복수의 제 2 가스 채널(538)들은 중심 매니폴드의 바닥면과 접촉하도록 구성되어서 밀봉된 채널을 형성하며 중심 매니폴드의 개구(521,524)들로부터 격리된다. 복수의 제 1 가스 채널(537) 및 복수의 제 2 가스 채널(538)은 서로로부터 유동적으로 격리될 수 있다.
채널 네트워크(539)는 복수의 제 2 가스 채널(538) 및 복수의 제 2 개구(534) 주위에 동심으로 배치되며 제 2 가스 채널(538)에 유동적으로 연결된다. 바닥 매니폴드의 일 실시예에서, 각각의 제 2 가스 채널(538)은 채널 네트워크(539)에 연결된다. 채널은 프로세싱 챔버의 프로세싱 영역으로 전달하기 위한 제 2 유체를 샤워헤드에 제공한다. 채널 네트워크로의 제 2 유체는 채널(518)을 통해 복수의 제 1 가스 채널(537)로 공급되는 제 2 유체와 동일하거나 상이한 유체일 수 있다.
도 5e 및 도 5f를 참조하면, 프로세싱 가스와 같은 제 1 유체가 프로세싱 영역(550)으로 진입하기 전에 상부 매니폴드의 제 2 개구(514), 중심 매니폴드 내의 제 2 개구(524), 및 바닥 매니폴드 내의 제 2 개구를 경유하여 샤워헤드를 통해 유동(F3)한다. 전구체 가스와 같은 제 2 유체가 채널(518)을 통해 중심(519)으로, 중심 매니폴드의 중심(523)을 통해 제 1 가스 채널(537) 및 구멍(542) 중의 하나 또는 그보다 많은 것을 통과한 바닥 매니폴드의 중심(533)으로 유동(F4)하거나/하고 제 2 유체(또는 제 3 유체)는 채널 네크워크(539)를 통해 제 2 가스 채널(538)들 중의 하나 또는 그보다 많은 채널로 유동하며 구멍(542)을 통해 프로세싱 영역으로 전달된다. 제 1 유체와 제 2 유체 모두는 프로세싱 챔버 내측으로 전달될 때까지 샤워헤드 내에서 서로로부터 격리된다.
본 발명에서 설명된 실시예들은 기판 정면 바로 위에까지 혼합됨이 없이 가스들과 같은 두 개의 별개의 유체들을 프로세싱 영역으로 전달할 수 있다. 본 발명에서 제공된 열 제어 일면들 또한, 프로세싱 영역에 제공된 다양한 가스들에 대한 온도 제어를 가능하게 한다. 이는 증착, 에칭 공정 등과 같은 챔버 내의 공정들에 대한 향상된 제어를 제공한다. 예를 들어, 가스 혼합은 프로세싱 영역 내의 반응들이 향상될 수 있도록 제어될 수 있다. 챔버 부품들 상의 원치않는 증착 및 미립자 생성이 최소화될 수 있다. 이는 미립자 감소에 의한 생산성 증대 및 챔버 세정을 위한 작동 중지시간을 최소화한다.
본 발명에서 설명된 바와 같은 듀얼 존 가스 샤워헤드는 프로세싱 챔버 내측으로의 프로세스 가스의 분리 유입을 가능하게 함으로써 임의의 바람직하지 않은 가스 반응 및 프로세싱 챔버로의 진입 이전의 혼합을 방지한다고 생각된다. 듀얼 존 샤워헤드는 독립적인 가스 유입을 통한 보다 양호한 균일한 가스 분배 및 샤워헤드의 중심 및 에지에 대한 제어를 제공한다.
대체 실시예에서, 전구체들은 하나 이상의 독립적인 가스 채널에 의해 내부 용적으로 유입될 수 있다. 도면 부호 215와 같은 제 1 프로세싱 영역으로부터 도면 부호 233과 같은 제 2 프로세싱 영역으로 제 1 유체 유동을 조절하는 구멍 및 전구체 유동을 조절하는 구멍들은 중심 에서 에지의 다중 존 유동 제어를 제공하기 위한 임의의 필요 구성을 제공하도록 구성될 수 있다. 그와 같은 설계에 있어서, 전구체 유동 및 상부 유동은 중심 및 에지 모두(심지어 다중 존)로부터 유입될 수 있으며, 이는 예를 들어, 기판의 중심 부분 위로 유동을 지향시키기 위해 기판의 외측 부분 위의 영역에서 구멍의 수를 한정하는 것과 같이 최종 증착 프로파일을 제어하도록 개별적으로 제어될 수 있다. 듀얼-존 샤워헤드 구성 하에서, 전구체 분사는 반경 방향으로 두 개 또는 그보다 많은 존으로 분할될 수 있으며 각각의 존은 독립적인 유동 제어를 가진다.
또한, 본 발명은 챔버로부터 포라인(foreline)으로 대칭의 스플릿(split)을 갖는 대칭 펌핑 라이너(symmetric pumping liner)를 생각할 수 있다(제 1 레벨 채널이 하나의 포트를 가지며 2 개의 포트를 가지는 제 2 레벨에 연결되며, 각각의 제 2 레벨 포트는 제 3 레벨 상의 두 개의 포트에 연결되며, 이런 방식으로 계속해서, 챔버에 연결된 최종 펌핑 홀에 연결됨). 최종 채널은 상이한 섹션으로 분할될 수 있거나 하나로 연결된 채널일 수 있다. 이의 변경 예들은 하나 또는 여러 레벨, 예를 들어 4에서 32 등으로 뛰어 넘을 수 있으나 펌핑 홀의 크기(홀 직경 및 슬롯 길이)를 최적화함으로써 챔버로부터 균일한 펌핑을 여전히 유지한다. 라이너는 또한, 라이너와 C-채널 사이의 갭을 제거하여 슬릿 밸브 개방의 효과를 감소시킨다.
전술한 설명들이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 그리고 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (20)

  1. 가스 분배 조립체로서,
    내경에 위치된 내측 환형 벽, 외경에 위치된 외측 벽, 상부면 및 바닥면을 갖는 환형 링; 상기 상부면의 내측에 형성된 상부 리세스; 상기 내측 환형 벽의 반경 방향으로 외측에 형성된 립; 및 상기 내측 환형 벽의 내측에 형성된 시트;를 포함하는 환형 본체와,
    관통 형성된 복수의 제 1 구멍을 갖는 디스크형 본체로서, 상기 제 1 구멍들이 상기 본체의 표면을 넘어 연장함으로써 상승된 원통형 본체들을 형성하는 디스크형 본체를 포함하며, 상기 상부 리세스 내에 위치되는 상부 판, 및
    상기 시트 상에 위치되는 바닥 판으로서; 상기 제 1 구멍과 정렬되도록 관통 형성된 복수의 제 2 구멍을 갖는 디스크형 본체; 및 상기 제 2 구멍들 사이에 형성되며 상기 바닥 판을 통해 형성되는 복수의 제 3 구멍으로서, 상기 바닥 판이 상기 상부 판에 밀봉되게 연결됨으로써 상기 복수의 제 1 및 제 2 구멍들을 상기 복수의 제 3 구멍으로부터 유동적으로 격리시키는 복수의 제 3 구멍;을 포함하는, 바닥 판을 포함하는,
    가스 분배 조립체.
  2. 제 1 항에 있어서,
    상기 상부 판 및 바닥 판은 함께 경납땜함으로써 정렬된 제 1 및 제 2 구멍들의 각각 쌍 주위에 시일을 형성하며, 상기 상부 판은 상기 환형 본체에 E-빔 경납땜됨으로써 상기 상부 판의 외측 에지와 상기 상부 리세스의 내측 에지 사이에 시일을 형성하며, 상기 바닥 판이 상기 환형 본체에 E-빔 경납땜됨으로써 상기 바닥 판의 외측 에지와 상기 내측 환형 벽 사이에 시일을 형성하는,
    가스 분배 조립체.
  3. 제 2 항에 있어서,
    상기 환형 본체는,
    상기 제 1 냉각 채널의 반경 방향 내측으로 상기 상부 리세스의 내측에 형성된 유체 전달 채널과,
    상기 유체 전달 채널의 반경 방향 내측으로 상기 상부 리세스의 내측에 형성되며, 상기 유체 전달 채널에 유동적으로 연결되는 유체 통로, 및
    도관으로서, 상기 환형 본체의 외측 벽을 통해 형성되며 상기 유체 전달 채널에 유동적으로 연결됨으로써 상기 도관의 입구로부터 상기 복수의 제 3 구멍의 출구로 유동로를 형성하는 도관을 더 포함하는,
    가스 분배 조립체.
  4. 제 1 항에 있어서,
    상기 환형 본체는,
    상기 상부 리세스의 반경 방향 외측으로 상기 환형 본체의 상부면 상에 형성된 제 1 냉각 채널, 및
    상기 상부 리세스의 반경 방향 외측으로 상기 환형 본체의 바닥면 상에 형성된 제 2 냉각 채널을 더 포함하는,
    가스 분배 조립체.
  5. 제 1 항에 있어서,
    상기 환형 본체는,
    상기 제 2 냉각 채널의 반경 방향 외측으로 상기 환형 본체의 바닥면 내에 형성된 히터 리세스를 더 포함하는,
    가스 분배 조립체.
  6. 가스 분배 조립체.
  7. 제 1 항에 있어서,
    상기 복수의 제 2 구멍의 각각의 구멍 및 상기 복수의 제 1 구멍의 각각의 구멍의 적어도 일부분은 원통형 형상을 가지는,
    가스 분배 조립체.
  8. 제 1 항에 있어서,
    상기 복수의 제 3 구멍은 모래 시계 형상을 가지는,
    가스 분배 조립체.
  9. 제 1 항에 있어서,
    상기 복수의 제 2 구멍 및 복수의 제 3 구멍은 교대하는 엇갈린 열들을 형성하며 각각의 상기 제 2 구멍은 하나 이상의 제 3 구멍에 의해 다른 제 2 구멍으로부터 분리되는,
    가스 분배 조립체.
  10. 가스 분배 조립체로서,
    내경에 위치된 내측 환형 벽, 외경에 위치된 외측 벽, 상부면 및 바닥면을 갖는 환형 링; 상기 상부면의 내측에 형성된 상부 리세스; 상기 내측 환형 벽의 반경 방향으로 외측에 형성된 립; 및 상기 내측 환형 벽의 내측에 형성된 시트;를 포함하는 환형 본체와,
    관통 형성된 복수의 제 1 구멍을 갖는 디스크형 본체로서, 상기 제 1 구멍들이 상기 본체의 표면을 넘어 연장함으로써 상승된 원통형 본체들을 형성하는 디스크형 본체를 포함하며, 상기 상부 리세스 내에 위치되는 상부 판, 및
    상기 시트 상에 위치되는 바닥 판으로서, 상기 제 1 구멍과 정렬되도록 관통 형성되며 12 개의 측면들을 갖는 다각형 패턴으로 배치되는 복수의 제 2 구멍을 갖는 디스크형 본체; 및 상기 바닥 판을 통해 형성된 복수의 제 3 구멍으로서, 상기 제 3 구멍이 상기 제 2 구멍의 직경보다 작은 직경을 가지며, 각각의 상기 제 3 구멍이 두 개 이상의 제 2 구멍 사이에 배치되며, 상기 바닥 판이 상기 복수의 제 3 구멍으로부터 상기 복수의 제 1 및 제 2 구멍을 유동적으로 격리시키는 방식으로 상기 상부 판에 밀봉되게 연결되는, 복수의 제 3 구멍;을 포함하는 바닥 판을 포함하는,
    가스 분배 조립체.
  11. 제 10 항에 있어서,
    상기 상부 판 및 바닥 판은 함께 경납땜함으로써 정렬된 제 1 및 제 2 구멍들의 각각 쌍 주위에 시일을 형성하며, 상기 상부 판은 상기 환형 본체에 E-빔 경납땜됨으로써 상기 상부 판의 외측 에지와 상기 상부 리세스의 내측 에지 사이에 시일을 형성하며, 상기 바닥 판이 상기 환형 본체에 E-빔 경납땜됨으로써 상기 바닥 판의 외측 에지와 상기 내측 환형 벽 사이에 시일을 형성하는,
    가스 분배 조립체.
  12. 제 10 항에 있어서,
    상기 환형 본체는,
    상기 제 1 냉각 채널의 반경 방향 내측으로 상기 상부 리세스의 내측에 형성된 유체 전달 채널과,
    상기 유체 전달 채널의 반경 방향 내측으로 상기 상부 리세스의 내측에 형성되며, 상기 유체 전달 채널에 유동적으로 연결되는 유체 통로, 및
    도관으로서, 상기 환형 본체의 외측 벽을 통해 형성되며 상기 유체 전달 채널에 유동적으로 연결됨으로써 상기 도관의 입구로부터 상기 복수의 제 3 구멍의 출구로 유동로를 형성하는 도관을 더 포함하는,
    가스 분배 조립체.
  13. 제 10 항에 있어서,
    상기 환형 본체는,
    상기 상부 리세스의 반경 방향 외측으로 상기 환형 본체의 상부면 상에 형성된 제 1 냉각 채널, 및
    상기 상부 리세스의 반경 방향 외측으로 상기 환형 본체의 바닥면 상에 형성된 제 2 냉각 채널을 더 포함하는,
    가스 분배 조립체.
  14. 제 10 항에 있어서,
    상기 환형 본체는,
    상기 제 2 냉각 채널의 반경 방향 외측으로 상기 환형 본체의 바닥면 내에 형성된 히터 리세스를 더 포함하는,
    가스 분배 조립체.
  15. 제 10 항에 있어서,
    상기 복수의 제 1 구멍은 복수의 엇갈린 열들을 형성하며, 상기 복수의 제 2 구멍 및 복수의 제 3 구멍은 교대하는 엇갈린 열들을 형성하며 각각의 상기 제 2 구멍은 하나 이상의 제 3 구멍에 의해 다른 제 2 구멍으로부터 분리되는,
    가스 분배 조립체.
  16. 가스 분배 조립체로서,
    상부 매니폴드의 중심 부분 주위에 동심으로 배치된 복수의 제 1 방사상 열들 내에 형성된 복수의 제 1 구멍, 및 상기 복수의 제 1 구멍 주위에 동심으로 배치되며 복수의 제 2 방사상 열들 내에 형성된 복수의 제 2 구멍을 포함하는 상부 매니폴드와,
    상기 상부 매니폴드에 연결되는 중심 매니폴드로서, 상기 중심 매니폴드의 중심 부분 주위에 동심으로 배치된 제 1 개구 세트, 및 상기 제 1 개구 세트 주위에 동심으로 배치된 제 2 개구 세트를 포함하는, 중심 매니폴드, 및
    상기 중심 매니폴드에 연결되는 바닥 매니폴드로서, 상기 바닥 매니폴드의 중심 부분 주위에 동심으로 배치된 제 3 개구 세트와, 상기 제 3 개구 세트 주위에 동심으로 배치된 제 4 개구 세트와, 상기 바닥 매니폴드의 상부 측 상의 각각의 상기 제 4 개구들 사이에 배치된 복수의 제 1 가스 채널, 및 상기 제 1 가스 채널들 중의 하나 또는 그보다 많은 채널에 유동적으로 연결되며 상기 제 4 개구 세트 주위에 동심으로 배치된 채널 네트워크를 포함하는, 바닥 매니폴드를 포함하는,
    가스 분배 조립체.
  17. 제 16 항에 있어서,
    상기 복수의 제 1 가스 채널들 각각은 내부에 위치되고 상기 바닥 매니폴드를 통해 형성되는 하나 또는 그보다 많은 구멍을 더 포함하는,
    가스 분배 조립체.
  18. 제 16 항에 있어서,
    상기 바닥 매니폴드의 상부 측 상의 각각의 제 3 개구들 사이에 배치된 복수의 제 2 가스 채널을 더 포함하는,
    가스 분배 조립체.
  19. 제 18 항에 있어서,
    상기 상부 매니폴드의 바닥 측 상의 상부 매니폴드의 중심 부분 내에 형성된 제 1 유체 저장조와,
    상기 제 1 유체 저장조에 유동적으로 연결되고 상기 상부 매니폴드의 바닥 측 상에 배치된 하나 또는 그보다 많은 가스 채널과,
    상기 제 1 유체 저장조와 유체 소통되고 상기 중심 매니폴드의 중심 부분을 통해 형성된 중심 개구, 및
    상기 바닥 매니폴드의 상부 측 상의 바닥 매니폴드의 중심 부분 내에 형성되며, 상기 복수의 제 2 가스 채널과 유체 소통되고 상기 중심 개구와 유체 소통되는 제 2 유체 저장조를 더 포함하는,
    가스 분배 조립체.
  20. 제 18 항에 있어서,
    상기 복수의 제 2 가스 채널 각각은 내부에 위치되고 상기 바닥 매니폴드를 통해 형성된 하나 또는 그보다 많은 구멍을 더 포함하는,
    가스 분배 조립체.
KR1020167004522A 2009-07-15 2010-07-15 Cvd 챔버의 유동 제어 피쳐 KR101659303B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US22589009P 2009-07-15 2009-07-15
US61/225,890 2009-07-15
US23370609P 2009-08-13 2009-08-13
US61/233,706 2009-08-13
US23412009P 2009-08-14 2009-08-14
US61/234,120 2009-08-14
PCT/US2010/042194 WO2011009002A2 (en) 2009-07-15 2010-07-15 Flow control features of cvd chambers

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020127003136A Division KR101598332B1 (ko) 2009-07-15 2010-07-15 Cvd 챔버의 유동 제어 피쳐

Publications (2)

Publication Number Publication Date
KR20160027239A true KR20160027239A (ko) 2016-03-09
KR101659303B1 KR101659303B1 (ko) 2016-09-23

Family

ID=43450221

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167004522A KR101659303B1 (ko) 2009-07-15 2010-07-15 Cvd 챔버의 유동 제어 피쳐
KR1020127003136A KR101598332B1 (ko) 2009-07-15 2010-07-15 Cvd 챔버의 유동 제어 피쳐

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020127003136A KR101598332B1 (ko) 2009-07-15 2010-07-15 Cvd 챔버의 유동 제어 피쳐

Country Status (6)

Country Link
US (3) US8894767B2 (ko)
JP (1) JP5777615B2 (ko)
KR (2) KR101659303B1 (ko)
CN (2) CN102754190B (ko)
TW (1) TWI490366B (ko)
WO (1) WO2011009002A2 (ko)

Families Citing this family (187)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101046520B1 (ko) * 2007-09-07 2011-07-04 어플라이드 머티어리얼스, 인코포레이티드 내부 챔버 상의 부산물 막 증착을 제어하기 위한 pecvd 시스템에서의 소스 가스 흐름 경로 제어
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5643232B2 (ja) * 2009-02-13 2014-12-17 ガリウム エンタープライジズ プロプライエタリー リミテッド 金属窒化膜を蒸着させるための装置及び方法
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
TWI436831B (zh) 2009-12-10 2014-05-11 Orbotech Lt Solar Llc 真空處理裝置之噴灑頭總成
US9034142B2 (en) * 2009-12-18 2015-05-19 Novellus Systems, Inc. Temperature controlled showerhead for high temperature operations
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
WO2012122054A2 (en) 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8562785B2 (en) * 2011-05-31 2013-10-22 Lam Research Corporation Gas distribution showerhead for inductively coupled plasma etch reactor
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US8955547B2 (en) * 2011-10-19 2015-02-17 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
US9109754B2 (en) * 2011-10-19 2015-08-18 Applied Materials, Inc. Apparatus and method for providing uniform flow of gas
WO2013070438A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Precursor distribution features for improved deposition uniformity
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US8944003B2 (en) 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10316409B2 (en) 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9677176B2 (en) * 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US20150111394A1 (en) * 2013-10-23 2015-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming uniform film on semiconductor substrate
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9353440B2 (en) 2013-12-20 2016-05-31 Applied Materials, Inc. Dual-direction chemical delivery system for ALD/CVD chambers
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105441904B (zh) * 2014-06-18 2018-06-26 中微半导体设备(上海)有限公司 气体喷淋装置、化学气相沉积装置和方法
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9840777B2 (en) 2014-06-27 2017-12-12 Applied Materials, Inc. Apparatus for radical-based deposition of dielectric films
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9896326B2 (en) 2014-12-22 2018-02-20 Applied Materials, Inc. FCVD line bending resolution by deposition modulation
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
KR102655396B1 (ko) * 2015-02-23 2024-04-04 어플라이드 머티어리얼스, 인코포레이티드 고품질 얇은 필름들을 형성하기 위한 사이클식 순차 프로세스들
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10157787B2 (en) 2015-12-17 2018-12-18 Applied Materials, Inc. Method and apparatus for depositing cobalt in a feature
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) * 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10559451B2 (en) * 2017-02-15 2020-02-11 Applied Materials, Inc. Apparatus with concentric pumping for multiple pressure regimes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102515110B1 (ko) 2018-01-29 2023-03-28 주성엔지니어링(주) 기판처리장치
US11222771B2 (en) * 2018-02-05 2022-01-11 Applied Materials, Inc. Chemical control features in wafer process equipment
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
CN110896050A (zh) * 2018-09-12 2020-03-20 长鑫存储技术有限公司 介电薄膜的形成方法
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
TW202020218A (zh) 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020131989A1 (en) * 2018-12-21 2020-06-25 Mattson Technology, Inc. Surface smoothing of workpieces
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
DE102019119019A1 (de) * 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
WO2021010952A1 (en) * 2019-07-15 2021-01-21 Applied Materials, Inc. Large-area high density plasma processing chamber for flat panel displays
CN115461493A (zh) * 2020-04-28 2022-12-09 朗姆研究公司 用于控制晶片斜边/边缘上的沉积的喷头设计
EP4200901A1 (en) * 2020-08-18 2023-06-28 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US20220134359A1 (en) * 2020-10-30 2022-05-05 Kabushiki Kaisha Toshiba Rectifying plate, fluid-introducing apparatus, and film-forming apparatus
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
KR102589286B1 (ko) * 2021-08-17 2023-10-12 에스케이엔펄스 주식회사 가스 분사 장치 및 이를 포함하는 플라즈마 처리 장치
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers
TWI809706B (zh) * 2022-02-10 2023-07-21 緊固電子束科技有限公司 氣體擴散結構及其維修方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US20020142572A1 (en) * 2000-03-27 2002-10-03 Hitoshi Sakamoto Method for forming metallic film and apparatus for forming the same
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324154A (ja) * 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5453124A (en) * 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5976261A (en) * 1996-07-11 1999-11-02 Cvc Products, Inc. Multi-zone gas injection apparatus and method for microelectronics manufacturing equipment
JP3310171B2 (ja) * 1996-07-17 2002-07-29 松下電器産業株式会社 プラズマ処理装置
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
KR100505310B1 (ko) * 1998-05-13 2005-08-04 동경 엘렉트론 주식회사 성막 장치 및 방법
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6190732B1 (en) * 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
JP2003529926A (ja) 2000-03-30 2003-10-07 東京エレクトロン株式会社 プラズマ処理システム内への調整可能なガス注入のための方法及び装置
JP4567148B2 (ja) * 2000-06-23 2010-10-20 東京エレクトロン株式会社 薄膜形成装置
US6818096B2 (en) * 2001-04-12 2004-11-16 Michael Barnes Plasma reactor electrode
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
KR100400044B1 (ko) * 2001-07-16 2003-09-29 삼성전자주식회사 간격 조절 장치를 가지는 웨이퍼 처리 장치의 샤워 헤드
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6946033B2 (en) * 2002-09-16 2005-09-20 Applied Materials Inc. Heated gas distribution plate for a processing chamber
WO2004088729A1 (en) * 2003-03-26 2004-10-14 Tokyo Electron Limited Chemical processing system and method
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP2005019606A (ja) 2003-06-25 2005-01-20 Anelva Corp プラズマ処理装置におけるガスシャワーヘッドまたはターゲットプレートを電極に固定する装置
US20050011447A1 (en) * 2003-07-14 2005-01-20 Tokyo Electron Limited Method and apparatus for delivering process gas to a process chamber
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050223986A1 (en) * 2004-04-12 2005-10-13 Choi Soo Y Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
US20050241579A1 (en) * 2004-04-30 2005-11-03 Russell Kidd Face shield to improve uniformity of blanket CVD processes
KR100614648B1 (ko) * 2004-07-15 2006-08-23 삼성전자주식회사 반도체 소자 제조에 사용되는 기판 처리 장치
KR100629358B1 (ko) * 2005-05-24 2006-10-02 삼성전자주식회사 샤워 헤드
JP2007191792A (ja) * 2006-01-19 2007-08-02 Atto Co Ltd ガス分離型シャワーヘッド
CN101326629B (zh) 2006-05-30 2011-05-25 应用材料股份有限公司 填充介电质间隙的制程室
US20080081114A1 (en) * 2006-10-03 2008-04-03 Novellus Systems, Inc. Apparatus and method for delivering uniform fluid flow in a chemical deposition system
CN100451163C (zh) * 2006-10-18 2009-01-14 中微半导体设备(上海)有限公司 用于半导体工艺件处理反应器的气体分布装置及其反应器
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
US20080178805A1 (en) * 2006-12-05 2008-07-31 Applied Materials, Inc. Mid-chamber gas distribution plate, tuned plasma flow control grid and electrode
US7674394B2 (en) * 2007-02-26 2010-03-09 Applied Materials, Inc. Plasma process for inductively coupling power through a gas distribution plate while adjusting plasma distribution
US8069817B2 (en) * 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
JP5172617B2 (ja) * 2007-11-12 2013-03-27 シャープ株式会社 気相成長装置及び気相成長方法
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090162262A1 (en) * 2007-12-19 2009-06-25 Applied Material, Inc. Plasma reactor gas distribution plate having path splitting manifold side-by-side with showerhead
CN101488446B (zh) * 2008-01-14 2010-09-01 北京北方微电子基地设备工艺研究中心有限责任公司 等离子体处理设备及其气体分配装置
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8147648B2 (en) * 2008-08-15 2012-04-03 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
TWI430714B (zh) * 2009-10-15 2014-03-11 Orbotech Lt Solar Llc 電漿處理腔之噴撒頭組件及電漿處理腔之噴撒頭組件之氣體電離板之製備方法
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
WO2012122054A2 (en) * 2011-03-04 2012-09-13 Novellus Systems, Inc. Hybrid ceramic showerhead
JP5976776B2 (ja) * 2011-04-08 2016-08-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Uv処理、化学処理、および堆積のための装置および方法
US20130269612A1 (en) * 2012-04-16 2013-10-17 Hermes-Epitek Corporation Gas Treatment Apparatus with Surrounding Spray Curtains
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9416450B2 (en) * 2012-10-24 2016-08-16 Applied Materials, Inc. Showerhead designs of a hot wire chemical vapor deposition (HWCVD) chamber
JP6007143B2 (ja) * 2013-03-26 2016-10-12 東京エレクトロン株式会社 シャワーヘッド、プラズマ処理装置、及びプラズマ処理方法
US10829855B2 (en) * 2016-05-20 2020-11-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020142572A1 (en) * 2000-03-27 2002-10-03 Hitoshi Sakamoto Method for forming metallic film and apparatus for forming the same
US20020129769A1 (en) * 2001-03-19 2002-09-19 Apex Co. Ltd. Chemical vapor deposition apparatus
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
JP2007324154A (ja) * 2006-05-30 2007-12-13 Matsushita Electric Ind Co Ltd プラズマ処理装置

Also Published As

Publication number Publication date
JP2012533890A (ja) 2012-12-27
KR20120062698A (ko) 2012-06-14
US20150013793A1 (en) 2015-01-15
CN102754190A (zh) 2012-10-24
JP5777615B2 (ja) 2015-09-09
US10550472B2 (en) 2020-02-04
US8894767B2 (en) 2014-11-25
TW201111548A (en) 2011-04-01
KR101659303B1 (ko) 2016-09-23
US20110011338A1 (en) 2011-01-20
WO2011009002A2 (en) 2011-01-20
KR101598332B1 (ko) 2016-03-14
CN105088191A (zh) 2015-11-25
US20200149166A1 (en) 2020-05-14
WO2011009002A3 (en) 2011-04-14
TWI490366B (zh) 2015-07-01
CN105088191B (zh) 2018-07-13
CN102754190B (zh) 2015-09-02

Similar Documents

Publication Publication Date Title
KR101598332B1 (ko) Cvd 챔버의 유동 제어 피쳐
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
US11264213B2 (en) Chemical control features in wafer process equipment
TWI768093B (zh) 電漿蝕刻製程中使用塗佈部件的製程裕度擴充
JP4630226B2 (ja) シャワーヘッドを用いた化学気相蒸着方法及びその装置
KR101207525B1 (ko) 유전체 갭필용 공정 챔버
US7622005B2 (en) Uniformity control for low flow process and chamber to chamber matching
US10276353B2 (en) Dual-channel showerhead for formation of film stacks
TW200540292A (en) Blocker plate bypass to distribute gases in a chemical vapor deposition system
CN101454482A (zh) 用于填充介电质间隙的处理室
US11222771B2 (en) Chemical control features in wafer process equipment
JP2023504829A (ja) 堆積チャンバ用のガス分配セラミックヒータ
JP2022524280A (ja) 複数のプレナムおよびガス分配室を有する堆積ツール用のシャワーヘッド

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant