JP2014514744A - 化学気相堆積チャンバ用のライナアセンブリ - Google Patents

化学気相堆積チャンバ用のライナアセンブリ Download PDF

Info

Publication number
JP2014514744A
JP2014514744A JP2014501055A JP2014501055A JP2014514744A JP 2014514744 A JP2014514744 A JP 2014514744A JP 2014501055 A JP2014501055 A JP 2014501055A JP 2014501055 A JP2014501055 A JP 2014501055A JP 2014514744 A JP2014514744 A JP 2014514744A
Authority
JP
Japan
Prior art keywords
liner
chamber
assembly
liner assembly
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014501055A
Other languages
English (en)
Other versions
JP2014514744A5 (ja
JP5837178B2 (ja
Inventor
デーヴィッド キース カールソン,
メフメト(トゥグリル) サミル,
ニー オー. ミョー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014514744A publication Critical patent/JP2014514744A/ja
Publication of JP2014514744A5 publication Critical patent/JP2014514744A5/ja
Application granted granted Critical
Publication of JP5837178B2 publication Critical patent/JP5837178B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本明細書において説明される実施形態は、チャンバ内において処理領域をライニングするための装置および方法に関する。一実施形態においては、基板処理チャンバ用のモジュール式ライナアセンブリが提供される。このモジュール式ライナアセンブリは、チャンバの処理ボリューム内に受けられるようにサイズ設定された環状本体をそれぞれが備える第1のライナおよび第2のライナと、第1のライナおよび第2のライナを貫通して延在する本体を備え、処理ボリューム内に配設された第1の端部およびチャンバの外部に配設された第2の端部を有する少なくとも1つの第3のライナとを備える。
【選択図】図2

Description

本発明の実施形態は、一般的に、半導体製造プロセス用の堆積チャンバにおいて使用されるモジュール式ライナアセンブリに関する。
シリコン含有膜のエピタキシャル成長は、とりわけ最新の論理デバイスおよびDRAMデバイス向けの新しい用途により、ますます重要なものとなりつつある。これらの用途にとって重要な要件は、デバイスの特徴がデバイス製造中に損傷を被らないようにするための、より低温/低圧のプロセスである。シリコンを含有する選択的エピタキシャル膜およびブランケット(例えば非選択的に成長した)エピタキシャル膜、ならびに約900℃の最高温度から約700℃未満までの温度にて成長するかかるエピタキシャル膜の歪んだ実施形態が、多数の現行の半導体用途に必要とされる。このより低温の処理は、適切に機能するデバイスの形成にとって重要であるばかりでなく、準安定歪み層の緩和を最小限に抑えるかまたは防止し、ドーパント拡散を防止するかまたは最小限に抑えるのを助け、エピタキシャル膜構造内におけるドーパントの偏析を最小限に抑えるのを助ける。低温処理(すなわち低熱収支処理)により可能となるファセット形成効果およびショートチャネル効果の抑制が、高性能デバイスの実現にとって重要な因子となる。
基板上にエピタキシャル層を堆積するための典型的なLPCVDプロセスにおいては、前駆体が、ガス分配アセンブリによりチャンバ内の処理領域内に注入され、これらの前駆体は、紫外スペクトルおよび/または赤外スペクトルなどにおける、典型的には低波長放射である処理領域内における前駆体の照射により、チャンバ内の基板の表面の上方において励起される。また、プラズマ発生が、反応物を解離させるために利用され得る。より効率的な前駆体解離プロセスを可能にするためには、処理領域へのデリバリ前に前駆体を予熱することにより、基板上方におけるより高速のおよびより効率的な前駆体の解離が可能となることが望ましい。しかし、処理領域および前駆体注入領域を囲むチャンバ本体は、ステンレス鋼などの金属材料から製造され、LPCVDプロセスにおいて使用される前駆体のあるものは、これらの金属材料に対して反応性を有する。したがって、これらの金属材料は、潜在的な汚染源となり得るものであり、この汚染により、チャンバ構成要素が損傷を被る、および基板上に微粒子汚染が生じる恐れがある。
チャンバ本体との間における不要な反応を防止するために、ライナを使用することにより、処理領域から金属チャンバ構成要素のいくつかが遮蔽されてきた。しかし、従来的なライナは、製造が高額となり、交換が困難であり、多大な時間を要する。さらに、従来的なライナは、より新たに規定された許容汚染レベルを満たしつつ作動することができない。さらに、従来的なライナは、チャンバ構成要素を遮蔽するために使用され、異なる前駆体注入方式および/または異なる前駆体排出方式を実施するためには一般的には使用されない。さらに、従来的なライナは、既存のライナと共に使用するために1つまたは複数のライナを容易に交換するのを促進するモジュール式構成要素ではない。いくつかの例においては、1つの従来的なライナ構成要素を交換するために、全ての新しいライナ構成要素を製造することが必要となる。これらの因子はいずれも、デバイス汚染に寄与する、および/またはチャンバの休止時間の長期化を引き起こす恐れがあり、それにより、工具の所有コストおよびそこで作製されるデバイスのコストが増大する。
したがって、チャンバの休止時間の長期化を伴わずに、したがって所有コストを削減しつつ、容易に交換できる、および種々のプロセス向けに構成され得る、ライナアセンブリのための装置および方法が必要である。
本明細書において説明する実施形態は、チャンバ内において処理領域をライニングするための装置および方法に関する。一実施形態においては、基板処理チャンバ用のモジュール式ライナアセンブリが提供される。このモジュール式ライナアセンブリは、チャンバの処理ボリューム内に受けられるようにサイズ設定された環状本体をそれぞれが備える第1のライナおよび第2のライナと、第1のライナおよび第2のライナを貫通して延在する本体を備え、処理ボリューム内に配設された第1の端部およびチャンバの外部に配設された第2の端部を有する少なくとも1つの第3のライナとを備える。
別の実施形態においては、基板処理チャンバ用のモジュール式ライナアセンブリが提供される。このモジュール式ライナアセンブリは、その中に形成された複数の凹状部分を有する環状本体をそれぞれが備える、第1のライナおよび第2のライナと、複数の凹状部分の一部分内に受けられるおよび少なくとも部分的に接触する本体を備える、少なくとも1つの第3のライナとを備える。
別の実施形態においては、半導体処理チャンバ用のプロセスキットが提供される。このプロセスキットは、チャンバの処理ボリューム内において相互に対してモジュール式に結合するように構成された複数のライナを備える。これらの複数のライナは、その中に形成された複数の凹状部分を有する環状本体をそれぞれが備える、第1のライナおよび第2のライナと、複数の凹状部分の一部分内に受けられるおよび少なくとも部分的に接触する本体を備える、少なくとも1つの第3のライナとを備える。
本発明の上記特徴を詳細に理解することが可能となるように、上記では簡潔な要約として示した本発明のさらに具体的な説明を、一部が添付の図面に図示される複数の実施形態を参照として行うことができる。しかし、添付の図面は、本発明の典型的な実施形態を示すものに過ぎず、したがって本発明の範囲を限定するものとして見なされるべきではない点に留意されたい。なぜならば、本発明は、他の同様に有効な実施形態を許容し得るからである。
本明細書において説明される実施形態によるチャンバの概略側断面図である。 90度回転された図1Aのチャンバの概略側断面図である。 図1Aのチャンバ内において使用され得るプロセスキットの一実施形態の等角図である。 図2の上方ライナおよび下方ライナの分解等角図である。 図2のスリットバルブライナの等角図である。 図2の排出ライナの等角図である。 図2の排出インサートライナアセンブリの等角図である。 図1Aに示すガス分配アセンブリの等角図である。 図4の注入キャップ、バッフルライナ、注入インサートライナアセンブリ、およびガス分配マニホルドライナの等角断面図である。 図4の注入キャップ、バッフルライナ、注入インサートライナアセンブリ、およびガス分配マニホルドライナの別の等角断面図である。 図4のガス分配アセンブリの概略上断面図である。 図4に示す注入インサートライナアセンブリの1つのセクションの等角断面図である。 図4のバッフルライナの等角図である。 図1Aのチャンバ内において使用され得るプロセスキットの別の実施形態の部分等角図である。 図1Aのチャンバ内において使用され得るプロセスキットの別の実施形態の部分等角図である。 図1Aのチャンバ内において使用され得るプロセスキットの別の実施形態の部分等角図である。
理解を容易にするために、可能である場合には、同一の参照数字を用いてこれらの図面に共通である同等の要素を示している。また、一実施形態において開示される要素が、具体的な列挙を伴うことなく、他の実施形態において有利に使用され得ることが予期される。
図1Aは、チャンバ100の概略側断面図である。チャンバ100は、エピタキシャル堆積などの堆積に対して使用され得るが、エッチングまたは他のプロセスに対しても使用され得る。チャンバ100は、Santa Clara,CaliforniaのApplied Materials,Inc.から入手可能なCENTURA(登録商標)内蔵処理システムに対して加えることができる。チャンバ100は、アルミニウムまたは例えば316Lステンレス鋼のステンレス鋼などの、耐プロセス性材料から作製されたハウジング構造体102を備える。ハウジング構造体102は、上方チャンバ106と処理ボリューム110が含まれる下方チャンバ108とを備える石英チャンバ104などの、プロセスチャンバ100の様々な機能的要素を包囲する。炭化ケイ素などのシリコン材料で被覆されたセラミック材料またはグラファイト材料から作製された基板支持体112が、石英チャンバ104内において基板114を受けるように構成される。前駆体反応物材料からの反応種が、基板114の表面116に対して適用され、その後、副生成物が、表面116から除去されてもよい。基板114および/または処理ボリューム110の加熱は、上方ランプモジュール118Aおよび下方ランプモジュール118Bなどの放射源により実現され得る。一実施形態においては、上方ランプモジュール118Aおよび下方ランプモジュール118Bは、赤外ランプである。ランプモジュール118Aおよび118Bからの放射は、上方チャンバ106の上方石英窓120を通り、および下方チャンバ108の下方石英窓122を通り進む。必要に応じて、上方チャンバ106用の冷却ガスが、入口124を通り進入し、出口126を通り退出する。
反応種は、ガス分配アセンブリ128により石英チャンバ104に対して供給され、処理副生成物が、典型的には真空源(図示せず)と連通状態にある排出アセンブリ130により処理ボリューム110から除去される。チャンバ100に対する前駆体反応性材料、ならびに希釈ガス、パージガス、およびベントガスは、ガス分配アセンブリ128を通り進入し、排出アセンブリ130を通り退出する。
また、チャンバ100は、複数のライナ132A〜132H(132A〜132Gのみが図1に図示される)を備える。ライナ132A〜132Hは、処理ボリューム110を囲む金属壁部134から処理ボリューム110を遮蔽する。金属壁部134は、アルミニウムまたはステンレス鋼であってもよい。金属壁部134は、前駆体と反応し、処理ボリューム110内において汚染を引き起こし得る。一実施形態においては、ライナ132A〜132Hは、処理ボリューム110と連通状態にあり得るまたは処理ボリューム110に対して別様に露出され得る全ての金属構成要素を覆う、プロセスキットを備える。
下方ライナ132Aは、下方チャンバ108内に配設される。上方ライナ132Bは、下方チャンバ108内に少なくとも部分的に配設され、下方ライナ132Aに隣接して位置する。排出インサートライナアセンブリ132Cは、上方ライナ132Bに隣接して配設される。図1においては、排出インサートライナ132Dが、排出インサートライナアセンブリ132Cに隣接して配設され、設置を容易にするために上方ライナ132Bの一部分と置換され得る。インジェクタライナ132Eは、排出インサートライナアセンブリ132Cおよび排出ライナ132Dの対向側の処理ボリューム110の側に図示される。インジェクタライナ132Eは、ガスまたはガスのプラズマなどの1つまたは複数の流体を処理ボリューム110に対して供給するためのマニホルドとして構成される。1つまたは複数の流体は、注入インサートライナアセンブリ132Fによりインジェクタライナ132Eに対して供給される。バッフルライナ132Gは、注入インサートライナアセンブリ132Fに対して結合される。バッフルライナ132Gは、第1のガス源135Aおよびオプションの第2のガス源135Bに対して結合され、注入インサートライナアセンブリ132Fに対して、ならびにインジェクタライナ132E内に形成された開口136Aおよび136Bに対してガスを供給する。
1つまたは複数のガスは、第1のガス源135Aおよび第2のガス源135Bからバッフルライナ132G、注入インサートライナアセンブリ132Fを通り、およびインジェクタライナ132E中に形成された1つまたは複数の開口136Aおよび136Bを通り、処理ボリューム110に対して供給される。インジェクタライナ132E中に形成された1つまたは複数の開口136Aおよび136Bは、層流路133Aまたはジェット流路133B向けに構成された出口に対して結合される。これらの各流路133A、133Bは、軸A’を越えて排出ライナ132Dへと流れるように構成される。軸A’は、チャンバ100の長手方向軸A’’に対して実質的に垂直になる。流路133A、133Bは、排出ライナ132D中に形成されたプレナム137に流入し、最終的に排出流路133Cになる。プレナム137は、排出ポンプまたは真空ポンプ(図示せず)に対して結合される。一実施形態においては、プレナム137は、マニホルド139に対して結合され、このマニホルド139は、長手方向軸A’’に対して実質的に平行である方向に排出流路133Cを配向する。しかし、マニホルド139は、軸A’に対して実質的に平行である方向に排出流路133Cを配向するように構成されてもよい。少なくとも注入インサートライナアセンブリ132Fは、注入キャップ129を貫通して配設され、注入キャップ129により部分的に支持されてもよい。注入キャップ129は、金属材料から製造され、取外しおよび設置を容易にするために1つまたは複数の固定具によりチャンバ100に対して結合されてもよい。
図1Bは、90度回転された図1Aのチャンバ100の概略側断面図である。図1Aにおいて説明されたチャンバ100と同様の全ての構成要素が、簡略化のために説明されない。図1Bにおいては、スリットバルブライナ132Hは、チャンバ100の金属壁部134を貫通して配設されるのが図示される。さらに、図1Bに示す回転された図においては、上方ライナ132Bは、図1Aにおいて示すインジェクタライナ132Eの代わりに下方ライナ132Aに隣接するのが図示される。図1Bに示す回転された図においては、上方ライナ132Bは、図1Aに示す排出ライナ132Dの代わりに、スリットバルブライナ132Hの対向側のチャンバ100の側において下方ライナ132Aに隣接するのが図示される。図1Bに示す回転された図においては、上方ライナ132Bは、上方チャンバ106の金属壁部134を覆う。また、上方ライナ132Bは、内方延在ショルダ138を備える。この内方延在ショルダ138は、上方チャンバ106内に前駆体ガスを閉じ込める環状予熱リング140を支持するリップを形成する。
図2は、図1Aおよび図1Bに示すような1つまたは複数のライナ132A〜132Hを備えるプロセスキット200の一実施形態の等角図である。ライナ132A〜132Hは、モジュール式のものであり、単独でまたは一括で交換されるように構成される。ライナ132A〜132Hは、低コストであり、モジュール設計により追加的な自由度をもたらし、コスト削減を可能にする。例えば、ライナ132A〜132Hの中の1つまたは複数が、他のライナ132A〜132Hの交換を伴わずに、異なるプロセス用に構成された別のライナと交換され得る。したがって、ライナ132A〜132Hは、ライナ132A〜132Hの全てを交換することなく、種々のプロセス向けにチャンバ100を構成するのを容易化する。これにより、時間およびコストの節減が得られ、これらにより、チャンバ休止時間および所有コストが削減される。
プロセスキット200は、下方ライナ132Aおよび上方ライナ132Bを備える。下方ライナ132Aおよび上方ライナ132Bは共に、図1Aおよび図1Bのチャンバ100内に受けられるようにサイズ設定されたほぼ円筒状の外径201を備える。各ライナ132A〜132Hは、重力、および/または、ライナ132A〜132Hの中のいくつかの中もしくは上に形成された突出部および対合する凹部などのインターロックデバイスにより、チャンバ内に支持されるように構成される。下方ライナ132Aおよび上方ライナ132Bの内部表面203は、処理ボリューム110の一部分を形成する。上方ライナ132Bは、図1Aにおいて断面で図示される排出ライナ132Dおよびインジェクタライナ132Eを受けるようにサイズ設定された、切欠部分202Aおよび202Bを備える。各切欠部分202A、202Bは、内方延在ショルダ138に隣接する位置に上方ライナ132Bの凹状エリア204を画成する。
この実施形態においては、注入インサートライナアセンブリ132Fおよび排出インサートライナアセンブリ132Cはそれぞれ、2つのセクションを備える。注入インサートライナアセンブリ132Fは、バッフルライナ132Gにより一方の側にて結合された、第1のセクション206Aおよび第2のセクション206Bを備える。同様に、排出インサートライナアセンブリ132Cは、第1のセクション208Aおよび第2のセクション208Bを備える。注入インサートライナアセンブリ132Fのセクション206Aおよび206Bはそれぞれ、バッフルライナ132Gを通して第1のガス源135Aおよび第2のガス源135Bからガスを受ける。ガスは、注入インサートライナアセンブリ132Fを通して流され、インジェクタライナ132E中の複数の第1の出口210Aおよび複数の第2の出口210Bへと送られる。一態様においては、注入インサートライナアセンブリ132Fおよびインジェクタライナ132Eは、ガス分配マニホルドライナを備える。したがって、第1のガス源135Aおよび第2のガス源135Bからのガスは、処理ボリューム110内へと別個に流される。各ガスは、出口210A、210Bから退出する前、最中、または後に解離され、基板(図示せず)上への堆積のために処理ボリューム110を横断して流れることができる。堆積後に残留する解離された前駆体は、排出インサートライナアセンブリ132C内へと流され、排出される。
各ライナ132A〜132Hは、石英または他の耐プロセス性材料から製造され得る。各ライナ132A〜132Hは、所望のプロセスに応じて透明または不透明であってもよい。例えば、透明な石英は、ランプモジュール118A、118Bからの光エネルギーを透過させ得ることにより、チャンバ100の温度制御および/または前駆体ガスの状態を支援するために、使用され得る。一例においては、ライナ132A〜132Hの中の1つまたは複数が、ランプモジュール118A、118Bからの光エネルギーを吸収するために不透明であってもよい。別の例においては、インジェクタライナ132Eおよび/または排出インサートライナアセンブリ132Cは、光エネルギーを前駆体ガスに対して作用させ得るように、透明の石英であってもよい。光エネルギーは、前駆体ガスを予熱する、前駆体ガスを解離させる、および/または前駆体ガスの解離温度を維持するために、使用されてもよい。一態様においては、インジェクタライナ132Eの透明な石英材料を通して供給される光エネルギーは、前駆体ガスを加熱して、前駆体ガスが出口210A、210Bから退出する前にインジェクタライナ132E内において前駆体ガスを解離させるために、使用され得る。また、排出インサートライナアセンブリ132Cは、前駆体ガスが排出される際に光エネルギーをこれらのガスに対して作用させ得るように、透明な石英から製造されてもよい。したがって、ライナ132A〜132Hの中のいずれかに対して透明な石英または不透明な石英を使用することが、熱的考慮要件に基づき選択されてもよい。さらに、透明であるライナ132A〜132Hの中の任意の1つまたは組合せが、他のライナ132A〜132Hの交換を伴わずに、不透明なライナと交換されてもよく、またはその逆であってもよい。これにより全てのライナ132A〜132Hの交換を伴わずに、種々のガスおよび/またはプロセスに対してチャンバを構成するように容易に適合化することが可能となる。
ライナ132A〜132Hは、上方チャンバ106および下方チャンバ108へのアクセスを得るためにチャンバ100の金属壁部134から上方石英窓120を取り外すことにより、図1Aのチャンバ100内に設置およびアクセスすることができる。一実施形態においては、金属壁部134の少なくとも一部分が、ライナ132A〜132Hの交換を容易化するために取外し可能であってもよい。バッフルライナ132Gは、注入キャップ129に結合され、この注入キャップ129は、チャンバ100の外部に対して固定されてもよい。基板支持体112の水平方向寸法よりも大きな内径を有する下方ライナ132Aが、下方チャンバ108内に設置される。下方ライナ132Aは、下方石英窓122上に載置されてもよい。排出インサートライナアセンブリ132C、注入インサートライナアセンブリ132F、およびスリットバルブライナ132Hは、下方ライナ132Aが下方石英窓122の上に位置決めされた後に、設置され得る。注入インサートライナアセンブリ132Fは、第1のガス源135Aおよび第2のガス源135Bからのガス流を促進するために、バッフルライナ132Gと結合されてもよい。上方ライナ132Bは、排出インサートライナアセンブリ132C、注入インサートライナアセンブリ132F、およびスリットバルブライナ132Hの設置後に、設置され得る。この時点において、環状予熱リング140は、上方ライナ132Bの内方延在ショルダ138の上に位置決めされてもよい。インジェクタライナ132Eは、上方ライナ132B中に形成された開孔内に設置され、注入インサートライナアセンブリ132Fからインジェクタライナ132Eへのガス流を促進するために注入インサートライナアセンブリ132Fに結合されてもよい。排出ライナ132Dは、排出インサートライナアセンブリ132Cの上方において、インジェクタライナ132Eの対向側の上方ライナ132B中に形成された開孔内に設置されてもよい。ライナ132A〜132Hは、上述の順序で連続的に設置することができ、逆の順序で連続的に取り外すことができる。いくつかの実施形態においては、インジェクタライナ132Eは、異なるガス流方式向けに構成された別のインジェクタライナと交換されてもよい。したがって、インジェクタライナ132Eの交換は、チャンバ100の金属壁部134から上方石英窓120を取り外すことを必要とするに過ぎない。同様に、排出インサートライナアセンブリ132Cは、異なる排出流方式向けに構成された別の排出インサートライナアセンブリと交換されてもよい。排出インサートライナアセンブリ132Cの交換は、上方石英窓120および排出インサートライナアセンブリ132Cの取外しを必要とするに過ぎない。さらに、ライナ132A〜132Hの中の任意の透明なライナが、選択されたライナおよび任意の介在ライナのみを取り外すことにより、不透明なライナと交換され得る。
図3Aは、図2の下方ライナ132Aおよび上方ライナ132Bの分解等角図である。上方ライナ132Bは、上方表面300Aおよび下方表面300Bを備える。上方ライナ132Bの上方表面300Aの少なくとも一部分は、上方石英窓120(図1Aに図示)に隣接するように、または上方石英窓120と接触状態になるように、構成される。また、上方ライナ132Bの上方表面300Aは、切欠部分202Aと202Bとの間に形成された1つまたは複数の凹状部分305A、305Bを備える。一実施形態においては、凹状部分305Aは、凹状部分305Bの対向側に、または凹状部分305Bから実質的に180度の位置に位置する。凹状部分305Aは、排出インサートライナアセンブリ132C(図2に図示)の一部分を受け、凹状部分305Bは、注入インサートライナアセンブリ132Fおよび/またはインジェクタライナ132E(図2に図示)の一部分を受ける。また、上方ライナ132Bの下方表面300Bは、壁部310A〜310Cを備える。少なくとも壁部310Bおよび310Cは、下方表面300B中に形成された凹状部分305Dの平面外に延在する。壁部310A、310Bの外方表面312A、312Bは、下方ライナ132Aの切欠部分315Aと315Bとの間に受けられるように、および/またはこれらの切欠部分315Aおよび315Bに接触するように、構成される。上方ライナ132Bは、壁部310Aと310Bとの間において下方表面300B中に形成された凹状部分305Cを備える。凹状部分305Cは、壁部310A、310Bの内部表面間にスリットバルブライナ132H(図2に図示)の一部分を受ける。一実施形態においては、凹状部分305Cは、凹状部分305Aおよび/または凹状部分305Bに対して実質的に垂直である。
下方ライナ132Aは、上方表面300Cおよび下方表面300Dを備える。下方ライナ132Aの下方表面300Dの少なくとも一部分は、下方石英窓122(図1Aに図示)に隣接するように、または下方石英窓122と接触状態になるように、構成される。少なくとも1つの凹状部分が、上方表面300C中に形成される。第1の凹状部分320Aが、切欠部分315Aと315Bとの間に形成され、第2の凹状部分320Bが、切欠部分315Bと315Cとの間に形成される。凹状部分320Aは、下方ライナ132Aの凹状部分320Bおよび上方表面300Cの平面の下方に配設される。凹状部分320Aは、インターロックデバイスの一部分として構成されたチャネル325を備える。チャネル325は、スリットバルブライナ132H(図示せず)上に形成された隆起特徴部を受けることにより、下方ライナ132Aとスリットバルブライナ132Hとの間のインターロックデバイスとして機能するように構成される。一実施形態(図示せず)においては、上方ライナ132Bの凹部305Cは、構成および機能においてチャネル325と同様であるチャネルを備える。したがって、スリットバルブライナ132Hは、プロセスキット200が設置されるおよび/または使用中である場合には、下方ライナ132Aおよび上方ライナ132Bに対して固定的に結合され得る。
一実施形態においては、下方ライナ132Aが、第1のライナを備えてもよく、上方ライナ132Bが、第2のライナを備えてもよく、排出インサートライナアセンブリ132C、スリットバルブライナ132H、注入インサートライナアセンブリ132F、およびインジェクタライナ132E(いずれも図2に図示)の中の1つまたは組合せが、第3のライナを備えてもよい。
図3Bは、図2のスリットバルブライナ132Hの等角図である。スリットバルブライナ132Hは、第1の端部332および第2の端部334を有する本体330を備える。第1の端部332は、ある半径を有する表面336を備える。一実施形態においては、表面336は、下方ライナ132Aおよび上方ライナ132Bの内部表面203(図2)の内径に実質的に合致する半径を有する。一態様においては、表面336は、処理ボリューム110(図1Aおよび図1B)と連通状態にあり、第2の端部334は、処理ボリューム110の外部に配設される。また、本体330は、基板搬送スロット338を形成するように離間された側壁部340Aおよび側壁部340Bを備える。基板搬送スロット338は、他の基板サイズ用に構成されてもよいが、基板搬送スロット338は、ロボットブレード(図示せず)を使用して200mmまたは300mmの基板を搬送するために使用される。
この実施形態においては、両側壁部340A、340Bが、図3Aに示されるインターロックデバイスの一部を形成する隆起特徴部342A、342Bを備える。例えば、側壁部340B上に配設された隆起特徴部342B(仮想線において図示される)は、図2の下方ライナ132A中に形成されたチャネル325に整合するように使用される1つまたは複数のタブまたは突出部であってもよい。側壁部340Aは、図2の上方ライナ132B上に配設されたチャネルと結合する隆起特徴部342Bを備えてもよい。一実施形態においては、各隆起特徴部342A、342Bは、表面336の半径と実質的に同様である半径上に配設される。
図3Cは、図2の排出ライナ132Dの等角図である。排出ライナ132Dは、排出インサートライナアセンブリ132Cの上方表面と上方石英窓120(図1Aに図示)との間の空間を充填するように構成される。排出ライナ132Dは、本体344を備える。本体344は、外方表面346、内方延在壁部348、側壁部350A、350B、および内表表面352を備える。外方表面346の底部エッジ354が、排出インサートライナアセンブリ132Cの少なくとも一部分に接触するように構成される。内方延在壁部348は、上方石英窓120(図1Aに図示)に隣接するように、または上方石英窓120と接触状態になるように構成される。側壁部350Aおよび350Bは、上方ライナ132B(図2)の切欠部分202Aに隣接するように、またはこの切欠部分202Aと接触状態になるように構成される。排出ライナ132Dは、プロセスキット200が設置されるまたは使用中である場合に、排出インサートライナアセンブリ132Cを少なくとも部分的に支持するように構成される。
図3Dは、図2の排出インサートライナアセンブリ132Cの等角図である。排出インサートライナアセンブリ132Cは、第1のセクション208Aおよび第2のセクション208Bを備える。各セクション208A、208Bは、本体355を備える。各本体355は、第1の端部356Aおよび第2の端部356Bを備える。第1の端部356Aは、ある半径上に形成された表面358を備える。一実施形態においては、表面358は、下方ライナ132Aおよび上方ライナ132Bの内部表面203(図2)の内径に実質的に合致する半径を有する。一態様においては、表面358は、処理ボリューム110(図1Aおよび図1B)と連通状態であり、第2の端部356Bは、処理ボリューム110の外部に配設される。一実施形態においては、第1のセクション208Aおよび第2のセクション208Bは、形状およびサイズにおいて実質的に同様である。一態様においては、第1のセクション208Aは、第2のセクション208Bの鏡像である。プレナム362が、本体355の壁部364A〜364Eにより形成される。プレナム362は、第1の端部356Aにおいて排出ガスを受け、第2の端部356Bへと排出ガスを流すように、構成される。ポート365が、第2の端部356B中に形成される。ポート365は、真空ポンプ366などの排出デバイスに対して結合するように構成される。いくつかの実施形態においては、間隙360が、第1のセクション208Aと第2のセクション208Bとの間に形成される。他の実施形態においては、第1のセクション208Aおよび第2のセクション208Bは、第1のセクション208Aと第2のセクション208Bとの間における排出ガス流を促進する1つまたは複数のチャネル357などにより、流体連通状態にある。
図4は、図2の注入インサートライナアセンブリ132F、バッフルライナ132G、および注入インサートライナアセンブリ132Fの実施形態を有する、図1Aに示すガス分配アセンブリ128の等角図である。インジェクタライナ132Eのこの実施形態においては、ガスを分配するように構成されたガス分配マニホルドライナ400が、注入インサートライナアセンブリ132Fに対して結合されて図示される。ガス分配マニホルドライナ400は、他のガス分配マニホルドライナと交換可能となるように構成される。ガス分配マニホルドライナ400は、容易な交換を促進するために、注入インサートライナアセンブリ132Fに対して容易に結合および結合解除される。
第1のガス源135Aおよび第2のガス源135Bからのプロセスガスは、注入キャップ129を通して流される。注入キャップ129は、バッフルライナ132G中に形成されたポート(図示せず)に対して結合された複数のガス通路を備える。一実施形態においては、ランプモジュール405が、注入キャップ129内において前駆体ガスを予熱するために、注入キャップ129内に配設される。複数のガス通路を有する注入キャップの一例は、2008年9月4日に公開された米国特許出願公開第2008/0210163号に記載されており、この出願公開は、参照によりその全体が本明細書に組み込まれる。
バッフルライナ132Gは、注入インサートライナアセンブリ132F内にガスを流す導管(図示せず)を備える。注入インサートライナアセンブリ132Fは、ガス分配マニホルドライナ400の第1の出口210Aおよび第2の出口210Bへとガスを送るポート(図示せず)を備える。一実施形態においては、第1のガス源135Aおよび第2のガス源135Bからのガスは、これらのガスが第1の出口210Aおよび第2の出口210Bから退出するまで、分離された状態に留まる。一態様においては、これらのガスは、注入キャップ129、ならびにバッフルライナ132G、注入インサートライナアセンブリ132F、およびガス分配マニホルドライナ400の中の1つまたは複数の中において、予熱される。ガスの予熱は、注入キャップ129、上方ランプモジュール118A、および下方ランプモジュール118B(いずれも図1Aに図示)の上のランプモジュール405の中の1つまたは組合せにより、実現され得る。バッフルライナ132G、注入インサートライナアセンブリ132F、およびガス分配マニホルドライナ400の中の1つまたは組合せは、注入キャップ129、上方ランプモジュール118Aまたは下方ランプモジュール118Bの上のランプモジュール405からの加熱を促進するために、透明な石英または不透明な石英を含んでもよい。一態様においては、ガスは、ガスが第1の出口210Aおよび第2の出口210Bから退出する前に解離されるまたはイオン化されるように、注入キャップ129、上方ランプモジュール118A、および/または下方ランプモジュール118Bの上のランプモジュール405からのエネルギーにより加熱される。第1のガス源135Aおよび第2のガス源135Bにおいて使用されるプロセスガスの解離温度に応じて、これらのガスの中の1つのみが、ガス分配マニホルドライナ400から退出する際にイオン化されてもよく、他のガスは、ガス分配マニホルドライナ400から退出する際に、加熱されるが、気体状態に留まる。
図5Aは、図4の注入キャップ129、バッフルライナ132G、注入インサートライナアセンブリ132F、およびガス分配マニホルドライナ400の等角断面図である。一態様においては、バッフルライナ132G、注入インサートライナアセンブリ132F、およびガス分配マニホルドライナ400はそれぞれ、第1のガス源135Aおよび第2のガス源135Bからのガスを分配するためのマニホルドとして構成される。一実施形態においては、注入キャップ129は、第1のガス源135Aに対して結合された第1のガス通路500Aと、第2のガス源135Bに対して結合された第2のガス通路500Bとを有する、第1のマニホルドである。また、注入キャップ129は、第1のチャンバ505Aおよび第2のチャンバ505Bなどの複数のチャンバを備える。第1のチャンバ505Aおよび第2のチャンバ505Bは、第1のガス通路500Aおよび第2のガス通路500Bとそれぞれ流体連通状態にある。
第1のガス源135Aからの第1のガスは、注入キャップ129内において第1のチャンバ505Aから第1の導管510Aを通して流される。第1の導管510Aは、バッフルライナ132G内に配設された第1のオリフィス515Aと流体連通状態にある。次いで、第1のガスは、第1のオリフィス515Aを通り、第2のマニホルドとして構成された注入インサートライナアセンブリ132F内に流れる。注入インサートライナアセンブリ132Fは、第1のガス通路520Aを備える。注入インサートライナアセンブリ132Fは、第1のガス通路520Aの長手方向軸に対して実質的に直角である長手方向軸を有するチャネル525Aを備える。第1のガスは、チャネル525Aから、第3のマニホルドとして構成されたガス分配マニホルドライナ400内に流れる。ガス分配マニホルドライナ400は、ノズル535と流体連通状態にある第1のプレナム530Aを備える。一実施形態においては、ノズル535は、ガス分配マニホルドライナ400を通るガス流を制限するように、第1のプレナム530Aよりも小さくサイズ設定される。したがって、第1のガスは、高速で第1の出口210Aから退出する。
図5Bは、図5Aの注入キャップ129、バッフルライナ132G、注入インサートライナアセンブリ132F、およびガス分配マニホルドライナ400の等角断面図である。第1のガス源135Aからの第2のガスが、注入キャップ129内において第2のチャンバ505Bから第2の導管510Bを通して流される。第2の導管510Bは、バッフルライナ132G内に配設された第2のオリフィス515Bと流体連通状態にある。次いで、第2のガスは、第2のオリフィス515Bを通り注入インサートライナアセンブリ132F内へと流れる。注入インサートライナアセンブリ132Fは、第2のガス通路520Bを備える。注入インサートライナアセンブリ132Fは、第2のガス通路520Bの長手方向軸に対して実質的に直角である長手方向軸を有するチャネル525Bを備える。第2のガスは、チャネル525Bからガス分配マニホルドライナ400内へと流れる。ガス分配マニホルドライナ400は、第2の出口210B内へと開口する第2のプレナム530Bを備える。第2のプレナム530Bは、制限を防止し、ガスが第2の出口210Bから退出する際に第2のガスの層流を形成するように、サイズ設定される。したがって、第2のガスは、第1の出口210Aから退出する第1のガスの速度をはるかに下回る速度にて第2の出口210Bから退出して、層流を形成する。
図5Cは、図4のガス分配アセンブリ128の概略上断面図である。一実施形態においては、ガス分配アセンブリ128は、処理ボリューム110内へと複数のゾーンにガスを流すように構成される。注入キャップ129は、複数の第1のチャンバ505A(仮想線にて図示)および複数の第2のチャンバ505Bを備える。この実施形態においては、2つの第1のチャンバ505Aが、注入キャップ129の中心領域内の第1のチャンバ505Aの側方外方に配設される。注入キャップ129の中心領域内の第1のチャンバ505Aは、内方ゾーンAを画定し、2つの第1のチャンバ505Aは、外方ゾーンAを画定する。各第1のチャンバ505Aは、注入インサートライナアセンブリ132F内に配設された複数の第1のチャネル525Aと流体連通状態にある。第1のガスの流量は、各第1のチャンバ505A内において制御され、複数の第1のチャネル525Aへ流され得る。これらのガスは、第1のチャンバ505Aからチャネル525Aへと流され、次いでガス分配マニホルドライナ400(この図面には図示せず)中の開口210Aを通して分散される。
同様に、注入キャップ129は、注入キャップ129の中心領域に配設された第2のチャンバ505Bの側方外方に配設された2つの第2のチャンバ505Bを備える。注入キャップ129の中心領域内の第2のチャンバ505Bは、内方ゾーンBを画定し、2つの第2のチャンバ505Bは、外方ゾーンBを画定する。各第2のチャンバ505Bは、注入インサートライナアセンブリ132F内に配設された複数のチャネル525Bと流体連通状態にある。第2のガスの流量は、各第2のチャンバ505B内において制御され、複数のチャネル525Bへと流され得る。一態様においては、内方ゾーンAおよび内方ゾーンBはそれぞれ、注入ゾーンを画定するように水平方向にまたは側方に離間される。一実施形態においては、各チャネル525Aは、図示するように、各セクション206A、206B内においてチャネル525Bと交互に位置する。ガス分配アセンブリ128の構成は、チャネル525Aおよび525Bが異なる構成で相互に隣接し得るまたはグループ化され得るように、変更されてもよい。
図6Aは、図4に示す注入インサートライナアセンブリ132Fのセクション206Aの等角図である。注入インサートライナアセンブリ132Fのセクション206Bは、セクション206Aと実質的に同様であり、簡略化のために説明されない。セクション206Aは、上方表面605、小側部610A、および大側部610Bを有する、本体600を備える。また、本体600は、小側部610Aと大側部610Bとの間に第1の表面615Aおよび第2の表面615Bを備える。設置された場合に、第1の表面615Aは、チャンバ100(図1A)のハウジング構造体102の外部に位置し、第2の表面615Bは、チャンバ100のハウジング構造体102の中に位置する。したがって、セクション206Aの第2の表面615Bは、処理中には処理ボリューム110と接触状態にあり、第1の表面615Aおよび第1の表面615Aに隣接する本体600の一部分は、周囲条件下にある。
小側部610Aおよび大側部610Bはそれぞれ、ある長さを有する。大側部610Bの長さは、小側部610Aの長さよりも大きい。第1の表面615Aは、平面であり、第2の表面615Bは、弧を描き、これにより、セクション206Aの本体600は、「パンフルート」形状となっている。また、本体600は、本体600内に形成されるか、本体600上に配設されるか、または本体600から突出する、複数のインターロックデバイス620を備える。一実施形態においては、インターロックデバイス620の少なくとも一部分が、雄インターフェースとして構成された、本体600から延在する突出部625Aを備える。インターロックデバイス620の少なくとも一部分は、本体中に形成された窪み部625Bを備え、雌インターフェースとして構成される。インターロックデバイス620は、プロセスキット200の要素を結合および結合解除させ得る、インデックス特徴部および対合インターフェースとして使用され得る。また、インターロックデバイス620は、チャネル525Aおよび525B、ならびに第1のガス通路520Aおよび第2のガス通路520Bを備える。インターロックデバイス620は、チャネル525A、525Bおよび通路520A、520Bからの、またはチャネル525A、525Bと通路520A、520Bとの間における、漏出を防止するためのシールとして使用されてもよい。インターロックデバイス620は、突出部625Aなどの円形、窪み部625Bなどの多角形、またはそれらの組合せであってもよい。第1の表面615A上に配設されたインターロックデバイス620は、バッフルライナ132G上に配設された対合するインターロックデバイス620と結合するように構成される。上方表面605上に配設されたインターロックデバイス620は、ガス分配マニホルドライナ400(図示せず)上に配設された対応するインターロックデバイス620と結合し、このインターロックデバイス620との装着を容易化するように、構成される。
図6Bは、図4のバッフルライナ132Gの等角図である。バッフルライナ132Gは、本体630を備える。本体630は、第1の側部635Aおよび第2の側部635Bを備える。少なくとも第1の側部635Aは、複数のインターロックデバイス620を備える。また、第2の側部635Bは、注入キャップ129(図示せず)に対するバッフルライナ132Gの結合を容易化するために、複数のインターロックデバイス620を備えてもよい。インターロックデバイス620は、図6Aにおいて示されるインターロックデバイス620と同様であり、図示するような突出部625A、窪み部625B(図示せず)またはそれらの組合せを備えてもよい。インターロックデバイス620は、図示するように、第1のオリフィス515Aおよび第2のオリフィス515Bを備えてもよい。第1の側部635Aの一部分が、セクション206Aの第1の表面615Aに対して結合するように構成される。バッフルライナ132Gに対するセクション206Aの装着は、インターロックデバイス620により容易化される。第1の側部635Aの残りの部分は、セクション206B(図示せず)に対して結合するように構成される。セクション206Aおよび206Bの結合を容易化するために、本体630は、セクション206A(図6A)およびセクション206B(図4)の第1の表面615Aの長さよりも少なくとも2倍上回る長さを有する。
図7は、図1Aのチャンバ100において使用し得るプロセスキット200の一実施形態の部分等角図である。プロセスキット200は、注入インサートライナアセンブリ132Fに対して結合され得る、ガス分配マニホルドライナ700として示されるインジェクタライナ132Eの一実施形態を備える。バッフルライナ132Gは、注入キャップ129と、注入インサートライナアセンブリ132Fのセクション206Aおよび206Bとの間に示される。
ガス分配マニホルドライナ700は、デュアルゾーン注入能力を備え、各ゾーンは、速度などの異なる流れ特性を実現する。デュアルゾーン注入部は、第1の注入ゾーン710Aおよび第2の注入ゾーン710Bを備え、これらは、垂直方向に離間された別個の平面内に配設される。一実施形態においては、注入ゾーン710Aおよび710Bはそれぞれ、上方ゾーンおよび下方ゾーンを形成するように離間される。第1の注入ゾーン710Aは、複数の第1の出口210Aを備え、第2の注入ゾーン710Bは、複数の第2の出口210Bを備える。一実施形態においては、各第1の出口210Aは、ガス分配マニホルドライナ700の第1の表面720A内に配設され、各第2の出口210Bは、第1の表面720Aから凹んだ位置の、ガス分配マニホルドライナ700の第2の表面720B内に配設される。例えば、第1の表面720Aは、第2の表面720Bを形成するために使用される半径よりも小さな半径上に形成されてもよい。
一実施形態においては、注入ゾーン710Aおよび710Bは、流体速度などの流れ計量が異なり得る、異なる流体流路を実現するように構成される。例えば、第1の注入ゾーン710Aの第1の出口210Aは、ジェット流路133Bを形成するようにより高速で流体を供給し、第2の注入ゾーン710Bの第2の出口210Bは、層流路133Aを形成する。層流路133Aおよびジェット流路133Bは、ガス圧、出口210A、210Bのサイズ、出口210A、210Bとチャンバ505A、505B(図5Aおよび図5Bには図示せず)との間に配設された導管のサイズ(例えば断面寸法および/または長さ)、ならびに出口210A、210Bとチャンバ505A、505Bとの間に配設された導管中の屈曲部の角度および/または個数の中の1つまたは組合せにより、実現されてもよい。また、流体の速度は、流体が処理ボリューム110に進入する際の前駆体ガスの断熱膨張により規定され得る。
一態様においては、第1の注入ゾーン710Aおよび第2の注入ゾーン710Bにより構成されるデュアルゾーン注入部は、種々のガスに関する様々な高さでの注入を容易化する。一実施形態においては、第1の注入ゾーン710Aおよび第2の注入ゾーン710Bは、基板114の表面116(いずれも図1Aに図示)の上方においてそれぞれ異なる垂直方向距離にて処理ボリューム110(図1Aに図示)へと前駆体を供給するように、異なる平面内において離間される。この垂直方向間隔により、使用され得るいくつかのガスの断熱膨張が対処されることによって、堆積パラメータが向上し得る。
図8は、図1Aのチャンバ100において使用し得るプロセスキット200の別の実施形態の部分等角図である。プロセスキット200は、ガス分配マニホルドライナ800として図示されるインジェクタライナ132Eの実施形態が異なる点を除いては、図7に示すプロセスキット200と同様である。この実施形態においては、第1の出口210Aおよび第2の出口は、実質的に同一平面内に配設される。
図9は、図1Aのチャンバ100において使用し得るプロセスキット200の別の実施形態の部分等角図である。プロセスキット200は、ガス分配マニホルドライナ900として図示されるインジェクタライナ132Eの実施形態が異なる点を除いては、図7または図8に示すプロセスキット200と同様である。この実施形態においては、ガス分配マニホルドライナ900は、第1の表面720Aから内方に延在する延長部材905を備える。延長部材905は、ガス分配マニホルドライナ900の第1の表面720Aおよび第2の表面720Bのそれぞれよりも処理ボリューム110内へとさらに奥に延在する第3の表面910を備える。延長部材905は、第1の出口210Aの一部分を備え、第1の出口210Aの残りの部分は、ガス分配マニホルドライナ900の第1の表面720A内に配設される。
第1の出口210Aおよび第2の出口210Bにより形成される流路の一方または組合せにより、基板(図示せず)中にわたる堆積均一性および均一な成長が可能となる。一実施形態においては、延長部材905の第1の出口210Aは、第2の出口210Bにより供給される前駆体よりも高速で解離する傾向となる前駆体ガスを注入するために使用される。これにより、さらなる距離へとおよび/または基板114の中心のより近くにより高速の解離前駆体を注入するための、延伸流路が形成される。したがって、第1の出口210Aおよび第2の出口210Bの両方からの前駆体の組合せにより、基板114中にわたる均一な分配および成長が可能となる。
実施の一例においては、SiおよびSiGe、またはGaおよびAsのブランケット膜または選択膜を形成するための前駆体が、1つまたは複数のガス源135Aおよび135B(図1Aに図示)からインジェクタライナ132Eまで供給される。ガス源135A、135Bは、モノシラン(SiH)、ジシラン(Si)、ジクロロシラン(SiHCl)、ヘキサクロロジシラン(SiCl)、ジブロモシラン(SiHBr)、より高次のシラン、それらの誘導体、およびそれらの組合せを含む、シランなどのシリコン前駆体を含んでもよく、これは、GeH、Ge、GeCl、GeHCl、それらの誘導体、およびそれらの組合せなどの前駆体を含むゲルマニウムを含んでもよい。また、ガス源135A、135Bは、トリメチルガリウム(Ga(CH(TMGa))、ガリウムリン(GaP)などのガリウム含有前駆体、ならびに、三塩化ヒ素(AsCl)、アルシン(AsH)、第3ブチルアルシン(TBA)、それらの誘導体、およびそれらの組合せなどのヒ素含有前駆体を含んでもよい。シリコン含有前駆体、ゲルマニウム含有前駆体、ガリウム含有前駆体、および/またはヒ素含有前駆体は、HCl、Cl、HBr、およびそれらの組合せと組み合わせて使用されてもよい。ガス源135A、135Bは、ガス源135A、135Bの一方または両方に、シリコン含有前駆体、ゲルマニウム含有前駆体、ガリウム含有前駆体、および/またはヒ素含有前駆体の中の1つまたは複数を備えてもよい。例えば、ガス源135Aが、HまたはClなどの前駆体材料を備えてもよく、ガス源135Bが、シリコン含有前駆体、ゲルマニウム含有前駆体、ガリウム含有前駆体、および/またはヒ素含有前駆体、それらの誘導体、あるいはそれらの組合せを備えてもよい。別の態様においては、ガス源135A、135Bは、III族ガスおよびV族ガスの一方または組合せを備えてもよい。別の態様においては、ガス源135A、135Bは、第3ブチルアルシン(TBA)および/またはトリメチルガリウム(TMGa)を備えてもよい。
ガス源135A、135Bは、インジェクタライナ132Eに対して結合されたガス分配アセンブリ128(図1Aおよび図5A〜図5Cに図示)内における不連続導入ゾーンを助長するように構成された態様で、インジェクタライナ132Eに対して結合されてもよい。例えば、ガス分配アセンブリ128が、図5Cに示す外方ゾーンAおよびBならびに内方ゾーンAおよびBなどの、複数の注入ゾーンを助長してもよい。ガスは、インジェクタライナ132Eを通して処理ボリューム110へと流され、基板114の平面に対して実質的に平行である1つまたは複数の平面内の第1の出口210Aおよび第2の出口210Bを通して処理ボリューム内へと注入される。さらに、ガスは、それぞれ異なる速度で処理ボリューム110内へと流されてもよい。基板表面を洗浄/安定化処理するために、または基板114上にエピタキシャル成長するシリコン含有膜もしくはガリウム含有膜を形成するために使用される、成分ガスは、インジェクタライナ132Eを経由して処理ボリューム110に進入し、排出インサートライナ132Cを通り退出する。
一実施形態においては、ランプモジュール118Aおよび118Bにより供給される処理ボリューム110内の低波長放射が、反応種を励起し、基板114の表面116における反応物の吸着およびプロセス副生成物の脱着を支援するために、使用される。低波長放射は、典型的には、例えば約0.95μm〜約1.05μmなど、約0.8μm〜約1.2μmの範囲に及び、様々な波長の組合せが、エピタキシャル成長する膜の組成に応じて実施される。紫外光源(図示せず)が、ランプモジュール118Aおよび118Bと置き換えられてもよく、または、紫外光源が、ランプモジュール118Aおよび118Bと組み合わせて使用されてもよい。一実施形態(図示せず)においては、放射は、エキシマランプなどの紫外光源により供給される。
処理ボリューム110内の温度は、約200℃〜約600℃の温度範囲内で制御されてもよい。処理ボリューム110内の圧力は、約5トール〜約30トールなど、約0.1トール〜約600トールの間であってもよい。基板114の表面116の温度は、下方チャンバ108内の下方ランプモジュール118Bに対する電力調節により、または上方チャンバ106の上方に位置する上方ランプモジュール118Aおよび下方チャンバ108の下方に位置する下方ランプモジュール118Bの両方に対する電力調節により、制御され得る。処理ボリューム110内の電力密度は、約80W/cm〜約120W/cmなど、約40W/cm〜約400W/cmの間であってもよい。
図1A〜図9に示すようなライナ132A〜132Hを備えるプロセスキット200を備えるライナアセンブリが提供される。これらのライナ132A〜132Hは、モジュール式のものであり、単独でまたは一括で交換されるように構成される。ライナ132A〜132Hは、種々のプロセス向けにチャンバを構成するために使用し得る、透明または不透明のいずれかの石英から製造され得る。ライナ132A〜132Hの中の1つまたは複数が、他のライナ132A〜132Hの交換を伴わずに、異なるプロセス向けに構成された別のライナと交換され得る。したがって、ライナ132A〜132Hは、ライナ132A〜132Hの全てを交換することなく、種々のプロセス向けにチャンバ100を構成するのを容易化する。ライナ132A〜132Hは、追加的な自由度をもたらし実現するための費用対効果が高く、モジュール設計によりコスト節減的である。さらに、ライナ132A〜132Hの中の1つが損傷を被った場合には、単一の交換用ライナを用意することができ、全てのライナ132A〜132Hの交換は伴わない。ライナ132A〜132Hは、必要に応じて容易に交換することができる。さらに、ガス分配マニホルドライナ400、700、800、または900の様々な実施形態を利用して、処理ボリューム110に進入する流体の種々の流量パターンを助長することができる。これらの因子の全てにより、時間およびコストの削減が得られ、これにより、チャンバの休止時間および所有コストが削減されると共に、チャンバ100に行おいて実施されるプロセスを柔軟化することが可能となる。
前述は、本発明の実施形態に関するが、本発明の他のおよびさらなる実施形態が、本発明の基本範囲から逸脱することなく案出され得る。また、本発明の範囲は、添付の特許請求の範囲により決定される。

Claims (15)

  1. 基板処理チャンバ用のモジュール式ライナアセンブリであって、
    チャンバの処理ボリューム内に受けられるようにサイズ設定された環状本体を備える第1のライナと、
    前記チャンバの前記処理ボリューム内に受けられるようにサイズ設定された環状本体を備える第2のライナと、
    前記第1のライナおよび前記第2のライナを貫通して延在する本体を備え、前記処理ボリューム内に配設された第1の端部および前記チャンバの外部に配設された第2の端部を有する、少なくとも1つの第3のライナと
    を備える、ライナアセンブリ。
  2. 前記第3のライナは、ガス分配マニホルドを備える、請求項1に記載のライナアセンブリ。
  3. 前記ガス分配マニホルドは、複数の第1のチャネルおよび複数の第2のチャネルを備える、請求項2に記載のライナアセンブリ。
  4. 前記複数の第1のチャネルは、前記複数の第2のチャネルと交互に位置する、請求項3に記載のライナアセンブリ。
  5. 前記複数の第1のチャネルは、前記複数の第2のチャネルにより供給される流れ計量とは異なる流れ計量を供給する、請求項3に記載のライナアセンブリ。
  6. 前記ガス分配マニホルドは、第1の複数の出口および第2の複数の出口を含む、請求項2に記載のライナアセンブリ。
  7. 前記第1の複数の出口は、第1の平面内に配設され、前記第2の複数の出口は、前記第1の平面とは異なる第2の平面内に配設される、請求項6に記載のライナアセンブリ。
  8. 前記第1の複数の出口は、第1の半径を有する前記ガス分配マニホルドの表面内に形成され、前記第2の複数の出口は、前記第1の半径とは異なる第2の半径を有する前記ガス分配マニホルドの表面内に形成される、請求項6に記載のライナアセンブリ。
  9. 前記第3のライナは、排出ライナを備える、請求項1に記載のライナアセンブリ。
  10. 基板処理チャンバ用のモジュール式ライナアセンブリであって、
    その中に形成された複数の凹状部分を有する環状本体をそれぞれが備える、第1のライナおよび第2のライナと、
    前記複数の凹状部分の一部分内に受けられ、そして少なくとも部分的に接触する本体を備える、少なくとも1つの第3のライナと
    を備える、ライナアセンブリ。
  11. 前記第3のライナは、ガス分配マニホルド、スリットバルブライナ、または排出インサートライナアセンブリの中の1つを備える、請求項10に記載のライナアセンブリ。
  12. 前記ガス分配マニホルドは、複数の第1のチャネルおよび複数の第2のチャネルを備える、請求項11に記載のライナアセンブリ。
  13. 前記複数の第1のチャネルは、前記複数の第2のチャネルと交互に位置する、請求項12に記載のライナアセンブリ。
  14. 前記複数の第1のチャネルは、前記複数の第2のチャネルにより供給される流れ計量とは異なる流れ計量を供給する、請求項12に記載のライナアセンブリ。
  15. 前記第1のライナおよび前記第2のライナは、チャンバの処理ボリューム内に配設され、前記第3のライナの前記本体は、前記処理ボリューム内に配設された第1の端部および前記チャンバの外部に配設された第2の端部を備える、請求項10に記載のライナアセンブリ。
JP2014501055A 2011-03-22 2011-07-26 化学気相堆積チャンバ用のライナアセンブリ Active JP5837178B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161466413P 2011-03-22 2011-03-22
US61/466,413 2011-03-22
PCT/US2011/045288 WO2012128783A1 (en) 2011-03-22 2011-07-26 Liner assembly for chemical vapor deposition chamber

Publications (3)

Publication Number Publication Date
JP2014514744A true JP2014514744A (ja) 2014-06-19
JP2014514744A5 JP2014514744A5 (ja) 2014-09-11
JP5837178B2 JP5837178B2 (ja) 2015-12-24

Family

ID=46876238

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014501055A Active JP5837178B2 (ja) 2011-03-22 2011-07-26 化学気相堆積チャンバ用のライナアセンブリ

Country Status (6)

Country Link
US (2) US8980005B2 (ja)
JP (1) JP5837178B2 (ja)
KR (1) KR101884003B1 (ja)
CN (1) CN103430285B (ja)
TW (1) TWI551720B (ja)
WO (1) WO2012128783A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017520120A (ja) * 2014-06-20 2017-07-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャルチャンバへのガス注入装置
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033123B1 (ko) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 액정표시장치의 제조를 위한 챔버형 장치
EP2553144B1 (en) * 2010-03-29 2016-11-23 Koolerheadz Gas injection device with uniform gas velocity
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
WO2014083400A1 (en) * 2012-11-27 2014-06-05 Soitec Deposition systems having interchangeable gas injectors and related methods
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN108364889A (zh) * 2013-01-16 2018-08-03 应用材料公司 石英上拱形结构及下拱形结构
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US20140224175A1 (en) * 2013-02-14 2014-08-14 Memc Electronic Materials, Inc. Gas distribution manifold system for chemical vapor deposition reactors and method of use
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9328420B2 (en) 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation
SG11201508512PA (en) * 2013-05-23 2015-12-30 Applied Materials Inc A coated liner assembly for a semiconductor processing chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
RU2548578C2 (ru) * 2013-08-19 2015-04-20 Валерий Анатольевич Буробин Способ получения эпитаксиального слоя бинарного полупроводникового материала на монокристаллической подложке посредством металлоорганического химического осаждения из газовой фазы
WO2015026491A1 (en) * 2013-08-19 2015-02-26 Applied Materials, Inc. Apparatus for impurity layered epitaxy
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2015195256A1 (en) * 2014-06-18 2015-12-23 Applied Materials, Inc. One-piece injector assembly
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
SG11201701467RA (en) * 2014-09-05 2017-03-30 Applied Materials Inc Upper dome for epi chamber
US10760161B2 (en) * 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
EP3275008B1 (en) * 2015-03-25 2022-02-23 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10105732B2 (en) * 2016-01-05 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Coater and surface treatment method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
KR101874184B1 (ko) * 2016-07-26 2018-07-03 에스케이실트론 주식회사 에피택셜 웨이퍼 제조 장치
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10607837B2 (en) * 2016-08-19 2020-03-31 Applied Materials, Inc. Gas flow control for EPI thickness uniformity improvement
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
KR102203745B1 (ko) * 2017-02-23 2021-01-18 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 반응관
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11004704B2 (en) * 2017-03-17 2021-05-11 Applied Materials, Inc. Finned rotor cover
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
USD838681S1 (en) 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD842259S1 (en) 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD837754S1 (en) 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
WO2018213621A2 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
CN108950519B (zh) * 2017-05-19 2021-03-02 北京北方华创微电子装备有限公司 腔室的内衬和腔室
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102408720B1 (ko) * 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
TWI754765B (zh) * 2017-08-25 2022-02-11 美商應用材料股份有限公司 用於磊晶沉積製程之注入組件
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
KR20220118535A (ko) * 2020-04-20 2022-08-25 어플라이드 머티어리얼스, 인코포레이티드 공유 가스 전달 및 배기 시스템을 갖는 다중-열 cvd 챔버들
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20220364261A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JPH1145861A (ja) * 1997-04-11 1999-02-16 Applied Materials Inc 枚葉式リアクタ内の、排ガスを加熱するための穴のあいた下方ライナ
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
JP2001168046A (ja) * 1999-09-17 2001-06-22 Applied Materials Inc シリコン膜表面仕上のための装置及び方法
JP2001214273A (ja) * 2000-01-27 2001-08-07 Silicon Valley Group Thermal Systems Ltd Liability Co 自由浮動シールド及び半導体処理システム
JP2001517736A (ja) * 1997-09-22 2001-10-09 アプライド マテリアルズ インコーポレイテッド Cvdチャンバ・インナー・ライニング
KR20030070374A (ko) * 2002-02-25 2003-08-30 삼성전자주식회사 반도체 제조용 프로세스 챔버
JP2004200603A (ja) * 2002-12-20 2004-07-15 Shin Etsu Handotai Co Ltd 気相成長装置およびエピタキシャルウェーハの製造方法
WO2005059981A1 (ja) * 2003-12-17 2005-06-30 Shin-Etsu Handotai Co., Ltd. 気相成長装置およびエピタキシャルウェーハの製造方法
WO2009075544A2 (en) * 2007-12-13 2009-06-18 Triple Cores Korea A liner for semiconductor chamber
JP2010258169A (ja) * 2009-04-23 2010-11-11 Sumco Techxiv株式会社 成膜反応装置及び成膜基板製造方法

Family Cites Families (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1716A (en) * 1840-08-12 Machine for beveling boot-forms
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
EP0967633A1 (en) * 1993-07-30 1999-12-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
KR200431206Y1 (ko) * 2006-05-03 2006-11-23 어플라이드 머티어리얼스, 인코포레이티드 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
TW200809926A (en) * 2006-05-31 2008-02-16 Sumco Techxiv Corp Apparatus and method for depositing layer on substrate
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US8226770B2 (en) * 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US20120258259A1 (en) * 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
TW201325326A (zh) * 2011-10-05 2013-06-16 Applied Materials Inc 電漿處理設備及其基板支撐組件
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10344380B2 (en) * 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN107833848B (zh) * 2013-04-30 2021-12-07 应用材料公司 具有空间分布的气体通道的气流控制衬垫
WO2014179014A1 (en) * 2013-05-01 2014-11-06 Applied Materials, Inc. Inject and exhaust design for epi chamber flow manipulation

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
JPH08239775A (ja) * 1994-10-26 1996-09-17 Applied Materials Inc プロセスガス流入及び散布の通路
JPH1145861A (ja) * 1997-04-11 1999-02-16 Applied Materials Inc 枚葉式リアクタ内の、排ガスを加熱するための穴のあいた下方ライナ
JP2001517736A (ja) * 1997-09-22 2001-10-09 アプライド マテリアルズ インコーポレイテッド Cvdチャンバ・インナー・ライニング
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
JP2001168046A (ja) * 1999-09-17 2001-06-22 Applied Materials Inc シリコン膜表面仕上のための装置及び方法
JP2001214273A (ja) * 2000-01-27 2001-08-07 Silicon Valley Group Thermal Systems Ltd Liability Co 自由浮動シールド及び半導体処理システム
KR20030070374A (ko) * 2002-02-25 2003-08-30 삼성전자주식회사 반도체 제조용 프로세스 챔버
JP2004200603A (ja) * 2002-12-20 2004-07-15 Shin Etsu Handotai Co Ltd 気相成長装置およびエピタキシャルウェーハの製造方法
WO2005059981A1 (ja) * 2003-12-17 2005-06-30 Shin-Etsu Handotai Co., Ltd. 気相成長装置およびエピタキシャルウェーハの製造方法
WO2009075544A2 (en) * 2007-12-13 2009-06-18 Triple Cores Korea A liner for semiconductor chamber
JP2010258169A (ja) * 2009-04-23 2010-11-11 Sumco Techxiv株式会社 成膜反応装置及び成膜基板製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017520120A (ja) * 2014-06-20 2017-07-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated エピタキシャルチャンバへのガス注入装置
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム

Also Published As

Publication number Publication date
TWI551720B (zh) 2016-10-01
US9695508B2 (en) 2017-07-04
US20120240853A1 (en) 2012-09-27
CN103430285B (zh) 2016-06-01
CN103430285A (zh) 2013-12-04
KR20140018939A (ko) 2014-02-13
US8980005B2 (en) 2015-03-17
US20150176123A1 (en) 2015-06-25
WO2012128783A1 (en) 2012-09-27
JP5837178B2 (ja) 2015-12-24
TW201250052A (en) 2012-12-16
KR101884003B1 (ko) 2018-07-31

Similar Documents

Publication Publication Date Title
JP5837178B2 (ja) 化学気相堆積チャンバ用のライナアセンブリ
CN107690487B (zh) 用于半导体外延生长的注射器
US9449859B2 (en) Multi-gas centrally cooled showerhead design
US9644267B2 (en) Multi-gas straight channel showerhead
JP5005038B2 (ja) 低温cvdシステムにおける前駆物質解離制御のためのガス分配装置、堆積装置及び方法
EP0637058B1 (en) Method of supplying reactant gas to a substrate processing apparatus
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20020025657A1 (en) Wafer processing in a chamber with novel gas inlets
WO2009052213A1 (en) Multi-gas spiral channel showerhead
KR20100072091A (ko) 전구체 공급원을 구비한 샤우어헤드
CN110998793B (zh) 用于外延沉积工艺的注入组件
JP6629248B2 (ja) エピタキシャルチャンバへのガス注入装置
KR20150126776A (ko) 퇴적 시에 반응물질로서 할로겐 분자들을 이용하여 에피택셜 막에서의 도펀트 혼입을 강화하는 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140724

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140724

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150609

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150908

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151104

R150 Certificate of patent or registration of utility model

Ref document number: 5837178

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250