CN103430285A - 用于化学气相沉积腔室的衬里组件 - Google Patents

用于化学气相沉积腔室的衬里组件 Download PDF

Info

Publication number
CN103430285A
CN103430285A CN201180069452XA CN201180069452A CN103430285A CN 103430285 A CN103430285 A CN 103430285A CN 201180069452X A CN201180069452X A CN 201180069452XA CN 201180069452 A CN201180069452 A CN 201180069452A CN 103430285 A CN103430285 A CN 103430285A
Authority
CN
China
Prior art keywords
lining
liner assembly
chamber
gas
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201180069452XA
Other languages
English (en)
Other versions
CN103430285B (zh
Inventor
戴维·基思·卡尔森
穆罕默德(图鲁尔)·萨米尔
尼欧·谬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103430285A publication Critical patent/CN103430285A/zh
Application granted granted Critical
Publication of CN103430285B publication Critical patent/CN103430285B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文所述的实施例涉及一种用于对腔室内的处理区域形成衬里的设备和方法。于一个实施例中,提供用于衬底处理腔室的模块衬里组件。所述模块衬里组件包含第一衬里和第二衬里,所述第一衬里和所述第二衬里每个包括环形主体,所述环形主体经调整尺寸以容纳于腔室的处理容积中;及至少第三衬里,所述第三衬里包括主体,所述主体通过所述第一衬里和所述第二衬里而延伸,所述第三衬里具有设置于所述处理容积中的第一端和设置于所述腔室外侧的第二端。

Description

用于化学气相沉积腔室的衬里组件
发明背景
发明领域
本发明的实施例大体而言涉及使用于半导体制造工艺的沉积腔室中的模块衬里组件。
相关技术的描述
因用于先进逻辑装置和DRAM装置,还有其它装置的新应用,含硅薄膜的外延生长变得非常重要。这些应用的重点需求为低温/低压处理,使得装置特征结构将不会于制造装置的期间受损。包含硅的选择和毯覆(如,非选择地生长)的外延薄膜,及这类外延薄膜的应变实施例(所述外延薄膜于最大约900℃至低于约700℃的温度生长)为当前许多半导体应用所需。这种较低的温度处理不仅对形成合适功能的装置重要,且此低温处理最小化或防止亚稳应变层(metastable strain layer)的弛豫,帮助防止或最小化掺杂剂扩散,并帮助最小化于外延薄膜结构内的掺杂剂分离。抑制晶面形成和短沟道效应(由低温处理(即,低热预算处理)能够实现)为获得高效能装置的重要因素。
在以沉积外延层于衬底上的典型LPCVD工艺中,前驱物通过气体分配组件而注入腔室中的处理区域,且前驱物在腔室中的衬底的表面上方通过在处理区域中的前驱物的辐射而激发,所述辐射为典型低波长辐射(如,于紫外线及/或红外线光谱中)。也可使用等离子体产生以分离反应物。为达到更有效率的前驱物分离工艺,期望于输送前驱物至处理区域前先预热前驱物,以更快速及更有效率地于衬底上方分离前驱物。然而,环绕处理区域和前驱物注入区域的腔室主体是由金属材料(如,不锈钢)所制成,且使用于LPCVD工艺中的一些前驱物与这些金属材料反应。因此,这些金属材料为潜在污染源,潜在污染源会损害腔室部件并于衬底上产生微粒污染。
为防止与腔室主体的不想要的反应,使用衬里以将一些金属腔室部件屏蔽于处理区域外。然而,传统衬里生产成本高昂且替换困难并耗时。此外,传统衬里并未令人满意地执行较新规定的可允许污染水平。此外,传统衬里用以屏蔽腔室部件,且通常并不使用传统衬里以提供不同的前驱物入口及/或排气方案。此外,传统衬里并非促进轻易替换一或多个衬里以与现存衬里使用的模块部件。在一些情况下,一个传统衬里部件的替换需要制造整个新的衬里部件。所有这些因素可增加装置污染及/或导致重大的腔室停机,而所述情形增加工具所有者及由工具所产生的装置的成本。
因此,需要用于衬里组件的设备及方法,所述衬里组件易于替换且经配置以于不同工艺使用而无须腔室的重大停机,因而减少所有者的成本。
发明内容
在此所述的实施例涉及一种用于对腔室内的处理区域形成衬里的设备和方法。在一个实施例中,提供一种用于衬底处理腔室的模块衬里组件。所述模块衬里组件包含:第一衬里和第二衬里,所述第一衬里和所述第二衬里的每一个包括环形主体,所述环形主体经调整尺寸以容纳于腔室的处理容积中;及至少第三衬里,所述第三衬里包括主体,所述主体通过所述第一衬里和所述第二衬里而延伸,所述第三衬里具有设置于所述处理容积中的第一端和设置于所述腔室外侧的第二端。
在另一实施例中,提供一种用于衬底处理腔室的模块衬里组件。所述模块衬里组件包括:第一衬里和第二衬里,所述第一衬里和所述第二衬里的每一个包括环形主体,所述环形主体具有形成于所述环形主体中的多个凹部;及至少第三衬里,所述第三衬里包括主体,所述主体容纳于并至少部分接触所述多个凹部的一部分。
在另一实施例中,提供一种用于半导体处理腔室的处理套件。所述处理套件包括:多个衬里,所述多个衬里适于在腔室的处理容积中彼此模块地耦接。所述多个衬里包括:第一衬里和第二衬里,所述第一衬里和所述第二衬里的每一个包括环形主体,所述环形主体具有形成于所述环形主体中的多个凹部;及至少第三衬里,所述第三衬里包括主体,所述主体容纳于并至少部分接触所述多个凹部的一部分。
附图简要说明
依本发明于上所列举的特征的方式可详细地理解,本发明的更特定的说明(简短概述于发明内容中)可参照实施例(所述实施例的一部分图示于附图中)而获得。然而,应注意,附图仅图示本发明的典型实施例,且不因此被视为对本发明范围的限制,因本发明可允许其它等效的实施例。
图1A为根据本文所述的实施例的腔室的示意性的侧截面图。
图1B为旋转90度的图1A的腔室的示意性的侧截面图。
图2为可使用于图1A的腔室中的处理套件的一个实施例的等角视图。
图3A为图2的下衬里和上衬里的分解等角视图。
图3B为图2的流量阀衬里的等角视图。
图3C为图2的排气衬里的等角视图。
图3D为图2的排气嵌入衬里组件的等角视图。
图4为图示于图1A中的气体分配组件的等角视图。
图5A为图4的注入盖、阻挡衬里、注入嵌入衬里组件和气体分配歧管衬里的等角截面图。
图5B为图4的注入盖、阻挡衬里、注入嵌入衬里组件和气体分配歧管衬里的另一等角截面图。
图5C为图4的气体分配组件的示意性的上视截面图。
图6A为图4中所示注入嵌入衬里组件的区域的等角视图。
图6B为图4的阻挡衬里的等角视图。
图7为可使用于图1A的腔室中的处理套件的另一实施例的部分等角视图。
图8为可使用于图1A的腔室中的处理套件的另一实施例的部分等角视图。
图9为可使用于图1A的腔室中的处理套件的另一实施例的部分等角视图。
为促进理解,尽可能使用相同的元件符号以指定共享于图的相同元件。应考虑,于一个实施例中所公开的元件可有利地使用于其它实施例上而无需赘述。
具体描述
图1A为腔室100的示意性的侧截面图。腔室100可用于沉积(如,外延沉积),尽管腔室100可用于蚀刻或其它工艺。腔室100可增加至
Figure BDA0000384975630000041
集成处理系统,所述集成处理系统可从美国加州的应用材料公司取得。腔室100包含由工艺抗性材料(如,铝或不锈钢(如,316L不锈钢))所制成的外壳结构102。外壳结构102包围处理腔室100的各种功能元件,如石英腔室104,所述石英腔室104包含上腔室106和下腔室108,于石英腔室104中包含有处理容积110。衬底支撑件112适用以于石英腔室104内接收衬底114,所述衬底支撑件112由陶瓷材料或涂布有硅材料(如,碳化硅)的石墨材料所制成。来自前驱物反应材料的反应物质被施加至衬底114的表面116,且副产物可接着从表面116移除。加热衬底114及/或处理容积110可由辐射源(如,上灯模块118A和下灯模块118B)而提供。于一个实施例中,上灯模块118A和下灯模块118B为红外线灯。由灯模块118A和118B散发的辐射行经上腔室106的上石英窗口120,和下腔室108的下石英窗口122。若需要,用于上腔室106的冷却气体经由入口124而进入且经由出口126而离开。
由气体分配组件128提供反应物质至石英腔室104,且由排气组件130而从处理容积110移除工艺副产物,所述排气组件130通常与真空源(未图示)连通。用于腔室100的前驱物反应材料和稀释气体、冲洗气体和排出气体经由气体分配组件128而进入且经由排气组件130而离开。
腔室100亦包含多个衬里132A-132H(于图1中仅图示衬里132A-132G)。所述衬里132A-132H使处理容积110屏蔽而与环绕处理容积110的金属壁134隔绝。金属壁134可为铝或不锈钢。金属壁134可与前驱物反应并导致于处理容积110中的污染。于一个实施例中,衬里132A-132H包括覆盖所有金属部件的处理套件,所述金属部件可与处理容积110连通或暴露至处理容积110。
下衬里132A设置于下腔室108中。上衬里132B设置成至少部分位于下腔室108中且邻近下衬里132A。排气嵌入衬里组件132C设置邻近于上衬里132B。于图1中,排气嵌入衬里132D设置邻近于排气嵌入衬里组件132C,且排气嵌入衬里132D可取代上衬里132B的一部分以促进安装。注入衬里132E图示于处理容积110的一侧上,所述侧相对排气嵌入衬里组件132C和排气衬里132D。注入衬里132E经配置作为歧管以提供一或多种流体(如,气体或气体的等离子体)至处理容积110。一或多种流体由注入嵌入衬里组件132F而提供至注入衬里132E。阻挡衬里132G耦接至第一气源135A和可选的第二气源135B,并且阻挡衬里132G提供气体至注入嵌入衬里组件132F和提供气体至开口136A和136B,所述开口136A和136B形成于注入衬里132E中。
一或多种气体由第一气源135A和第二气源135B经由阻挡衬里132G、注入嵌入衬里组件132F和经由一或多个开口136A和136B而提供至处理容积110,所述一或多个开口136A和136B形成于注入衬里132E中。形成于注入衬里132E中的一或多个开口136A和136B耦接至出口,所述出口经配置以用于层流路径133A或喷射流路径133B。每一个流路径133A、133B经配置以流过轴A'至排气衬里132D。轴A'实质垂直于腔室100的纵轴A"。流路径133A、133B流进形成于排气衬里132D中的气室137并结束于排气流路径133C中。气室137耦接至排气或真空泵(未图示)。于一个实施例中,气室137耦接至歧管139,所述歧管139沿一方向引导排气流路径133C,所述方向实质平行于纵轴A”。然而,歧管139可适于沿一方向引导排气流路径133C,所述方向实质平行于轴A’。至少注入嵌入衬里组件132F可设置成通过注入盖129并至少部分由注入盖129而支撑。注入盖129可由金属材料所制成并由一或多个紧固件而耦接至腔室100,以易于移除和安装。
图1B为旋转90度的图1A的腔室100的示意性的侧截面图。所有部件与图1A中所述的腔室100相似,为简洁的目的而将不再于此说明。于图1B中,流量阀衬里132H图示穿过腔室100的金属壁134而设置。此外,于图1B中所示的旋转视图中,上衬里132B图示邻近下衬里132A,而非图1A中所示的注入衬里132E。于图1B中所示的旋转视图中,上衬里132B图示邻近下衬里132A,而非图1A中所示的排气衬里132D,所述下衬里132A位于腔室100的与流量阀衬里132H相对的一侧上。于图1B中所示的旋转视图中,上衬里132B覆盖上腔室106的金属壁134。上衬里132B亦包含向内延伸肩部138。向内延伸肩部138形成唇部,所述唇部支撑环形预热环140,所述环形预热环140将前驱物气体限制于上腔室106中。
图2为包括图示于图1A和图1B中的一或多个衬里132A-132H的处理套件200的一个实施例的等角视图。衬里132A-132H为模块化的且适于单独地或全部地替换。衬里132A-132H为低成本且因为模块设计的缘故而提供额外的弹性和成本节省。举例而言,一或多个衬里132A-132H可以由适于不同工艺的另一个衬里而取代,而无须替换其它的衬里132A-132H。因此,衬里132A-132H促进将腔室100配置用于不同工艺,而无须替换所有的衬里132A-132H。此导致时间和成本的节省,以降低腔室的停机时间和所有者的成本。
处理套件200包括下衬里132A和上衬里132B。下衬里132A和上衬里132B两者包含一般圆柱外径201,所述一般圆柱外径201经调整尺寸以容纳于图1A和图1B中的腔室100中。衬里132A-132H的每一个经配置以由重力及/或互锁装置(如,形成于一些衬里132A-132H之中或一些衬里132A-132H之上的突出部和匹配凹部)而支撑于腔室内。下衬里132A和上衬里132B的内部表面203形成处理容积100的一部分。上衬里132B包含切除部202A和202B,所述切除部202A和202B经调整尺寸以容纳图示于图1A的截面中的排气衬里132D和注入衬里132E。切除部202A、202B的每一个界定上衬里132B的凹部区域204,所述上衬里132B的凹部区域204邻近向内延伸肩部138。
于此实施例中,每个注入嵌入衬里组件132F和排气嵌入衬里组件132C包括两个区域。注入嵌入衬里组件132F包含第一区域206A和第二区域206B,所述第一区域206A和第二区域206B由阻挡衬里132G在一侧处耦接。同样地,排气嵌入衬里组件132C包含第一区域208A和第二区域208B。注入嵌入衬里组件132F的区域206A和206B的每一个经由阻挡衬里132G而接收来自第一气源135A和第二气源135B的气体。气体流经注入嵌入衬里组件132F并行进至于注入衬里132E中的多个第一出口210A和多个第二出口210B。于一方面中,注入嵌入衬里组件132F和注入衬里132E包括气体分配歧管衬里。因此,由第一气源135A和第二气源135B流出的气体分别流进处理容积110。每一气体可于离开出口210A、210B之前、期间和之后分离,并流过处理容积110以沉积于衬底(未图示)上。于沉积后残余的分离的前驱物流进排气嵌入衬里组件132C并被排出。
衬里132A-132H的每一个由石英或其它工艺抗性材料所制成。衬里132A-132H的每一个可依所欲工艺而为透明或不透明。举例而言,可使用透明石英以允许由灯模块118A、118B所发出的光学能源通过透明石英以帮助腔室100的温度控制及/或前驱物气体的状态。于一个实例中,一或多个衬里132A-132H可不透明以吸收由灯模块118A、118B所发出的光学能源。于另一实例中,注入衬里132E及/或排气嵌入衬里组件132C可为透明石英以允许光学能源对前驱物气体产生作用。可使用光学能源以预热前驱物气体、分离前驱物气体,及/或维持前驱物气体的分离温度。于一方面中,可利用光学能源以加热前驱物气体,导致前驱物气体于离开出口210A、210B前在注入衬里132E内分离,所述光学能源经由注入衬里132E的透明石英材料所提供。排气嵌入衬里组件132C亦可由透明石英所制成,以允许光学能源对前驱物气体于气体排出时产生作用。因此,可基于热的考虑而对任何衬里132A-132H选择使用透明石英或不透明石英。此外,透明的衬里132A-132H中的任何一个或结合可以不透明衬里所取代,或反之亦然,而无须替换其它衬里132A-132H。此提供将腔室配置用于不同气体及/或工艺而无须替换全部衬里132A-132H的简便适应性。
可通过将上石英窗口120从腔室100的金属壁134移除以进入上腔室106和下腔室108而将衬里132A-132H安装及/或进入于图1A中的腔室100内。于一个实施例中,至少一部分的金属壁134可移除以促进衬里132A-132H的替换。阻挡衬里132G与注入盖129耦接,所述注入盖129可紧固至腔室100的外部。下衬里132A安装于下腔室108中,所述下衬里132A包含内径,所述内径大于衬底支撑件112的水平尺寸。下衬里132A可安置于下石英窗口122上。于下衬里132A置放于下石英窗口122上后,可安装排气嵌入衬里组件132C、注入嵌入衬里组件132F和流量阀衬里132H。注入嵌入衬里组件132F可与阻挡衬里132G耦接以促进来自第一气源125A和第二气源135B的气流。于安装排气嵌入衬里组件132C、注入嵌入衬里组件132F和流量阀衬里132H后,可安装上衬里132B。于此时,环形预热环140可置于上衬里132B的向内延伸肩部138上。注入衬里132E可安装于形成于上衬里132B中的孔内并与注入嵌入衬里组件132F耦接,以促进由注入嵌入衬里组件132F的气流流至注入衬里132E。排气衬里132D可在孔内的排气嵌入衬里组件132C上方安装,所述孔相对注入衬里132E而形成于上衬里132B中。衬里132A-132H可连续地依上述顺序安装亦可依反向顺序而连续地移除。于一些实施例中,注入衬里132E可以经配置以用于不同气流方案的另一注入衬里取代。因此,注入衬里132E的替换仅需从腔室100的金属壁134移除上石英窗口120。同样地,排气嵌入衬里组件132C可以经配置以用于不同排气气流方案的另一排气嵌入衬里组件取代。排气嵌入衬里组件132C的替换仅需移除上石英窗口120和排气嵌入衬里组件132C。此外,任何衬里132A-132H的透明衬里可通过仅移除所选择的衬里和任何中间的衬里而替换成不透明的衬里。
图3A为图2的下衬里132A和上衬里132B的分解等角视图。上衬里132B包含上表面300A和下表面300B。上衬里132B的上表面300A的至少一部分适于邻近石英窗口120或与上石英窗口120(图标于图1A中)接触。上衬里132B的上表面300A亦包含形成于切除部202A和202B之间的一或多个凹部305A、305B。于一个实施例中,凹部305A与凹部305B相对或实质差异180度。凹部305A容纳排气嵌入衬里组件132C(图标于图2中)的一部分,而凹部305B容纳注入嵌入衬里组件132F及/或注入衬里132E(图示于图2中)的一部分。上衬里132B的下表面300B亦包含壁310A-310C。至少壁310B和310C延伸出形成于下表面300B中的凹部305D的平面。壁310A、310B的外表面312A、312B经配置以容纳于下衬里132A的切除部315A和315B之间及/或与下衬里132A的切除部315A和315B接触。上衬里132B包含形成于壁310A和310B之间的下表面300B中的凹部305C。凹部305C容纳位于壁310A、310B的内部表面之间的流量阀衬里132H(图示于图2中)的一部分。于一个实施例中,凹部305C实质垂直凹部305A及/或凹部305B。
下衬里132A包含上表面300C和下表面300D。下衬里132A的下表面300D的至少一部分适于邻近下石英窗口122或与下石英窗口122(图标于图2中)接触。至少一个凹部形成于上表面300C中。第一凹部320A形成于切除部315A和315B之间,且第二凹部320B形成于切除部315B和315C之间。凹部320A设置于下衬里132A的凹部320B和上表面300C的平面下。凹部320A包含通道325,所述通道325经配置作为互锁装置的一部分。通道325适于容纳形成于流量阀衬里132H(未图示)上的凸起特征结构,以用作位于下衬里132A和流量阀衬里132H之间的互锁装置。于一个实施例中(未图示),上衬里132B的凹部305C包含与通道325结构和功能类似的通道。因此,当处理套件200安装及/或使用时,流量阀衬里132H可固定地耦接至下衬里132A和上衬里132B。
于一个实施例中,下衬里132A可包括第一衬里,且上衬里132B可包括第二衬里,且排气嵌入衬里组件132C、流量阀衬里132H、注入嵌入衬里组件132F和注入衬里132E(全部图示于图2中)的一个或结合可包括第三衬里。
图3B为图2的流量阀衬里132H的等角视图。流量阀衬里132H包含主体330,所述主体330具有第一端332和第二端334。第一端332包含表面336,所述表面336具有一半径。于一个实施例中,表面336具有一半径,所述半径实质匹配下衬里132A和上衬里132B的内部表面203(图2)的内径。于一方面中,表面336与处理容积110(图1A和图1B)连通,同时第二端334设置于处理容积110的外侧。主体330亦包含分隔的侧壁340A和侧壁340B以形成衬底移送槽338。尽管衬底移送槽338可经配置以用于其它衬底尺寸,衬底移送槽338用以使用机器人叶片(未图示)而移送200mm或300mm的衬底。
于此实施例中,侧壁340A、340B两者包含凸起特征结构342A、342B,所述凸起特征结构342A、342B于图3A中所述形成互锁装置的部分。举例而言,设置于侧壁340B上的凸起特征结构342B(以虚线图示)可为一或多个突片(tab)或突出部,所述一或多个突片或突出部经使用以与形成于图2的下衬里132A中的通道325接合。侧壁340A可包含凸起特征结构342B,所述凸起特征结构342B与设置于图2的上衬里132B上的通道耦接。于一个实施例中,凸起特征结构342A、342B的每一个设置于与表面336的半径实质类似的半径上。
图3C为图2的排气衬里132D的等角视图。排气衬里132D经配置以填充排气嵌入衬里组件132C的上表面和上石英窗口120(图标于图1A)之间的空间。排气衬里132D包含主体344。主体344包含外表面346、向内延伸壁348、侧壁350A、350B和内表面352。外表面346的底缘354经配置以接触排气嵌入衬里组件132C的至少一部分。向内延伸壁348适于邻近或接触上石英窗口120(图标于图1A)。侧壁350A和350B适于邻近或接触上衬里132B的切除部202A(图2)。当处理套件200安装或使用时,排气衬里132D经配置以至少部分地支撑排气嵌入衬里组件132C。
图3D为图2的排气嵌入衬里组件132C的等角视图。排气嵌入衬里组件132C包含第一区域208A和第二区域208B。区域208A、208B的每一个包含主体355。每一主体355包含第一端356A和第二端356B。第一端356A包含形成于半径上的表面358。于一个实施例中,表面358具有一半径,所述半径实质匹配下衬里132A和上衬里132B的内部表面203(图2)的内径。于一方面中,当第二端356B设置于处理容积110外侧时,表面358与处理容积110(图1A和图1B)连通。于一个实施例中,第一区域208A和第二区域208B在形状和尺寸上实质相似。于一方面中,第一区域208A为第二区域208B的镜像。气室362由主体355的壁364A-364E而形成。气室362适于在第一端356A接收排出气体并将排出气体流至第二端356B。端口365形成于第二端356B中。端口365适于耦接至排气装置(如,真空泵366)。于一些实施例中,间隙360形成于第一区域208A和第二区域208B之间。于其它实施例中,第一区域208A和第二区域208B通过诸如一或多个通道357而流体连通,所述通道357促进排出气体于第一区域208A和第二区域208B之间流动。
图4为图示于图1A中的气体分配组件128的等角视图,所述气体分配组件128具有图2的注入嵌入衬里组件132F、阻挡衬里132G和注入嵌入衬里组件132F的实施例。于注入衬里132E的此实施例中,经配置以分配气体的气体分配歧管衬里400图示耦接至注入嵌入衬里组件132F。气体分配歧管衬里400经配置以可与其它气体分配歧管衬里互换。气体分配歧管衬里400易于与注入嵌入衬里组件132F耦接及分离以促进替换的简便。
由第一气源135A和第二气源135B流出的处理气体流经注入盖129。注入盖129包含多个气体通道,所述多个气体通道耦接至形成于阻挡衬里132G中的端口(未图示)。于一个实施例中,灯模块405可设置于注入盖129中以于注入盖129中预热前驱物气体。具有多个气体通道的注入盖的实例描述于美国专利公开案第2008/0210163号(公开于2008年9月4日),在此以引用所述公开案全文的方式而并入本文。
阻挡衬里132G包含导管(未图示),所述导管将气体流进注入嵌入衬里组件132F。注入嵌入衬里组件132F包含端口,所述端口引导气体至气体分配歧管衬里400的第一出口210A和第二出口210B。于一个实施例中,由所述第一气源135A和第二气源135B流出的气体保持分离,直到气体离开第一出口210A和第二出口210B。于一方面中,气体于注入盖129和一或多个阻挡衬里132G、注入嵌入衬里组件132F和气体分配歧管衬里400内预热。气体的预热可由在注入盖129上的灯模块405、上灯模块118A和下灯模块118B(上灯模块118A和下灯模块118B皆图标于图1A中)中的一个或结合而提供。阻挡衬里132G、注入嵌入衬里组件132F和气体分配歧管衬里400的一个或结合可包含透明石英或不透明石英,以促进由在注入盖129上的灯模块405、上灯模块118A或下灯模块118B所产生的加热。于一方面中,气体经由于注入盖129上的灯模块405、上灯模块118A及/或下灯模块118B所发出的能量而加热,使得气体于离开第一出口210A和第二出口210B之前分离或离子化。依据使用于第一气源135A和第二气源135B的处理气体的分离温度,当离开气体分配歧管衬里400时,仅所述气体的一种气体可离子化,而其它加热的气体于离开气体分配歧管衬里400时保持气体形式。
图5A为图4的注入盖129、阻挡衬里132G、注入嵌入衬里组件132F和气体分配歧管衬里400的等角截面图。于一方面中,阻挡衬里132G、注入嵌入衬里组件132F和气体分配歧管衬里400的每一个经配置作为分配由第一气源135A和第二气源135B所流出的气体的歧管。于一个实施例中,注入盖129为第一歧管,所述第一歧管具有耦接至第一气源135A的第一气体通道500A和耦接至第二气源135B的第二气体通道500B。注入盖129亦包含多个腔室(如,第一腔室505A和第二腔室505B)。第一腔室505A和第二腔室505B与第一气体通道500A和第二气体通道500B分别流体连通。
由第一气源135A所流出的第一气体由第一腔室505A经由于注入盖129内的第一导管510A而流动。第一导管510A与设置于阻挡衬里132G中的第一孔515A流体连通。第一气体接着经由第一孔515A流进注入嵌入衬里组件132F,所述注入嵌入衬里组件132F经配置作为第二歧管。注入嵌入衬里组件132F包含第一气体通道520A。注入嵌入衬里组件132F包含具有纵轴的通道525A,所述通道525A的纵轴与第一气体通道520A的纵轴实质正交。第一气体由通道525A流进气体分配歧管衬里400,所述气体分配歧管衬里400经配置作为第三歧管。气体分配歧管衬里400包含与喷嘴535流体连通的第一气室530A。于一个实施例中,喷嘴535经调整成尺寸而较小于第一气室530A,以限制通过气体分配歧管衬里400的气流。因此,第一气体以高速离开第一出口210A。
图5B为图5A的注入盖129、阻挡衬里132G、注入嵌入衬里组件132F和气体分配歧管衬里400的等角截面图。来自第一气源135A的第二气体由第二腔室505B经由于注入盖129内的第二导管510B而流动。第二导管510B与设置于阻挡衬里132G中的第二孔515B流体连通。第二气体接着经由第二孔515B流进注入嵌入衬里组件132F。注入嵌入衬里组件132F包含第二气体通道520B。注入嵌入衬里组件132F包含具有纵轴的通道525B,所述通道525B的纵轴与第二气体通道520B的纵轴实质正交。第二气体由通道525B流进气体分配歧管衬里400。气体分配歧管衬里400包含开口入第二出口210B的第二气室530B。第二气室530B经调整成尺寸以防止节流并于气体离开第二出口210B时提供层流的第二气体。因此,第二气体以一速度离开第二出口210B,所述速度远低于第一气体离开第一出口210A时的速度,以提供层流。
图5C为图4的气体分配组件128的示意性的上视截面图。于一个实施例中,气体分配组件128经配置以于多个区域中流动气体至处理容积110。注入盖129包含多个第一腔室505A(以虚线图示)和多个第二腔室505B。于此实施例中,两个第一腔室505A设置于注入盖129的中央区域中的第一腔室505A的侧向外侧。于注入盖129的中央区域中的第一腔室505A界定内区域A,而两个第一腔室505A界定外区域A。所述第一腔室505A的每一个与设置于注入嵌入衬里组件132F中的多个第一通道525A流体连通。第一气体的流量可于每一第一腔室505A内受到控制,且流至多个第一通道525A。气体由第一腔室505A流至通道525A,并接着经由于气体分配歧管衬里400中的开口210A(未图示于此图)而散发。
同样地,注入盖129包含两个第二腔室505B,所述两个第二腔室505B设置于注入盖129的中央区域中的第二腔室505B的侧向外侧。于注入盖129的中央区域中的第二腔室505B界定内区域B,而两个第二腔室505B界定外区域B。所述第二腔室505B的每一个与设置于注入嵌入衬里组件132F中的多个通道525B流体连通。第二气体的流量可于每一第二腔室505B内受到控制,且流至多个通道525B。于一方面中,内区域A和内区域B的每一个水平地或侧向地间隔开来以界定注入区域。于一个实施例中,如图所示,在每一区域206A、206B内每一通道525A与通道525B交替。可改变气体分配组件128的结构使得通道525A和525B于不同的配置中邻近彼此或成组。
图6A为图4中所示注入嵌入衬里组件132F的区域206A的等角视图。注入嵌入衬里组件132F的区域206B实质类似于区域206A,且为简洁的目的而将不于此说明。区域206A包含主体600,所述主体600具有上表面605、次要侧610A和主要侧610B。主体600亦包含介于次要侧610A和主要侧610B之间的第一表面615A和第二表面615B。当安装时,第一表面615A位于腔室100的外壳结构102(图1A)的外侧,而第二表面615B位于腔室100的外壳结构102内。因此,区域206A的第二表面615B在处理期间与处理容积110接触,而第一表面615A和邻近第一表面615A的主体600的部分位于环境条件中。
次要侧610A和主要侧610B的每一个包含一长度。主要侧610B的长度大于次要侧610A的长度。第一表面615A平坦,而第二表面615B由弧形所表示,此种形状给了区域206A的主体600一个“排笛”(pan flute)形。主体600亦包含多个互锁装置620,所述多个互锁装置620形成于主体600中、设置于主体600上或由主体600突出。于一个实施例中,互锁装置620的至少一部分包括由主体600延伸的突出部625A,此突出部625A适于作为公界面。互锁装置620的至少一部分包括形成于主体中的凹陷部625B,且凹陷部625B适于作为母界面。互锁装置620可用作索引特征结构以及匹配界面,所述索引特征结构以及匹配界面允许将处理套件200的元件耦接与解耦。互锁装置620亦包含通道525A和525B、第一气体通道520A和第二气体通道520B。可利用互锁装置620作为密封物以防止由通道525A、525B和通道520A、520B处泄漏或于通道525A、525B和通道520A、520B之间泄漏。互锁装置620可为圆形(如,突出部625A)、多边形(如,凹陷部625B)或上述形状的结合。设置于第一表面615A上的互锁装置620适于与设置于阻挡衬里132G上的匹配互锁装置620耦接。设置于上表面605上的互锁装置620适于与设置于气体分配歧管衬里400(未图示)上的对应互锁装置620耦接并促进安装。
图6B为图4的阻挡衬里132G的等角视图。阻挡衬里132G包含主体630。主体630包含第一侧635A和第二侧635B。至少第一侧635A包含多个互锁装置620。第二侧635B亦可包含多个互锁装置620以促进将阻挡衬里132G耦接至注入盖129(未图示)。互锁装置620与图6A中所述的互锁装置620类似,并包含如图所示的突出部625A、凹陷部625B(未图示),或突出部625A和凹陷部625B的结合。互锁装置620可包含如图所示的第一孔515A和第二孔515B。第一侧635A的一部分适于耦接至区域206A的第一表面615A。将区域206A安装至阻挡衬里132G可由互锁装置620而促进。第一侧635A的剩余部分适于耦接至区域206B(未图标)。为促进区域206A和区域206B的耦接,主体630包含一长度,所述长度是区域206A(图6A)的第一表面615A和区域206B(图4)的长度至少两倍。
图7为可使用于图1A的腔室100中的处理套件200的一个实施例的部分等角视图。处理套件200包含注入衬里132E的一个实施例,以气体分配歧管衬里700图示,所述气体分配歧管衬里700可耦接至注入嵌入衬里组件132F。阻挡衬里132G图示介于注入盖129和注入嵌入衬里组件132F的区域206A和206B之间。
气体分配歧管衬里700包含双区域注入能力,其中每一区域提供不同的流动特点(如,速度)。双区域注入包括设置于垂直间隔的不同平面中的第一注入区域710A和第二注入区域710B。于一个实施例中,注入区域710A和710B的每一个间隔开来以形成上区域和下区域。第一注入区域710A包含多个第一出口210A,且第二注入区域710B包含多个第二出口210B。于一个实施例中,每一第一出口210A设置于气体分配歧管衬里700的第一表面720A中,而每一第二出口210B设置于气体分配歧管衬里700的第二表面720B中,所述第二表面720B由第一表面720A而凹陷。举例而言,第一表面720A可形成于一半径上,所述半径小于使用以形成第二表面720B的半径。
于一个实施例中,注入区域710A和710B适于提供不同的流体流动路径,于所述不同的流动路径处,流量指标(如,流体速率)可为不同。举例而言,第一注入区域710A的第一出口210A以较高的速度提供流体以形成喷射流路径133B,而第二注入区域710B的第二出口210B提供层流路径133A。层流路径133A和喷射流路径133B可由气体压力、出口210A、210B的尺寸、设置于出口210A、210B和腔室505A、505B(图示于图5A和图5B中)之间的导管尺寸(如,截面尺寸及/或长度)和设置于出口210A、210B和腔室505A、505B之间的导管中的弯曲角度及/或数目的一个或结合而提供。当流体进入处理容积110时,流体的速度亦可由前驱物气体的绝热膨胀所提供。
于一方面中,由第一注入区域710A和第二注入区域710B所提供的双区注入促进用于不同气体的注入水平的变化。于一个实施例中,第一注入区域710A和第二注入区域710B在不同的平面间隔开来而于衬底114的表面116(皆图示于图1A)上方以不同的垂直距离提供前驱物至处理容积110(图示于图1A)。此垂直间隔通过依赖可能使用的一些气体的绝热膨胀而提供增强的沉积参数。
图8为可使用于图1A的腔室100中的处理套件200的另一实施例的部分等角视图。处理套件200与图7中所示的处理套件200类似,除了图示作为气体分配歧管衬里800的注入衬里132E的不同实施例。于此实施例中,第一出口210A和第二出口设置于实质相同平面中。
图9为可使用于图1A的腔室100中的处理套件200的另一实施例的部分等角视图。处理套件200与图7或图8中所示的处理套件200类似,除了图示作为气体分配歧管衬里900的注入衬里132E的不同实施例。于此实施例中,气体分配歧管衬里900包含由第一表面720A向内延伸的延伸构件905。延伸构件905包含第三表面910,所述第三表面910较气体分配歧管衬里900的第一表面720A和第二表面720B更进一步延伸进处理容积110。延伸构件905包含第一出口210A的一部分,而第一出口210A的剩余部分设置于气体分配歧管衬里900的第一表面720A中。
由第一出口210A和第二出口210B所提供的流径的一个或结合可使得遍布衬底(未图示)沉积均匀且均匀生长。于一个实施例中,延伸构件905的第一出口210A用以注入前驱物气体,所述前驱物气体较由第二出口210B所提供的前驱物具有较快分离的倾向。此提供延伸的流径以注入较快分离前驱物一较远的距离且/或较接近衬底114的中央。因此,由第一出口210A和第二出口210B两者所提供的前驱物的结合遍布于衬底114提供均匀分布和生长。
于操作的实例中,形成硅(Si)和硅锗(SiGe)或镓(Ga)和砷(As)的毯覆或选择薄膜的前驱物由一或多个气源135A和135B(图示于图1A中)而提供至注入衬里132E。气源135A、135B可包含硅前驱物(如,硅烷(包含硅甲烷(SiH4)、乙硅烷(Si2H6)、二氯硅烷(SiH2Cl2)、六氯乙硅烷(Si2Cl6)、二溴硅烷(SiH2Br2)、较高阶硅烷、上述物质衍生物和上述物质结合)),气源135A、135B可包含含锗前驱物(如,GeH4、Ge2H6、GeCl4、GeH2Cl2、上述物质衍生物和上述物质结合)。气源135A、135B亦可包含含镓前驱物(如,三甲基镓(Ga(CH3)3(TMGa))、磷化镓(GaP)),及含砷前驱物(如,三氯化砷(AsCl3)、三氢化砷(AsH3)、叔丁基砷(tertiary-butyl arsine,TBA)、上述物质衍生物和上述物质结合)。含硅、含锗、含镓及/或含砷前驱物可与HCl、Cl2、HBr和上述物质结合而结合使用。气源135A、135B可于气源135A、135B的一个或两个中包含有一或多种含硅、含锗、含镓及/或含砷前驱物。举例而言,气源135A可包含前驱物材料,如H2或Cl2,而气源135B可包含含硅、含锗、含镓及/或含砷前驱物、上述物质衍生物或上述物质结合。于另一方面中,气源135A、135B可包含三族及五族气体的一种或结合。于另一方面中,气源135A、135B可包含叔丁基砷(tertiary-butyl arsine,TBA)及/或三甲基镓(TMGa)。
气源135A、135B可以一方式而耦接注入衬里132E,所述方式经配置以促进气体分配组件128(图标于图1A和图5A-5C中)内的分离引入区域,所述气体分配组件128耦接至注入衬里132E。举例而言,气体分配组件128可促进多个注入区域(如,图标于图5C中的外区域A和B及内区域A和B)。气体经由注入衬里132E而流至处理容积110并经由于一或多个平面的第一出口210A和第二出口210B而注入处理容积,所述一或多个平面实质平行于衬底114的平面。此外,气体可以不同速率流进处理容积110。成分气体经由注入衬里132E而进入处理容积110且经由排气嵌入衬里132C而离开,使用所述成分气体来清洁/钝化衬底表面或使用所述成分气体来形成含硅薄膜或含锗薄膜,所述含硅薄膜或含锗薄膜外延地生长在衬底114上。
于一个实施例中,由灯模块118A和118B所提供于处理容积100中的低波长辐射被使用以激发反应物质并帮助吸附反应物和由衬底114的表面116分离工艺副产物。取决于正在外延生长的薄膜的成分,结合所提供的各种波长的低波长辐射通常从约0.8微米(μm)至约1.2μm(如,约0.95μm至约1.05μm)变化。紫外线光源(未图标)可取代灯模块118A和118B,或紫外线光源可结合灯模块118A和118B而使用。于一个实施例中(未图示),辐射由紫外线光源(如,准分子灯)而提供。
于处理容积110中的温度可控制于约200℃至约600℃的温度范围内。于处理容积110中的压力可介于约0.1Torr至约600Torr之间(如,介于约5Torr至约30Torr之间)。衬底114表面116上的温度可由对于下腔室108中的下灯模块118B做功率调整,或由同时对于上腔室106上的上灯模块118A及下腔室108下的下灯模块118B做功率调整而控制。于处理容积110中的功率密度可介于约40W/cm2至约400W/cm2(如,约80W/cm2至约120W/cm2)之间。
提供包含处理套件200的衬里组件,所述处理套件200包括如图1A-9中所述的衬里132A-132H。衬里132A-132H为模块化且适于单独地或全部地替换。衬里132A-132H可由石英而制成,不管是透明的或石英,可利用所述衬里132A-132H以配置用于不同工艺的腔室。衬里132A-132H的一或多个可以适于不同工艺的其它衬里取代,而无须替换其它衬里132A-132H。因此,衬里132A-132H促进配置用于不同工艺的腔室100,而无须替换所有衬里132A-132H。因模块化设计的缘故,衬里132A-132H是成本高效的,以生产并提供额外的弹性和成本节省。此外,若衬里132A-132H中的一个受损时,可提供单一替换的衬里而无须替换全部的衬里132A-132H。若有需要,衬里132A-132H可轻易地替换。可使用气体分配歧管衬里400、700、800或900的各种额外实施例以促进进入处理容积110的流体的不同流动图案。所有这些因素导致时间和成本的节省,而时间和成本的节省降低腔室的停机时间和所有者的成本,并可使执行于腔室100中的工艺具有灵活性。
尽管前述部分针对本发明的实施例,本发明的其它或进一步的实施例可设计而不背离本发明的基本范围,且本发明的范围由以下的权利要求而决定。

Claims (15)

1.一种用于衬底处理腔室的模块衬里组件,所述模块衬里组件包括:
第一衬里,所述第一衬里包括环形主体,所述环形主体经调整尺寸以容纳于腔室的处理容积中;
第二衬里,所述第二衬里包括环形主体,所述环形主体经调整尺寸以容纳于所述腔室的所述处理容积中;及
至少第三衬里,所述第三衬里包括主体,所述主体通过所述第一衬里和所述第二衬里而延伸,所述第三衬里具有设置于所述处理容积中的第一端和设置于所述腔室外侧的第二端。
2.如权利要求1的衬里组件,其中所述第三衬里包括气体分配歧管。
3.如权利要求2的衬里组件,其中所述气体分配歧管包括多个第一通道和多个第二通道。
4.如权利要求3的衬里组件,其中所述多个第一通道与所述多个第二通道交替。
5.如权利要求3的衬里组件,其中所述多个第一通道提供流量指标,所述流量指标与所述多个第二通道所提供的流量指标不同。
6.如权利要求2的衬里组件,其中所述气体分配歧管包含多个第一出口和多个第二出口。
7.如权利要求6的衬里组件,其中所述多个第一出口设置于第一平面中,且所述多个第二出口设置于第二平面中,所述第二平面与所述第一平面不同。
8.如权利要求6的衬里组件,其中所述多个第一出口形成于具有第一半径的所述气体分配歧管的表面中,且所述多个第二出口形成于具有第二半径的所述气体分配歧管的表面中,所述第二半径与所述第一半径不同。
9.如权利要求1的衬里组件,其中所述第三衬里包括排气衬里。
10.一种用于衬底处理腔室的模块衬里组件,所述模块衬里组件包括:
第一衬里和第二衬里,所述第一衬里和所述第二衬里的每一个包括环形主体,所述环形主体具有形成于所述环形主体中的多个凹部;及
至少第三衬里,所述第三衬里包括主体,所述主体容纳于并至少部分接触所述多个凹部的一部分。
11.如权利要求10的衬里组件,其中所述第三衬里包括气体分配歧管、流量阀衬里或排气嵌入衬里组件中的一个。
12.如权利要求11的衬里组件,其中所述气体分配歧管包括多个第一通道和多个第二通道。
13.如权利要求12的衬里组件,其中所述多个第一通道与所述多个第二通道交替。
14.如权利要求12的衬里组件,其中所述多个第一通道提供流量指标,所述流量指标与所述多个第二通道所提供的流量指标不同。
15.如权利要求10的衬里组件,其中所述第一衬里和所述第二衬里设置于腔室的处理容积中,且所述第三衬里的所述主体包含设置于所述处理腔室中的第一端和设置于所述腔室外侧的第二端。
CN201180069452.XA 2011-03-22 2011-07-26 用于化学气相沉积腔室的衬里组件 Active CN103430285B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161466413P 2011-03-22 2011-03-22
US61/466,413 2011-03-22
PCT/US2011/045288 WO2012128783A1 (en) 2011-03-22 2011-07-26 Liner assembly for chemical vapor deposition chamber

Publications (2)

Publication Number Publication Date
CN103430285A true CN103430285A (zh) 2013-12-04
CN103430285B CN103430285B (zh) 2016-06-01

Family

ID=46876238

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180069452.XA Active CN103430285B (zh) 2011-03-22 2011-07-26 用于化学气相沉积腔室的衬里组件

Country Status (6)

Country Link
US (2) US8980005B2 (zh)
JP (1) JP5837178B2 (zh)
KR (1) KR101884003B1 (zh)
CN (1) CN103430285B (zh)
TW (1) TWI551720B (zh)
WO (1) WO2012128783A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI570262B (zh) * 2013-08-19 2017-02-11 艾力克西 亞倫達倫柯 利用金屬-有機化學氣相沈積法於單晶基材上產生二元半導體材料的磊晶層之方法
CN106605287A (zh) * 2014-09-05 2017-04-26 应用材料公司 用于epi腔室的注射插件
CN106663606A (zh) * 2014-06-20 2017-05-10 应用材料公司 用于将气体注入外延腔室的设备
CN110998793A (zh) * 2017-08-25 2020-04-10 应用材料公司 用于外延沉积工艺的注入组件
CN112063997A (zh) * 2015-03-25 2020-12-11 应用材料公司 用于外延生长装置的腔室部件
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033123B1 (ko) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 액정표시장치의 제조를 위한 챔버형 장치
EP2553143B1 (en) * 2010-03-29 2017-10-04 Koolerheadz Modular gas injection device
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
CN103430285B (zh) * 2011-03-22 2016-06-01 应用材料公司 用于化学气相沉积腔室的衬里组件
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20150292088A1 (en) * 2012-11-27 2015-10-15 Claudio Canizares Deposition systems having interchangeable gas injectors and related methods
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
CN104885192B (zh) * 2013-01-16 2018-03-27 应用材料公司 石英上拱形结构及下拱形结构
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US20140224175A1 (en) * 2013-02-14 2014-08-14 Memc Electronic Materials, Inc. Gas distribution manifold system for chemical vapor deposition reactors and method of use
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9328420B2 (en) 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105164788B (zh) * 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN107557758A (zh) * 2013-05-01 2018-01-09 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
WO2014189622A1 (en) * 2013-05-23 2014-11-27 Applied Materials, Inc. A coated liner assembly for a semiconductor processing chamber
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9856580B2 (en) * 2013-08-19 2018-01-02 Applied Materials, Inc. Apparatus for impurity layered epitaxy
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2015195256A1 (en) * 2014-06-18 2015-12-23 Applied Materials, Inc. One-piece injector assembly
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
SG10201901915QA (en) * 2014-09-05 2019-04-29 Applied Materials Inc Upper dome for epi chamber
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10105732B2 (en) * 2016-01-05 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Coater and surface treatment method
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
KR101874184B1 (ko) * 2016-07-26 2018-07-03 에스케이실트론 주식회사 에피택셜 웨이퍼 제조 장치
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10607837B2 (en) * 2016-08-19 2020-03-31 Applied Materials, Inc. Gas flow control for EPI thickness uniformity improvement
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
CN110121763B (zh) 2017-02-23 2023-12-26 株式会社国际电气 基板处理装置、半导体装置的制造方法及存储介质
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11004704B2 (en) * 2017-03-17 2021-05-11 Applied Materials, Inc. Finned rotor cover
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
USD838681S1 (en) 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD837754S1 (en) 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
USD842259S1 (en) 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
WO2018213621A2 (en) * 2017-05-18 2018-11-22 Applied Materials, Inc. Thermal chamber with improved thermal uniformity
CN108950519B (zh) * 2017-05-19 2021-03-02 北京北方华创微电子装备有限公司 腔室的内衬和腔室
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102408720B1 (ko) * 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN214848503U (zh) * 2018-08-29 2021-11-23 应用材料公司 注入器设备、基板处理设备及在机器可读介质中实现的结构
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
US20210249239A1 (en) * 2020-02-10 2021-08-12 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
EP4139498A4 (en) * 2020-04-20 2024-05-29 Applied Materials Inc MULTIPLE THERMAL CVD CHAMBERS WITH SHARED GAS SUPPLY AND EXHAUST SYSTEM
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20220364261A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
KR20030070374A (ko) * 2002-02-25 2003-08-30 삼성전자주식회사 반도체 제조용 프로세스 챔버
TW200947585A (en) * 2007-12-13 2009-11-16 Triple Cores Korea A liner for semiconductor chamber
US20100272892A1 (en) * 2009-04-23 2010-10-28 Sumco Techxiv Corporation Film formation reactive apparatus and method for producing film-formed substrate

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1716A (en) * 1840-08-12 Machine for beveling boot-forms
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
EP0637058B1 (en) * 1993-07-30 2004-03-31 Applied Materials, Inc. Method of supplying reactant gas to a substrate processing apparatus
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US5914050A (en) * 1997-09-22 1999-06-22 Applied Materials, Inc. Purged lower liner
US6352592B1 (en) * 1998-01-16 2002-03-05 Silicon Valley Group, Thermal Systems Llc Free floating shield and semiconductor processing system
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP3893615B2 (ja) 2002-12-20 2007-03-14 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
KR200431206Y1 (ko) 2006-05-03 2006-11-23 어플라이드 머티어리얼스, 인코포레이티드 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
TW200809926A (en) * 2006-05-31 2008-02-16 Sumco Techxiv Corp Apparatus and method for depositing layer on substrate
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US8226770B2 (en) * 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8398777B2 (en) * 2008-05-02 2013-03-19 Applied Materials, Inc. System and method for pedestal adjustment
EP3573092B1 (en) * 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
CN103430285B (zh) * 2011-03-22 2016-06-01 应用材料公司 用于化学气相沉积腔室的衬里组件
CN103493185A (zh) * 2011-04-08 2014-01-01 应用材料公司 用于uv处理、化学处理及沉积的设备与方法
TWI646869B (zh) * 2011-10-05 2019-01-01 美商應用材料股份有限公司 對稱電漿處理腔室
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10344380B2 (en) * 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN105164788B (zh) * 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN107557758A (zh) * 2013-05-01 2018-01-09 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
KR20030070374A (ko) * 2002-02-25 2003-08-30 삼성전자주식회사 반도체 제조용 프로세스 챔버
TW200947585A (en) * 2007-12-13 2009-11-16 Triple Cores Korea A liner for semiconductor chamber
US20100272892A1 (en) * 2009-04-23 2010-10-28 Sumco Techxiv Corporation Film formation reactive apparatus and method for producing film-formed substrate

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI570262B (zh) * 2013-08-19 2017-02-11 艾力克西 亞倫達倫柯 利用金屬-有機化學氣相沈積法於單晶基材上產生二元半導體材料的磊晶層之方法
CN106663606A (zh) * 2014-06-20 2017-05-10 应用材料公司 用于将气体注入外延腔室的设备
CN106605287A (zh) * 2014-09-05 2017-04-26 应用材料公司 用于epi腔室的注射插件
CN107574424A (zh) * 2014-09-05 2018-01-12 应用材料公司 用于epi腔室的注射插件
TWI674331B (zh) * 2014-09-05 2019-10-11 美商應用材料股份有限公司 用於epi腔室的注射插件
CN107574424B (zh) * 2014-09-05 2019-10-25 应用材料公司 用于epi腔室的注射插件
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
CN112063997A (zh) * 2015-03-25 2020-12-11 应用材料公司 用于外延生长装置的腔室部件
US11441236B2 (en) 2015-03-25 2022-09-13 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
CN110998793A (zh) * 2017-08-25 2020-04-10 应用材料公司 用于外延沉积工艺的注入组件
CN110998793B (zh) * 2017-08-25 2023-09-05 应用材料公司 用于外延沉积工艺的注入组件

Also Published As

Publication number Publication date
US20120240853A1 (en) 2012-09-27
WO2012128783A1 (en) 2012-09-27
US9695508B2 (en) 2017-07-04
US8980005B2 (en) 2015-03-17
CN103430285B (zh) 2016-06-01
TW201250052A (en) 2012-12-16
TWI551720B (zh) 2016-10-01
JP5837178B2 (ja) 2015-12-24
JP2014514744A (ja) 2014-06-19
KR101884003B1 (ko) 2018-07-31
US20150176123A1 (en) 2015-06-25
KR20140018939A (ko) 2014-02-13

Similar Documents

Publication Publication Date Title
CN103430285A (zh) 用于化学气相沉积腔室的衬里组件
US7976634B2 (en) Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US5525157A (en) Gas injectors for reaction chambers in CVD systems
US9644267B2 (en) Multi-gas straight channel showerhead
US20040175893A1 (en) Apparatuses and methods for forming a substantially facet-free epitaxial film
US20090095222A1 (en) Multi-gas spiral channel showerhead
WO2009052002A1 (en) Multi-gas concentric injection showerhead
US8147614B2 (en) Multi-gas flow diffuser
CN107690487A (zh) 用于半导体外延生长的注射器
KR20190108176A (ko) 딥 트렌치에서의 저온 선택적 에피택시를 위한 방법 및 장치
WO2011044451A2 (en) Multi-gas centrally cooled showerhead design
KR20030090726A (ko) 필름을 에피택셜 증착시키기 위한 시스템 및 방법
WO2005059981A1 (ja) 気相成長装置およびエピタキシャルウェーハの製造方法
US20110100554A1 (en) Parallel system for epitaxial chemical vapor deposition
KR20230026483A (ko) 선택적 에피택셜 증착과 함께 원자 수소 라디칼들을 사용하는 장치들, 시스템들 및 방법들
JP6629248B2 (ja) エピタキシャルチャンバへのガス注入装置
US20220352006A1 (en) Susceptors with film deposition control features
KR20150126776A (ko) 퇴적 시에 반응물질로서 할로겐 분자들을 이용하여 에피택셜 막에서의 도펀트 혼입을 강화하는 방법
US10607837B2 (en) Gas flow control for EPI thickness uniformity improvement
WO2024085972A1 (en) Improved channel uniformity horizontal gate all around device
KR20230125028A (ko) 반도체 웨이퍼 반응기에서의 복사열 캡을 위한 시스템및 방법들
KR20120090349A (ko) 화학기상증착장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant