TWI551720B - 用於化學氣相沉積腔室之襯套組件 - Google Patents

用於化學氣相沉積腔室之襯套組件 Download PDF

Info

Publication number
TWI551720B
TWI551720B TW100126647A TW100126647A TWI551720B TW I551720 B TWI551720 B TW I551720B TW 100126647 A TW100126647 A TW 100126647A TW 100126647 A TW100126647 A TW 100126647A TW I551720 B TWI551720 B TW I551720B
Authority
TW
Taiwan
Prior art keywords
bushing
module
assembly
chamber
outlets
Prior art date
Application number
TW100126647A
Other languages
English (en)
Other versions
TW201250052A (en
Inventor
卡爾森大衛基斯
沙米爾銘麥(杜葛)
妙尼O
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201250052A publication Critical patent/TW201250052A/zh
Application granted granted Critical
Publication of TWI551720B publication Critical patent/TWI551720B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes

Description

用於化學氣相沉積腔室之襯套組件
本發明之實施例大體而言係關於使用於半導體製造製程之沉積腔室中的模組襯套組件。
因用於先進邏輯和DRAM裝置,還有其他裝置的新應用,含矽薄膜之磊晶成長變得非常重要。該等應用的重點需求為低溫/低壓製程,使得裝置特徵結構將不會於製造裝置的期間受損。包含矽之選擇和毯覆(如,非選擇地生成)的磊晶薄膜,及該等磊晶薄膜的應變實施例(該等磊晶薄膜於最大約900℃至低於約700℃的溫度生成)係為當前許多半導體應用所需。該等較低的溫度製程不僅對形成合適運作之裝置重要,且此低溫製程最小化或防止亞穩應變層(metastable strain layer)的弛緩,幫助防止或最小化摻質擴散,並幫助最小化於磊晶薄膜結構內的摻質分離。抑制晶面形成和最短通道效應(由低溫製程(如,低熱預算製程)所造成)為獲得高效能裝置的重要因素。
於以沉積磊晶層於基材上之典型LPCVD製程中,前驅物係藉由氣體分配組件而注入腔室中的製程區域,且前驅物於腔室中基材的表面上藉由於製程區域中之前驅物的輻射而激發,該輻射為典型低波長輻射(如,於紫外線及/或紅外線光譜中)。亦可使用電漿產生以分離反應物。為達到更有效率的前驅物分離製程,期望於輸送前驅物至製程區域前先預熱前驅物,以更快速及更有效率地於基材上分離前驅物。然而,環繞製程區域和前驅物注入區域之腔室主體係由金屬材料(如,不鏽鋼)所製成,且使用於LPCVD製程中之一些前驅物係與該等金屬材料反應。因此,該等材料為潛在汙染源,潛在汙染源會損害腔室部件並於基材上產生微粒汙染。
為防止與腔室主體的非所欲反應,使用襯套以將一些金屬腔室部件屏蔽於製程區域外。然而,習知襯套係成本高昂且替換困難並耗時。此外,習知襯套並未令人滿意地執行較新規定之可允許汙染層級。此外,習知襯套係用以屏蔽腔室部件且並未通常使用習知襯套以提供不同的前驅物入口及/或排氣方案。此外,習知襯套並非為促進輕易替換一或更多襯套以與現存襯套使用之模組部件。於一些情況下,一個習知襯套部件的替換需要製造整個新的襯套部件。所有該等因素可增加裝置汙染及/或導致重大的腔室停機,而該等情形增加工具所有者及由工具所產生之裝置的成本。
因此,需要用於襯套組件之設備及方法,該襯套組件係易於替換且經配置以於不同製程使用而無須腔室的重大停機,因而減少所有者的成本。
於此所述之實施例係關於一種用於對腔室內之製程區域形成襯套的設備和方法。於一實施例中,提供一種用於基材製程腔室之模組襯套組件。該模組襯套組件包含:第一襯套和第二襯套,該第一襯套和該第二襯套之每一者包括一環形主體,該環形主體經調整尺寸以容納於腔室之製程容積中;及至少一第三襯套,該第三襯套包括一主體,該主體通過該第一襯套和該第二襯套而延伸,該第三襯套具有設置於該製程容積中之第一端和設置於該腔室外側的第二端。
於另一實施中,提供一種用於基材製程腔室之模組襯套組件。該模組襯套組件包括:第一襯套和第二襯套,該第一襯套和該第二襯套之每一者包括一環形主體,該環形主體具有形成於該環形主體中之複數個凹部;及至少一第三襯套,該第三襯套包括一主體,該主體係容納於並至少部分接觸該複數個凹部的一部分。
於另一實施中,提供一種用於半導體製程腔室之製程套組。該製程套組包括:複數個襯套,該複數個襯套適於在腔室的製程容積中彼此模組地耦接。該複數個襯套包括:第一襯套和第二襯套,該第一襯套和該第二襯套之每一者包括一環形主體,該環形主體具有形成於該環形主體中之複數個凹部;及至少一第三襯套,該第三襯套包括一主體,該主體係容納於並至少部分接觸該複數個凹部的一部分。
第1A圖為腔室100之概要側截面圖。腔室100可用於沉積(如,磊晶沉積),儘管腔室100可用於蝕刻或其他製程。腔室100可增加至CENTURA積體製程系統,該CENTURA積體製程系統可由美國加州之應用材料公司所取得。腔室100包含由製程阻抗材料(如,鋁或不銹鋼(如,316 L不鏽鋼))所製成的外殼結構102。外殼結構102包圍製程腔室100的各種功能元件,如石英腔室104,該石英腔室104包含上腔室106和下腔室108,於石英腔室104中包含有製程容積110。基材支撐件112係適用以於石英腔室104內接收基材114,該基材支撐件112由陶瓷材料或塗佈有矽材料(如,碳化矽)之石墨材料所製成。由前驅物反應材料之反應物質係施加至基材114的表面116,且副產物可接著從表面116移除。加熱基材114及/或製程容積110可由輻射源(如,上燈模組118A和下燈模組118B)而提供。於一實施例中,上燈模組118A和下燈模組118B為紅外線燈。由燈模組118A和118B散發的輻射行經上腔室106的上石英視窗120,和下腔室108之下石英視窗122。若需要,用於上腔室106之冷卻氣體經由入口124而進入且經由出口126而離開。
藉由氣體分配組件128而提供反應物質至石英腔室104,且藉由通常與真空源(未圖示)連通之排氣組件130而從製程容積110移除製程副產物。用於腔室100之前驅物反應材料和稀釋液、沖洗和排出氣體經由氣體分配組件128而進入且經由排氣組件130而離開。
腔室100亦包含複數個襯套132A-132H(僅於第1圖中圖示襯套132A-132G)。該等襯套132A-132H將製程容積110由環繞製程容積110之金屬壁134屏蔽。金屬壁134可為鋁或不銹鋼。金屬壁134可與前驅物反應並導致於製程容積110中的汙染。於一實施例中,襯套132A-132H包括覆蓋所有金屬部件的製程套組,該金屬部件可與製程容積110連通或曝露至製程容積110。
下襯套132A係設置於下腔室108中。上襯套132B係設置成至少部分於下腔室108中且鄰近下襯套132A。排氣嵌入襯套組件132C係設置鄰近於上襯套132B。於第1圖中,排氣嵌入襯套132D係設置鄰近於排氣嵌入襯套組件132C且排氣嵌入襯套132D可取代上襯套132B的一部分以促進安裝。注入襯套132E係圖示於製程容積110的一側上,該側相對排氣嵌入襯套組件132C和排氣襯套132D。注入襯套132E經配置作為歧管以提供一或更多流體(如,氣體或氣體的電漿)至製程容積110。一或更多流體係藉由注入嵌入襯套組件132F而提供至注入襯套132E。阻擋襯套132G係耦接至第一氣源135A和可選的第二氣源135B,並且阻擋襯套132G提供氣體至注入嵌入襯套組件132F和提供氣體至開口136A和136B, 該開口136A和136B形成於注入襯套132E中。
一或更多氣體係由第一氣源135A和第二氣源135B經由阻擋襯套132G、注入嵌入襯套組件132F和經由一或更多開口136A和136B而提供至製程容積110,該一或更多開口136A和136B形成於注入襯套132E中。形成於注入襯套132E中之一或更多開口136A和136B係耦接至出口,該等出口經配置以用於層流路徑133A或噴射流路徑133B。每一個流路徑133A、133B係經配置以流過軸A'至排氣襯套132D。軸A'係實質垂直於腔室100的縱軸A"。流路徑133A、133B流進形成於排氣襯套132D中之氣室137並結束於排氣流路徑133C中。氣室137係耦接至排氣或真空泵(未圖示)。於一實施例中,氣室137係耦接至歧管139,該歧管139導引排氣流路徑133C於一方向中,該方向實質平行於軸A”。然而,歧管139可適於沿一方向引導排氣流路徑133C,所述方向實質平行於軸A’。至少注入嵌入襯套組件132F可設置成通過注入蓋129並至少部分由注入蓋129而支撐。注入蓋129可由金屬材料所製成並藉由一或更多緊固件而耦接至腔室100,以易於移除和安裝。
第1B圖為旋轉90度之第1A圖的腔室100之概要側截面圖。所有部件與第1A圖中所述之腔室100相似,為簡潔之目的而將不再於此說明。於第1B圖中,流量閥襯套132H係圖示經由腔室100之金屬壁134而設置。此外,於第1B圖中所示之旋轉視圖中,上襯套132B係圖 示鄰近下襯套132A,而非第1A圖中所示之注入襯套132E。於第1B圖中所示之旋轉視圖中,上襯套132B係圖示鄰近位於相對流量閥襯套132H之腔室100的該側上之下襯套132A,而非第1A圖中所示之排氣襯套132D。於第1B圖中所示之旋轉視圖中,上襯套132B覆蓋上腔室106之金屬壁134。上襯套132B亦包含向內延伸肩部138。向內延伸肩部138形成唇部,該唇部支撐環形預熱環140,該環形預熱環140將前驅物氣體限制於上腔室106中。
第2圖為包括圖示於第1A和1B圖中之一或更多襯套132A-132H的製程套組200之一實施例的立體圖。襯套132A-132H係模組化且適於單獨地或全部地替換。襯套132A-132H為低成本且因為模組設計之故而提供額外的彈性和成本節省。舉例而言,一或更多襯套132A-132H可以適於不同製程之另一個襯套而取代,而無須替換其他的襯套132A-132H。因此,襯套132A-132H促進將腔室100配置用於不同製程,而無須替換所有的襯套132A-132H。此導致時間和成本的節省,此節省降低腔室的停機時間和所有者的成本。
製程套組200包括下襯套132A和上襯套132B。下襯套132A和上襯套132B兩者一般包含圓柱外徑201,該外徑201經調整尺寸以容納於第1A和1B圖中之腔室100。襯套132A-132H之每一者係經配置以藉由重力及/或內鎖裝置(如,形成於一些襯套132A-132H之中或一些 襯套132A-132H之上的突出部和匹配凹部)而支撐於腔室內。下襯套132A和上襯套132B之內部表面203形成製程容積100的一部分。上襯套132B包含切除部202A和202B,該等切除部202A和202B經調整尺寸以接收圖示於第1A圖之截面中的排氣襯套132D和注入襯套132E。切除部202A、202B之每一者界定上襯套132B的凹部區域204,該上襯套132B的凹部區域204鄰近向內延伸肩部138。
於此實施例中,每個注入嵌入襯套組件132F和排氣嵌入襯套組件132C包括兩區域。注入嵌入襯套組件132F包含藉由阻擋襯套132G而於一側耦接之第一區域206A和第二區域206B。同樣地,排氣嵌入襯套組件132C包含第一區域208A和第二區域208B。注入嵌入襯套組件132F之區域206A和206B的每一者經由阻擋襯套132G而由第一氣源135A和第二氣源135B接收氣體。氣體流經注入嵌入襯套組件132F並行進至於注入襯套132E中之複數個第一出口210A和複數個第二出口210B。於一態樣中,注入嵌入襯套組件132F和注入襯套132E包括氣體分配歧管襯套。因此,由第一氣源135A和第二氣源135B流出之氣體係分別流進製程容積110。每一氣體可於離開出口210A、210B之前、期間和之後分離,並流過製程容積110以沉積於基材(未圖示)上。於沉積後分離之前驅物殘餘係流進排氣嵌入襯套組件132C並被排出。
襯套132A-132H之每一者係由石英或其他製程阻抗材料所製成。襯套132A-132H之每一者可依所欲製程而為透明或不透明。舉例而言,可使用透明石英以允許由燈模組118A、118B所發出的光學能源通過透明石英以幫助腔室100之溫度控制及/或前驅物氣體的狀態。於一實例中,一或更多襯套132A-132H可不透明以吸收由燈模組118A、118B所發出的光學能源。於另一實例中,注入襯套132E及/或排氣嵌入襯套組件132C可為透明石英以允許光學能源對前驅物氣體產生作用。可使用光學能源以預熱前驅物氣體、分離前驅物氣體,及/或維持前驅物氣體的分離溫度。於一態樣中,可利用光學能源以加熱前驅物氣體,導致前驅物氣體於離開出口210A、210B前在注入襯套132E內分離,該光學能源經由注入襯套132E之透明石英材料所提供。排氣嵌入襯套組件132C亦可由透明石英所製成,以允許光學能源對前驅物氣體於氣體排出時產生作用。因此,可基於熱的考量而選擇使用透明石英或不透明石英於任何襯套132A-132H。此外,任何透明之襯套132A-132H之一者或結合可以不透明襯套所取代,或反之亦然,而無須替換其他襯套132A-132H。此提供將腔室配置用於不同氣體及/或製程而無須替換全部襯套132A-132H的簡便適應性。
襯套132A-132H可藉由將上石英視窗120從腔室100之金屬壁124移除以進入上腔室106和下腔室108而安裝及/或進入於第1A圖中之腔室100內。於一實施例中, 至少一部分的金屬壁134可移除以促進襯套132A-132H的替換。阻擋襯套132G係與注入蓋129耦接,該注入蓋129可緊固至腔室100的外部。下襯套132A係安裝於下腔室108中,該下襯套132A包含一內徑,該內徑大於基材支撐件112之水平尺寸。下襯套132A可安置於下石英視窗122上。於下襯套132A置放於下石英視窗122上後,可安裝排氣嵌入襯套組件132C、注入嵌入襯套組件132F和流量閥襯套132H。注入嵌入襯套組件132F可與阻擋襯套132G耦接以促進由第一氣源135A和第二氣源135B之氣流。於安裝排氣嵌入襯套組件132C、注入嵌入襯套組件132F和流量閥襯套132H後,可安裝上襯套132B。於此時,環形預熱環140可置於上襯套132B之向內延伸肩部138上。注入襯套132E可安裝於形成於上襯套132B中之孔內並與注入嵌入襯套組件132F耦接,以促進由注入嵌入襯套組件132F之氣流流至注入襯套132E。排氣襯套132D可於孔內的排氣嵌入襯套組件132C上安裝,該孔相對注入襯套132E而形成於上襯套132B中。襯套132A-132H可連續地依上述順序安裝亦可依反向順序而連續地移除。於一些實施例中,注入襯套132E可以經配置以用於不同氣流方案之另一注入襯套取代。因此,注入襯套132E之替換僅需從腔室100之金屬壁134移除上石英視窗120。同樣地,排氣嵌入襯套組件132C可以經配置以用於不同排氣氣流方案之另一排氣嵌入襯套組件取代。排氣嵌入襯套組件132C之替換 僅需移除上石英視窗120和排氣嵌入襯套組件132C。此外,任何襯套132A-132H之透明襯套可藉由僅移除所選擇之襯套和任何中間的襯套而替換成不透明之襯套。
第3A圖為第2圖之下襯套132A和上襯套132B的爆炸立體圖。上襯套132B包含上表面300A和下表面300B。上襯套132B之上表面300A之至少一部分係適於鄰近或與上石英視窗120(圖示於第1A圖中)接觸。上襯套132B之上表面300A亦包含形成於切除部202A和202B間的一或更多凹部305A、305B。於一實施例中,凹部305A係與凹部305B相對或實質差異180度。凹部305A接收排氣嵌入襯套組件132C(圖示於第2圖中)的一部分,同時凹部305B接收注入嵌入襯套組件132F及/或注入襯套132E(圖示於第2圖中)的一部分。上襯套132B之下表面300B亦包含壁310A-310C。至少壁310B和310C延伸出形成於下表面300B中之凹部305D的平面。壁310A、310B之外表面312A、312B係經配置以於下襯套132A之切除部315A和315B間接收及/或與下襯套132A之切除部315A和315B接觸。上襯套132B包含形成於壁310A和310B間之下表面300B中的凹部305C。凹部305C接收於壁310A、310B間之內部表面間的流量閥襯套132H(圖示於第2圖中)之一部分。於一實施例中,凹部305C係實質垂直凹部305A及/或凹部305B。
下襯套132A包含上表面300C和下表面300D。下襯 套132A之下表面300D的至少一部分係適於鄰近或與下石英視窗122(圖示於第2圖中)接觸。至少一凹部形成於上表面300C中。第一凹部320A係形成於切除部315A和315B間,且第二凹部320B係形成於切除部315B和315C間。凹部320A係設置位於下襯套132A之凹部320B和上表面300C的平面下。凹部320A包含通道325,該通道325經配置作為內鎖裝置的一部分。通道325係適於接收形成於流量閥襯套132H(未圖示)上的凸起特徵結構,以如介於下襯套132A和流量閥襯套132H間的內鎖裝置運作。於一實施例中(未圖示),上襯套132B之凹部305C包含與通道325結構和功能類似的通道。因此,當製程套組200安裝及/或使用時,流量閥襯套132H可固定地耦接至下襯套132A和上襯套132B。
於一實施例中,下襯套132A可包括第一襯套,且上襯套132B可包括第二襯套,且排氣嵌入襯套組件132C、流量閥襯套132H、注入嵌入襯套組件132F和注入襯套132E(全部圖示於第2圖中)之一者或結合可包括第三襯套。
第3B圖為第2圖之流量閥襯套132H的立體圖。流量閥襯套132H包含主體330,該主體330具有第一端332和第二端334。第一端332包含表面336,該表面336具有一半徑。於一實施例中,表面336具有一半徑,該半徑實質匹配下襯套132A和上襯套132B之內部表面203(第2圖)的內徑。於一態樣中,表面336係與製程容 積110(第1A和1B圖)連通,同時第二端334係設置於製程容積110的外側。主體330亦包含分隔之側壁340A和側壁340B以形成基材移送槽338。儘管基材移送槽338可經配置以用於其他基材尺寸,基材移送槽338係用以使用機器人葉片(未圖示)而移送200mm或300mm之基材。
於此實施例中,側壁340A、340B兩者包含於第3A圖中所述形成內鎖裝置之部分的凸起特徵結構342A、342B。舉例而言,設置於側壁340B上之凸起特徵結構342B(以虛線圖示)可為一或更多突片或突出部,該一或更多突片或突出部經使用以與形成於第2圖之下襯套132A中的通道325接合。側壁340A可包含凸起特徵結構342A,該凸起特徵結構342A與配置於第2圖之上襯套132B上的通道耦接。於一實施例中,凸起特徵結構342A、342B之每一者係配置於與表面336之半徑實質類似之半徑上。
第3C圖為第2圖之排氣襯套132D的立體圖。排氣襯套132D經配置以充填排氣嵌入襯套組件132C之上表面和上石英視窗120(圖示於第1A圖)間的空間。排氣襯套132D包含主體344。主體344包含外表面346、向內延伸壁348、側壁350A、350B和內表面352。外表面346之底緣354經配置以接觸排氣嵌入襯套組件132C之至少一部分。向內延伸壁348係適於鄰近或接觸於上石英視窗120(圖示於第1A圖)。側壁350A和350B係適於鄰近 或接觸於上襯套132B之切除部202A(第2圖)。當製程套組200安裝或使用時,排氣襯套132D經配置以至少部分地支撐排氣嵌入襯套組件132C。
第3D圖為第2圖之排氣嵌入襯套組件132C的立體圖。排氣嵌入襯套組件132C包含第一區域208A和第二區域208B。區域208A、208B之每一者包含主體355。每一主體355包含第一端356A和第二端356B。第一端356A包含形成於半徑上之表面358。於一實施例中,表面358具有一半徑,該半徑實質匹配下襯套132A和上襯套132B之內部表面203(第2圖)的內徑。於一態樣中,當第二端356B設置於製程容積110外側時,表面358係與製程容積110(第1A和1B圖)連通。於一實施例中,第一區域208A和第二區域208B係於形狀和尺寸實質相似。於一態樣中,第一區域208A為第二區域208B之鏡像。氣室362藉由主體355之壁364A-364E而形成。氣室362係適於在第一端356A接收排出氣體並將排出氣體流至第二端356B。埠365係形成於第二端356B中。埠365係適於耦接至排氣裝置(如,真空泵366)。於一些實施例中,間隙360係形成於第一區域208A和第二區域208B間。於其他實施例中,第一區域208A和第二區域208B係藉由如一或更多通道357而流體連通,該等通道357促進排出氣體於第一區域208A和第二區域208B間流動。
第4圖為圖示於第1A圖中具有第2圖之注入嵌入襯套 組件132F、阻擋襯套132G和注入襯套132E的實施例之氣體分配組件128的立體圖。於注入襯套132E之此實施例中,經配置以分配氣體之氣體分配歧管襯套400係圖示耦接至注入嵌入襯套組件132F。氣體分配歧管襯套400經配置以可與其他氣體分配歧管襯套互換。氣體分配歧管襯套400係易於與注入嵌入襯套組件132F耦接及分離以促進替換的簡便。
由第一氣源135A和第二氣源135B流出之製程氣體係流經注入蓋129。注入蓋129包含多個氣體通道,該多個氣體通道耦接至形成於阻擋襯套132G中的埠(未圖示)。於一實施例中,燈模組405可設置於注入蓋129中以於注入蓋129中預熱前驅物氣體。具有多個氣體通道之注入蓋的實例係描述於美國專利公開案第2008/0210163號(公開於2008年9月4日),在此以引用該公開案全文之方式而併入本文。
阻擋襯套132G包含將氣體流進注入嵌入襯套組件132F之導管(未圖示)。注入嵌入襯套組件132F包含導引氣體至氣體分配歧管襯套400之第一出口210A和第二出口210B的埠。於一實施例中,由該第一氣源135A和第二氣源135B流出之氣體保持分離,直到氣體離開第一出口210A和第二出口210B。於一態樣中,氣體係於注入蓋129和一或更多阻擋襯套132G、注入嵌入襯套組件132F和氣體分配歧管襯套400內預熱。氣體的預熱可藉由於注入蓋129上之燈模組405、上燈模組118A和下燈 模組118B(上燈模組118A和下燈模組118B皆圖示於第1A圖中)的一者或結合而提供。阻擋襯套132G、注入嵌入襯套組件132F和氣體分配歧管襯套400之一者或結合可包含透明石英或不透明石英,以促進於注入蓋129上之燈模組405、上燈模組118A或下燈模組118B所產生之加熱。於一態樣中,氣體經由於注入蓋129上之燈模組405、上燈模組118A及/或下燈模組118B所發出的能量而加熱,使得氣體於離開第一出口210A和第二出口210B之前分離或離子化。依據使用於第一氣源135A和第二氣源135B之製程氣體的分離溫度,當離開氣體分配歧管襯套400時,僅該等氣體的一種氣體可離子化,而其他加熱之氣體於離開氣體分配歧管襯套400時保持氣體形式。
第5A圖為第4圖之注入蓋129、阻擋襯套132G、注入嵌入襯套組件132F和氣體分配歧管襯套400之立體截面圖。於一態樣中,阻擋襯套132G、注入嵌入襯套組件132F和氣體分配歧管襯套400之每一者經配置作為分配由第一氣源135A和第二氣源135B所流出之氣體的歧管。於一實施例中,注入蓋129為第一歧管,該第一歧管具有耦接至第一氣源135A之第一氣體通道500A和耦接至第二氣源135B之第二氣體通道500B。注入蓋129亦包含多個腔室(如,第一腔室505A和第二腔室505B)。第一腔室505A和第二腔室505B係與第一氣體通道500A和第二氣體通道500B分別流體連通。
由第一氣源135A所流出之第一氣體係由第一腔室505A經由於注入蓋129內之第一導管510A而流動。第一導管510A與設置於阻擋襯套132G中之第一孔515A流體連通。第一氣體接著經由第一孔515A流進注入嵌入襯套組件132F,該注入嵌入襯套組件132F經配置作為第二歧管。注入嵌入襯套組件132F包含第一氣體通道520A。注入嵌入襯套組件132F包含具有縱軸之通道525A,該通道525A之縱軸係與第一氣體通道520A之縱軸實質正交。第一氣體由通道525A流進氣體分配歧管襯套400,該氣體分配歧管襯套400經配置作為第三歧管。氣體分配歧管襯套400包含與噴嘴535流體連通之第一氣室530A。於一實施例中,噴嘴535經調整成尺寸而較小於第一氣室530A,以限制通過氣體分配歧管襯套400之氣流。因此,第一氣體以高速離開第一出口210A。
第5B圖為第5A圖之注入蓋129、阻擋襯套132G、注入嵌入襯套組件132F和氣體分配歧管襯套400之另一立體截面圖。由第二氣源135B之第二氣體係由第二腔室505B經由於注入蓋129內之第二導管510B而流動。第二導管510B與設置於阻擋襯套132G中之第二孔515B流體連通。第二氣體接著經由第二孔515B流進注入嵌入襯套組件132F。注入嵌入襯套組件132F包含第二氣體通道520B。注入嵌入襯套組件132F包含具有一縱軸之通道525B,該通道525B之縱軸係與第二氣體通道520B之縱軸實質正交。第二氣體由通道525B流進氣體分配歧 管襯套400。氣體分配歧管襯套400包含開口入第二出口210B之第二氣室530B。第二氣室530B經調整成尺寸以防止限制並於氣體離開第二出口210B時提供層流之第二氣體。因此,第二氣體以一速度離開第二出口210B,該速度遠低於第一氣體離開第一出口210A時之速度,以提供層流。
第5C圖為第4圖之氣體分配組件128之概要上視截面圖。於一實施例中,氣體分配組件128經配置以於多個區域中流動氣體至製程容積110。注入蓋129包含複數個第一腔室505A(以虛線圖示)和複數個第二腔室505B。於此實施例中,兩個第一腔室505A係配置於注入蓋129之中央區域中的第一腔室505A之側向外側。於注入蓋129之中央區域中的第一腔室505A界定內區域A,而兩個第一腔室505A界定外區域A。該等第一腔室505A之每一者係與設置於注入嵌入襯套組件132F中之複數個第一通道525A流體連通。第一氣體之流率可於每一第一腔室505A內受到控制,且流至複數個第一通道525A。氣體由第一腔室505A流至通道525A,並接著經由於氣體分配歧管襯套400中之開口210A(未圖示於此圖)而散發。
同樣地,注入蓋129包含兩個第二腔室505B,該兩個第二腔室505B係配置於注入蓋129之中央區域中的第二腔室505B之側向外側。於注入蓋129之中央區域中的第二腔室505B界定內區域B,而兩個第二腔室505B界定 外區域B。該等第二腔室505B之每一者係與設置於注入嵌入襯套組件132F中之複數個通道525B流體連通。第二氣體之流率可於每一第二腔室505B內受到控制,且流至複數個通道525B。於一態樣中,內區域A和內區域B之每一者係水平地或側向地間隔開來以界定注入區域。於一實施例中,如圖所示,每一通道525A於每一區域206A、206B內與通道525B交替。可改變氣體分配組件128之結構使得通道525A和525B於不同之配置中鄰近彼此或成群。
第6A圖為第4圖中所示注入嵌入襯套組件132F之區域206A的立體圖。注入嵌入襯套組件132F之區域206B係實質類似於區域206A且為簡潔之目的而將不於此說明。區域206A包含主體600,該主體600具有上表面605、次要側610A和主要側610B。主體600亦包含介於次要側610A和主要側610B間的第一表面615A和第二表面615B。當安裝時,第一表面615A係於腔室100之外殼結構102(第1A圖)的外側,而第二表面615B係於腔室100之外殼結構102內。因此,區域206A之第二表面615B係於製程期間與製程容積110接觸,而第一表面615A和鄰近第一表面615A之主體600的部分係於環境條件中。
次要側610A和主要側610B之每一者包含一長度。主要側610B之長度大於次要側610A之長度。第一表面615A係平坦,而第二表面615B係由弧形所表示,此種 形狀給了區域206A之主體600一個“排笛”(pan flute)形。主體600亦包含複數個內鎖裝置620,該複數個內鎖裝置620形成於主體600中、設置於主體600上或由主體600突出。於一實施例中,內鎖裝置620之至少一部分包括由主體600延伸之突出部625A,此突出部625A係適於作為公界面。內鎖裝置620之至少一部分包括形成於主體中的凹陷部625B,且凹陷部625B係適於作為母界面。內鎖裝置620可用作索引結構以及匹配界面,該索引結構以及匹配界面允許將製程套組200之元件耦接與解耦。內鎖裝置620亦包含通道525A和525B、第一氣體通道520A和第二氣體通道520B。可利用內鎖裝置620作為密封物以防止由通道525A、525B和通道520A、520B處洩漏或於通道525A、525B和通道520A、520B間洩漏。內鎖裝置620可為圓形(如,突出部625A)、多邊形(如,凹陷部625B)或上述形狀之結合。設置於第一表面615A上之內鎖裝置620係適於與設置於阻擋襯套132G上之匹配內鎖裝置620耦接。設置於上表面605上之內鎖裝置620係適於與設置於氣體分配歧管襯套400(未圖示)上之對應內鎖裝置620耦接並促進安裝。
第6B圖為第4圖之阻擋襯套132G的立體圖。阻擋襯套132G包含主體630。主體630包含第一側635A和第二側635B。至少第一側635A包含複數個內鎖裝置620。第二側635B亦可包含複數個內鎖裝置620以促進將阻擋襯套132G耦接至注入蓋129(未圖示)。內鎖裝置620係 與第6A圖中所述之內鎖裝置620類似,並包含如圖所示之突出部625A、凹陷部625B(未圖示),或突出部625A和凹陷部625B之結合。內鎖裝置620可包含如圖所示之第一孔515A和第二孔515B。第一側635A之一部分係適於耦接至區域206A之第一表面615A。將區域206A安裝至阻擋襯套132G可藉由內鎖裝置620而促進。第一側635A之剩餘部分係適於耦接至區域206B(未圖示)。為促進區域206A和區域206B之耦接,主體630包含一長度,該長度係大於區域206A(第6A圖)之第一表面615A和區域206B(第4圖)之長度至少兩倍。
第7圖為可使用於第1A圖之腔室100中的製程套組200之一實施例的部分立體圖。製程套組200包含注入襯套132E之一實施例,以氣體分配歧管襯套700圖示,該氣體分配歧管襯套700可耦接至注入嵌入襯套組件132F。阻擋襯套132G係圖示介於注入蓋129和注入嵌入襯套組件132F之區域206A和206B之間。
氣體分配歧管襯套700包含雙區域注入能力,其中每一區域提供不同的流體特質(如,速度)。雙區域注入包括設置於垂直間隔之不同平面中的第一注入區域710A和第二注入區域710B。於一實施例中,注入區域710A和710B之每一者係間隔開來以形成上區域和下區域。第一注入區域710A包含複數個第一出口210A,且第二注入區域710B包含複數個第二出口210B。於一實施例中,每一第一出口210A係設置於氣體分配歧管襯套700之第 一表面720A中,而每一第二出口210B係設置於氣體分配歧管襯套700之第二表面720B中,該第二表面720B係由第一表面720A而凹陷。舉例而言,第一表面720A可形成於一半徑上,該半徑小於使用以形成第二表面720B之半徑。
於一實施例中,注入區域710A和710B係適於提供不同的流體流動路徑,於該等不同的流動路徑處,流量指標(如,流體速率)可為不同。舉例而言,第一注入區域710A之第一出口210A以較高的速度提供流體以形成噴射流路徑133B,而第二注入區域710B之第二出口210B提供層流路徑133A。層流路徑133A和噴射流路徑133B可藉由氣體壓力、出口210A、210B之尺寸、設置於出口210A、210B和腔室505A、505B(圖示於第5A和5B圖中)間的導管尺寸(如,截面尺寸及/或長度)和角度及/或設置於出口210A、210B和腔室505A、505B間的導管中的彎曲數目的一者或結合而提供。當流體進入製程容積110時,流體的速度亦可藉由前驅物氣體之絕熱膨脹所提供。
於一態樣中,由第一注入區域710A和第二注入區域710B所提供之雙注入促進用於不同氣體的注入層級的變化。於一實施例中,第一注入區域710A和第二注入區域710B係間隔開來於不同的平面而於基材114之表面116(皆圖示於第1A圖)上以不同的垂直距離提供前驅物至製程容積110(圖示於第1A圖)。此垂直間隔藉由依賴 可能使用之一些氣體的絕熱膨脹而提供增強的沉積參數。
第8圖為可使用於第1A圖之腔室100中的製程套組200之另一實施例的部分立體圖。製程套組200係與第7圖中所示之製程套組200類似,除了圖示作為氣體分配歧管襯套800之注入襯套132E的不同實施例。於此實施例中,第一出口210A和第二出口係設置於實質相同平面中。
第9圖為可使用於第1A圖之腔室100中的製程套組200之另一實施例的部分立體圖。製程套組200係與第7或8圖中所示之製程套組200類似,除了圖示作為氣體分配歧管襯套900之注入襯套132E的不同實施例。於此實施例中,氣體分配歧管襯套900包含由第一表面720A向內延伸之延伸構件905。延伸構件905包含第三表面910,該第三表面910較氣體分配歧管襯套900之第一表面720A和第二表面720B更進一步延伸進製程容積110。延伸構件905包含第一出口210A之一部分,而第一出口210A的剩餘部分係設置於氣體分配歧管襯套900之第一表面720A中。
由第一出口210A和第二出口210B所提供之流徑的一者或結合可使得遍布基材(未圖示)沉積均勻且均勻生成。於一實施例中,延伸構件905之第一出口210A係用以注入前驅物氣體,該等前驅物氣體較由第二出口210B所提供之前驅物具有較快分離之傾向。此提供延伸的流 徑以注入較快分離前驅物一較遠的距離且/或較接近基材114的中央。因此,由第一出口210A和第二出口210B兩者所提供之前驅物之結合遍布於基材114提供均勻分布和生成。
於操作之一實例中,形成矽(Si)和矽鍺(SiGe)或鎵(Ga)和砷(As)之毯覆或選擇薄膜之前驅物係由一或更多氣源135A和135B(圖示於第1A圖中)而提供至注入襯套132E。氣源135A、135B可包含矽前驅物(如,矽烷(包含矽甲烷(SiH4)、乙矽烷(Si2H6)、二氯矽烷(SiH2Cl2)、六氯乙矽烷(Si2Cl6)、二溴矽烷(SiH2Br2)、較高階矽烷、該等物質衍生物和該等物質結合)),氣源135A、135B可包含含鍺前驅物(如,GeH4、Ge2H6、GeCl4、GeH2Cl2、該等物質衍生物和該等物質結合)。氣源135A、135B亦可包含含鎵前驅物(如,三甲基鎵(Ga(CH3)3(TMGa))、磷化鎵(GaP)),及含砷前驅物(如,三氯化砷(AsCl3)、三氫化砷(AsH3)、叔丁基砷(tertiary-butyl arsine,TBA)、該等物質衍生物和該等物質結合)。含矽、含鍺、含鎵及/或含砷前驅物可與HCl、Cl2、HBr和該等物質結合而結合使用。氣源135A、135B可於氣源135A、135B之一者或兩者中包含有一或更多含矽、含鍺、含鎵及/或含砷前驅物。舉例而言,氣源135A可包含前驅物材料,如H2或Cl2,而氣源135B可包含含矽、含鍺、含鎵及/或含砷前驅物、該等物質衍生物或該等物質結合。於另一態樣中,氣源135A、135B可包含三族及五族氣體的一者或 結合。於另一態樣中,氣源135A、135B可包含叔丁基砷(tertiary-butyl arsine,TBA)及/或三甲基鎵(TMGa)。
氣源135A、135B可以一方式而耦接注入襯套132E,該方式經配置以促進於耦接至注入襯套132E之氣體分配組件128(圖示於第1A和5A-5C圖中)內的個別引入區域。舉例而言,氣體分配組件128可促進多個注入區域(如,圖示於第5C圖中之外區域A和B及內區域A和B)。氣體經由注入襯套132E而流至製程容積110並經由於一或更多平面之第一出口210A和第二出口210B而注入製程容積,該一或更多平面係實質平行於基材114之平面。此外,氣體可以不同速率流進製程容積110。成分氣體經由注入襯套132E而進入製程容積110且經由排氣嵌入襯套132C而離開,該等成分氣體經使用以清潔/鈍化基材表面或使用以於基材114上磊晶地生成而形成含矽薄膜或含鍺薄膜。
於一實施例中,由燈模組118A和118B所提供於製程容積100中的低波長輻射係使用以激發反應物質並幫助吸附反應物和由基材114之表面116分離製程副產物。結合所提供之各種波長之低波長輻射依據待磊晶成長之薄膜的成分而通常從約0.8微米(μm)至約1.2μm(如,約0.95μm至約1.05μm)變化。紫外線光源(未圖示)可取代燈模組118A和118B,或紫外線光源可結合燈模組118A和118B而使用。於一實施例中(未圖示),輻射藉由紫外線光源(如,準分子燈)而提供。
於製程容積110中之溫度可控制於約200℃至約600℃的溫度範圍內。於製程容積110中之壓力可介於約0.1Torr至約600Torr間(如,介於約5Torr至約30Torr間)。基材114表面116上之溫度可藉由對於下腔室108中之下燈模組118B做功率調整,或藉由同時對於上腔室106上之上燈模組118A及下腔室108下之下燈模組118B做功率調整而控制。於製程容積110中之功率密度可介於約40W/cm2至約400W/cm2(如,約80W/cm2至約120W/cm2)。
提供包含製程套組200之襯套組件,該製程套組200包括如第1A-9圖中所述之襯套132A-132H。襯套132A-132H為模組化且係適於單獨地或全部地替換。襯套132A-132H可由石英而製成,不管是透明的或不透明的,此選擇可利用以配置不同製程的腔室。襯套132A-132H之一或更多者可以適於不同製程之其他襯套取代,而無須替換其他襯套132A-132H。因此,襯套132A-132H促進配置用於不同製程的腔室100,而無須替換所有襯套132A-132H。因模組化設計之故,襯套132A-132H之成本係足以生產並提供額外的彈性和成本節省。此外,若襯套132A-132H之一者受損時,可提供單一替換之襯套而無須替換全部的襯套132A-132H。若有需要,襯套132A-132H可輕易地替換。可使用氣體分配歧管襯套400、700、800或900的各種額外實施例以促進進入製程容積110之流體的不同流動圖案。所有該 等因素導致時間和成本的節省,而時間和成本的節省降低腔室的停機時間和所有者的成本,並可使執行於腔室100中之製程具有彈性。
儘管前述部分針對本發明之實施例,本發明之其他或進一步的實施例可設計而不背離本發明之基本範圍,且本發明之範圍係由以下之請求項而決定。
A'‧‧‧軸
A"‧‧‧縱軸
100‧‧‧腔室
102‧‧‧外殼結構
104‧‧‧石英腔室
106‧‧‧上腔室
108‧‧‧下腔室
110‧‧‧製程容積
112‧‧‧基材支撐件
114‧‧‧基材
116‧‧‧表面
118A‧‧‧上燈模組
118B‧‧‧下燈模組
120‧‧‧上石英視窗
122‧‧‧下石英視窗
124‧‧‧入口
126‧‧‧出口
128‧‧‧氣體分配組件
129‧‧‧注入蓋
130‧‧‧排氣組件
132A‧‧‧下襯套
132B‧‧‧上襯套
132C‧‧‧排氣嵌入襯套
132D‧‧‧排氣襯套
132E‧‧‧注入襯套
132F‧‧‧注入嵌入襯套組件
132G‧‧‧阻擋襯套
132H‧‧‧流量閥襯套
133A‧‧‧層流路徑
133B‧‧‧噴射流路徑
133C‧‧‧排氣流路徑
134‧‧‧金屬壁
135A‧‧‧第一氣源
135B‧‧‧第二氣源
136A‧‧‧開口
136B‧‧‧開口
137‧‧‧氣室
138‧‧‧向內延伸肩部
139‧‧‧歧管
140‧‧‧環形預熱環
200‧‧‧製程套組
201‧‧‧外徑
202A‧‧‧切除部
202B‧‧‧切除部
203‧‧‧內部表面
204‧‧‧凹部區域
206A‧‧‧第一區域
206B‧‧‧第二區域
208A‧‧‧第一區域
208B‧‧‧第二區域
210A‧‧‧第一出口
210B‧‧‧第二出口
300A‧‧‧上表面
300B‧‧‧下表面
300C‧‧‧上表面
300D‧‧‧下表面
305A‧‧‧凹部
305B‧‧‧凹部
305C‧‧‧凹部
305D‧‧‧凹部
310A‧‧‧壁
310B‧‧‧壁
310C‧‧‧壁
312A‧‧‧外表面
312B‧‧‧外表面
315A‧‧‧切除部
315B‧‧‧切除部
315C‧‧‧切除部
320A‧‧‧凹部
320B‧‧‧凹部
325‧‧‧通道
330‧‧‧主體
332‧‧‧第一端
334‧‧‧第二端
336‧‧‧表面
338‧‧‧移送槽
340A‧‧‧側壁
340B‧‧‧側壁
342A‧‧‧凸起特徵結構
342B‧‧‧凸起特徵結構
344‧‧‧主體
346‧‧‧外表面
348‧‧‧向內延伸壁
350A‧‧‧側壁
350B‧‧‧側壁
352‧‧‧內表面
354‧‧‧底緣
355‧‧‧主體
356A‧‧‧第一端
356B‧‧‧第二端
357‧‧‧通道
358‧‧‧表面
360‧‧‧間隙
362‧‧‧氣室
364A‧‧‧壁
364B‧‧‧壁
364C‧‧‧壁
364D‧‧‧壁
364E‧‧‧壁
365‧‧‧埠
366‧‧‧泵
400‧‧‧氣體分配歧管襯套
405‧‧‧燈模組
500A‧‧‧第一氣體通道
500B‧‧‧第二氣體通道
505A‧‧‧第一腔室
505B‧‧‧第二腔室
510A‧‧‧第一導管
510B‧‧‧第二導管
515A‧‧‧第一孔
515B‧‧‧第二孔
520A‧‧‧第一氣體通道
520B‧‧‧第二氣體通道
525A‧‧‧第一通道
525B‧‧‧第二通道
530A‧‧‧第一氣室
530B‧‧‧第二氣室
535‧‧‧噴嘴
600‧‧‧主體
605‧‧‧上表面
610A‧‧‧次要側
610B‧‧‧主要側
615A‧‧‧第一表面
615B‧‧‧第二表面
620‧‧‧內鎖裝置
625A‧‧‧突出部
625B‧‧‧凹陷部
630‧‧‧主體
635A‧‧‧第一側
635B‧‧‧第二側
700‧‧‧氣體分配歧管襯套
710A‧‧‧第一注入區域
710B‧‧‧第二注入區域
720A‧‧‧第一表面
720B‧‧‧第二表面
800‧‧‧氣體分配歧管襯套
900‧‧‧氣體分配歧管襯套
905‧‧‧延伸構件
依本發明於上所列舉之特徵的方式可詳細地了解,本發明之更特定的說明(簡短概述於發明內容中)可參照實施例(該等實施例的一部分圖示於隨附的圖式中)而獲得。然而,應注意,隨附的圖式僅圖示本發明的典型實施例,且不因此被視為對本發明範圍之限制,因本發明可允許其他等效之實施例。
第1A圖為根據於本文所述之實施例的腔室之概要側截面圖。
第1B圖為旋轉90度之第1A圖的腔室之概要側截面圖。
第2圖為可使用於第1A圖之腔室中的製程套組之一實施例的立體圖。
第3A圖為第2圖之下襯套和上襯套的爆炸立體圖。
第3B圖為第2圖之流量閥襯套的立體圖。
第3C圖為第2圖之排氣襯套的立體圖。
第3D圖為第2圖之排氣嵌入襯套組件的立體圖。
第4圖為圖示於第1A圖中之氣體分配組件的立體圖。
第5A圖為第4圖之注入蓋、阻擋襯套、注入嵌入襯套組件和氣體分配歧管襯套之立體截面圖。
第5B圖為第4圖之注入蓋、阻擋襯套、注入嵌入襯套組件和氣體分配歧管襯套之另一立體截面圖。
第5C圖為第4圖之氣體分配組件之概要上視截面圖。
第6A圖為第4圖中所示注入嵌入襯套組件之一區域的立體圖。
第6B圖為第4圖之阻擋襯套的立體圖。
第7圖為可使用於第1A圖之腔室中的製程套組之另一實施例的部分立體圖。
第8圖為可使用於第1A圖之腔室中的製程套組之另一實施例的部分立體圖。
第9圖為可使用於第1A圖之腔室中的製程套組之另一實施例的部分立體圖。
為促進了解,儘可能使用相同的元件符號以指定共用於圖式之相同元件。應考量,於一實施例中所揭示之元件可有利地使用於其他實施例上而無需贅述。
110...製程容積
132A...下襯套
132B...上襯套
132C...排氣嵌入襯套
132D...排氣襯套
132E...注入襯套
132F...注入嵌入襯套組件
132G...阻擋襯套
132H...流量閥襯套
135A...第一氣源
135B...第二氣源
138...向內延伸肩部
200...製程套組
201...外徑
202A...切除部
202B...切除部
203...內部表面
204...凹部區域
206A...第一區域
206B...第二區域
208A...第一區域
208B...第二區域
210A...第一出口
210B...第二出口

Claims (33)

  1. 一種用於一基材製程腔室之模組襯套組件,該模組襯套組件包括:一第一襯套,該第一襯套包括一環形主體,該環形主體經調整尺寸以容納於一腔室之一製程容積中,該第一襯套具有兩個凹部形成於一切除部之間,該切除部係形成於該環形主體中;一第二襯套,該第二襯套包括一環形主體,該環形主體經調整尺寸以容納於該腔室之該製程容積中,該第二襯套接觸該第一襯套;及一第三襯套,該第三襯套包括一主體,該主體通過該第一襯套和該第二襯套而延伸,該第三襯套具有設置於該製程容積中之一第一端和設置於該腔室外側的一第二端,其中該兩個凹部之一者容納該第三襯套的一部分。
  2. 如請求項1之模組襯套組件,其中該第三襯套包括一氣體分配歧管。
  3. 如請求項2之模組襯套組件,其中該氣體分配歧管包括複數個第一通道和複數個第二通道。
  4. 如請求項3之模組襯套組件,其中該複數個第一通道 與該複數個第二通道交替。
  5. 如請求項3之模組襯套組件,其中該複數個第一通道提供一流量指標,該流量指標與該複數個第二通道所提供之一流量指標不同。
  6. 如請求項2之模組襯套組件,其中該氣體分配歧管包含複數個第一出口和複數個第二出口。
  7. 如請求項6之模組襯套組件,其中該複數個第一出口係設置於一第一平面中,且該複數個第二出口係設置於一第二平面中,該第二平面與該第一平面不同。
  8. 如請求項6之模組襯套組件,其中該複數個第一出口係形成於具有一第一半徑之該氣體分配歧管之一表面中,且該複數個第二出口係形成於具有一第二半徑之該氣體分配歧管之一表面中,該第二半徑與該第一半徑不同。
  9. 如請求項1之模組襯套組件,其中該第三襯套包括一排氣襯套。
  10. 一種用於一基材製程腔室之模組襯套組件,該模組襯套組件包括: 一第一襯套和一第二襯套,該第一襯套和該第二襯套之每一者包括一環形主體,該環形主體具有形成於該環形主體中之複數個凹部;及一第三襯套,該第三襯套包括一主體,該主體係容納於並至少部分接觸該複數個凹部的一部分。
  11. 如請求項10之模組襯套組件,其中該第三襯套包括一氣體分配歧管、一流量閥襯套或一排氣嵌入襯套組件之一者。
  12. 如請求項11之模組襯套組件,其中該氣體分配歧管包括複數個第一通道和複數個第二通道。
  13. 如請求項12之模組襯套組件,其中該複數個第一通道與該複數個第二通道交替。
  14. 如請求項12之模組襯套組件,其中該複數個第一通道提供一流量指標,該流量指標與該複數個第二通道所提供之一流量指標不同。
  15. 如請求項11之模組襯套組件,其中該氣體分配歧管包含複數個第一出口和複數個第二出口。
  16. 如請求項15之模組襯套組件,其中該複數個第一出 口係設置於一第一平面中,且該複數個第二出口係設置於一第二平面中,該第二平面與該第一平面不同。
  17. 如請求項15之模組襯套組件,其中該複數個第一出口係形成於具有一第一半徑之該氣體分配歧管之一表面中,且該複數個第二出口係形成於具有一第二半徑之該氣體分配歧管之一表面中,該第二半徑與該第一半徑不同。
  18. 如請求項10之模組襯套組件,其中該複數個凹部包括一第一凹部和一第二凹部。
  19. 如請求項18之模組襯套組件,其中該第一凹部係相對該第二凹部而設置。
  20. 如請求項18之模組襯套組件,其中該第一凹部係垂直於該第二凹部而設置。
  21. 如請求項10之模組襯套組件,其中該第一襯套和該第二襯套係設置於一腔室之一製程容積中,且該第三襯套之該主體包含設置於該製程容積中的一第一端和設置於該腔室外的一第二端。
  22. 如請求項10之模組襯套組件,其中該第三襯套包括 一不透明材料。
  23. 一種用於一半導體製程腔室之製程套組,該製程套組包括:複數個襯套,該複數個襯套適於在一腔室的一製程容積中彼此模組地耦接,該複數個襯套包括:一第一襯套和一第二襯套,該第一襯套和該第二襯套之每一者包括一環形主體,該環形主體具有形成於該環形主體中之複數個凹部;及至少一第三襯套,該第三襯套包括一主體,該主體係容納於並至少部分接觸該複數個凹部的一部分。
  24. 如請求項23之製程套組,其中該複數個凹部包括一第一凹部和一第二凹部。
  25. 如請求項24之製程套組,其中該第一凹部係相對該第二凹部而設置。
  26. 如請求項24之製程套組,其中該第一凹部係垂直於該第二凹部而設置。
  27. 如請求項23之製程套組,其中該第三襯套包括一氣體分配歧管、一流量閥襯套或一排氣嵌入襯套組件之 一者。
  28. 如請求項27之製程套組,其中該氣體分配歧管包括複數個第一通道和複數個第二通道。
  29. 如請求項28之製程套組,其中該複數個第一通道與該複數個第二通道交替。
  30. 如請求項28之製程套組,其中該複數個第一通道提供一流量指標,該流量指標與該複數個第二通道所提供之一流量指標不同。
  31. 如請求項23之製程套組,其中該氣體分配歧管包含複數個第一出口和複數個第二出口。
  32. 如請求項31之製程套組,其中該複數個第一出口係設置於一第一平面中,且該複數個第二出口係設置於一第二平面中,該第二平面與該第一平面不同。
  33. 如請求項31之製程套組,其中該複數個第一出口係形成於具有一第一半徑之該氣體分配歧管之一表面中,且該複數個第二出口係形成於具有一第二半徑之該氣體分配歧管之一表面中,該第二半徑與該第一半徑不同。
TW100126647A 2011-03-22 2011-07-27 用於化學氣相沉積腔室之襯套組件 TWI551720B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201161466413P 2011-03-22 2011-03-22

Publications (2)

Publication Number Publication Date
TW201250052A TW201250052A (en) 2012-12-16
TWI551720B true TWI551720B (zh) 2016-10-01

Family

ID=46876238

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100126647A TWI551720B (zh) 2011-03-22 2011-07-27 用於化學氣相沉積腔室之襯套組件

Country Status (6)

Country Link
US (2) US8980005B2 (zh)
JP (1) JP5837178B2 (zh)
KR (1) KR101884003B1 (zh)
CN (1) CN103430285B (zh)
TW (1) TWI551720B (zh)
WO (1) WO2012128783A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11807931B2 (en) 2018-08-29 2023-11-07 Applied Materials, Inc. Chamber injector

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101033123B1 (ko) * 2004-06-30 2011-05-11 엘지디스플레이 주식회사 액정표시장치의 제조를 위한 챔버형 장치
US8721835B2 (en) * 2010-03-29 2014-05-13 Koolerheadz Gas injection device with uniform gas velocity
US9441295B2 (en) * 2010-05-14 2016-09-13 Solarcity Corporation Multi-channel gas-delivery system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US9499905B2 (en) * 2011-07-22 2016-11-22 Applied Materials, Inc. Methods and apparatus for the deposition of materials on a substrate
US9982346B2 (en) * 2011-08-31 2018-05-29 Alta Devices, Inc. Movable liner assembly for a deposition zone in a CVD reactor
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
JP5343162B1 (ja) * 2012-10-26 2013-11-13 エピクルー株式会社 エピタキシャル成長装置
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US20150292088A1 (en) * 2012-11-27 2015-10-15 Claudio Canizares Deposition systems having interchangeable gas injectors and related methods
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
SG10201708105UA (en) * 2013-01-16 2017-11-29 Applied Materials Inc Quartz upper and lower domes
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10344380B2 (en) 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US20140224175A1 (en) * 2013-02-14 2014-08-14 Memc Electronic Materials, Inc. Gas distribution manifold system for chemical vapor deposition reactors and method of use
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
US9117670B2 (en) 2013-03-14 2015-08-25 Sunedison Semiconductor Limited (Uen201334164H) Inject insert liner assemblies for chemical vapor deposition systems and methods of using same
US9328420B2 (en) 2013-03-14 2016-05-03 Sunedison Semiconductor Limited (Uen201334164H) Gas distribution plate for chemical vapor deposition systems and methods of using same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN105164788B (zh) 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计
CN111952149A (zh) * 2013-05-23 2020-11-17 应用材料公司 用于半导体处理腔室的经涂布的衬里组件
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
RU2548578C2 (ru) * 2013-08-19 2015-04-20 Валерий Анатольевич Буробин Способ получения эпитаксиального слоя бинарного полупроводникового материала на монокристаллической подложке посредством металлоорганического химического осаждения из газовой фазы
CN105493229B (zh) * 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
WO2015195256A1 (en) * 2014-06-18 2015-12-23 Applied Materials, Inc. One-piece injector assembly
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN106663606A (zh) * 2014-06-20 2017-05-10 应用材料公司 用于将气体注入外延腔室的设备
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US11060203B2 (en) 2014-09-05 2021-07-13 Applied Materials, Inc. Liner for epi chamber
WO2016036497A1 (en) * 2014-09-05 2016-03-10 Applied Materials, Inc. Upper dome for epi chamber
US10760161B2 (en) 2014-09-05 2020-09-01 Applied Materials, Inc. Inject insert for EPI chamber
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
EP3275008B1 (en) * 2015-03-25 2022-02-23 Applied Materials, Inc. Chamber components for epitaxial growth apparatus
US20160359080A1 (en) 2015-06-07 2016-12-08 Solarcity Corporation System, method and apparatus for chemical vapor deposition
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10105732B2 (en) * 2016-01-05 2018-10-23 Taiwan Semiconductor Manufacturing Co., Ltd. Coater and surface treatment method
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
US9958782B2 (en) * 2016-06-29 2018-05-01 Applied Materials, Inc. Apparatus for post exposure bake
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
KR101874184B1 (ko) * 2016-07-26 2018-07-03 에스케이실트론 주식회사 에피택셜 웨이퍼 제조 장치
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10607837B2 (en) * 2016-08-19 2020-03-31 Applied Materials, Inc. Gas flow control for EPI thickness uniformity improvement
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
JP6773880B2 (ja) * 2017-02-23 2020-10-21 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11004704B2 (en) * 2017-03-17 2021-05-11 Applied Materials, Inc. Finned rotor cover
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
USD837754S1 (en) 2017-04-28 2019-01-08 Applied Materials, Inc. Plasma chamber liner
USD842259S1 (en) 2017-04-28 2019-03-05 Applied Materials, Inc. Plasma chamber liner
USD838681S1 (en) 2017-04-28 2019-01-22 Applied Materials, Inc. Plasma chamber liner
USD875054S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875055S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
USD875053S1 (en) * 2017-04-28 2020-02-11 Applied Materials, Inc. Plasma connector liner
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
CN108950519B (zh) * 2017-05-19 2021-03-02 北京北方华创微电子装备有限公司 腔室的内衬和腔室
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
KR102408720B1 (ko) * 2017-06-07 2022-06-14 삼성전자주식회사 상부 돔을 포함하는 반도체 공정 챔버
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
TWI754765B (zh) * 2017-08-25 2022-02-11 美商應用材料股份有限公司 用於磊晶沉積製程之注入組件
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10636626B2 (en) * 2018-01-25 2020-04-28 Applied Materials, Inc. Dogbone inlet cone profile for remote plasma oxidation chamber
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102576220B1 (ko) * 2018-06-22 2023-09-07 삼성디스플레이 주식회사 박막 처리 장치 및 박막 처리 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11032945B2 (en) * 2019-07-12 2021-06-08 Applied Materials, Inc. Heat shield assembly for an epitaxy chamber
CN111455458B (zh) * 2019-09-18 2021-11-16 北京北方华创微电子装备有限公司 外延装置及应用于外延装置的进气结构
FI128855B (en) * 2019-09-24 2021-01-29 Picosun Oy FLUID DISTRIBUTOR FOR THIN FILM GROWING EQUIPMENT, RELATED EQUIPMENT AND METHODS
WO2021162932A1 (en) * 2020-02-10 2021-08-19 Applied Materials, Inc. Methods and apparatus for improving flow uniformity in a process chamber
JP7462763B2 (ja) * 2020-04-20 2024-04-05 アプライド マテリアルズ インコーポレイテッド 共有供給及び排気システムを備えたマルチ熱cvdチャンバ
US11499223B2 (en) 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20220364231A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Gas injector for epitaxy and cvd chamber
US20220364261A1 (en) * 2021-05-11 2022-11-17 Applied Materials, Inc. Chamber architecture for epitaxial deposition and advanced epitaxial film applications
US20230097346A1 (en) * 2021-09-30 2023-03-30 Applied Materials, Inc. Flow guide apparatuses for flow uniformity control in process chambers
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001517736A (ja) * 1997-09-22 2001-10-09 アプライド マテリアルズ インコーポレイテッド Cvdチャンバ・インナー・ライニング
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
US20080210163A1 (en) * 2006-11-21 2008-09-04 David Keith Carlson Independent Radiant Gas Preheating for Precursor Disassociation Control and Gas Reaction Kinetics in Low Temperature CVD Systems
KR20090062720A (ko) * 2007-12-13 2009-06-17 (주)트리플코어스코리아 반도체 챔버 라이너
US20100272892A1 (en) * 2009-04-23 2010-10-28 Sumco Techxiv Corporation Film formation reactive apparatus and method for producing film-formed substrate

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1716A (en) * 1840-08-12 Machine for beveling boot-forms
US4976996A (en) * 1987-02-17 1990-12-11 Lam Research Corporation Chemical vapor deposition reactor and method of use thereof
JPH0383897A (ja) * 1989-08-24 1991-04-09 Mitsubishi Electric Corp 気相成長装置
US5916369A (en) * 1995-06-07 1999-06-29 Applied Materials, Inc. Gas inlets for wafer processing chamber
EP0637058B1 (en) * 1993-07-30 2004-03-31 Applied Materials, Inc. Method of supplying reactant gas to a substrate processing apparatus
US5551982A (en) * 1994-03-31 1996-09-03 Applied Materials, Inc. Semiconductor wafer process chamber with susceptor back coating
US5597439A (en) * 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US6153260A (en) * 1997-04-11 2000-11-28 Applied Materials, Inc. Method for heating exhaust gas in a substrate reactor
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6352592B1 (en) * 1998-01-16 2002-03-05 Silicon Valley Group, Thermal Systems Llc Free floating shield and semiconductor processing system
JP2000277509A (ja) * 1999-03-29 2000-10-06 Kokusai Electric Co Ltd 基板処理装置
US6489241B1 (en) * 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
KR20030070374A (ko) * 2002-02-25 2003-08-30 삼성전자주식회사 반도체 제조용 프로세스 챔버
US7311942B2 (en) * 2002-08-29 2007-12-25 Micron Technology, Inc. Method for binding halide-based contaminants during formation of a titanium-based film
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
JP3893615B2 (ja) * 2002-12-20 2007-03-14 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
JP4379585B2 (ja) * 2003-12-17 2009-12-09 信越半導体株式会社 気相成長装置およびエピタキシャルウェーハの製造方法
US8236105B2 (en) * 2004-04-08 2012-08-07 Applied Materials, Inc. Apparatus for controlling gas flow in a semiconductor substrate processing chamber
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR100672828B1 (ko) * 2005-06-29 2007-01-22 삼성전자주식회사 챔버 인서트 및 이를 포함하는 기판 가공 장치
US7794667B2 (en) * 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US20070113783A1 (en) * 2005-11-19 2007-05-24 Applied Materials, Inc. Band shield for substrate processing chamber
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
KR200431206Y1 (ko) 2006-05-03 2006-11-23 어플라이드 머티어리얼스, 인코포레이티드 삽입부 없이 고종횡비 미세구조물을 에칭하기에 적절한상부 챔버 라이너
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
TW200809926A (en) * 2006-05-31 2008-02-16 Sumco Techxiv Corp Apparatus and method for depositing layer on substrate
US20080220150A1 (en) * 2007-03-05 2008-09-11 Applied Materials, Inc. Microbatch deposition chamber with radiant heating
US8226770B2 (en) * 2007-05-04 2012-07-24 Applied Materials, Inc. Susceptor with backside area of constant emissivity
US20090188625A1 (en) * 2008-01-28 2009-07-30 Carducci James D Etching chamber having flow equalizer and lower liner
JP5475261B2 (ja) * 2008-03-31 2014-04-16 東京エレクトロン株式会社 プラズマ処理装置
US7987814B2 (en) * 2008-04-07 2011-08-02 Applied Materials, Inc. Lower liner with integrated flow equalizer and improved conductance
US8441640B2 (en) * 2008-05-02 2013-05-14 Applied Materials, Inc. Non-contact substrate support position sensing system and corresponding adjustments
TWI395272B (zh) * 2008-05-02 2013-05-01 Applied Materials Inc 用於旋轉基板之非徑向溫度控制系統
US8147137B2 (en) * 2008-11-19 2012-04-03 Applied Materials, Inc. Pyrometry for substrate processing
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5837178B2 (ja) * 2011-03-22 2015-12-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 化学気相堆積チャンバ用のライナアセンブリ
US20120258259A1 (en) * 2011-04-08 2012-10-11 Amit Bansal Apparatus and method for uv treatment, chemical treatment, and deposition
TWI659674B (zh) * 2011-10-05 2019-05-11 應用材料股份有限公司 電漿處理設備及蓋組件
US20140116336A1 (en) * 2012-10-26 2014-05-01 Applied Materials, Inc. Substrate process chamber exhaust
US10344380B2 (en) * 2013-02-11 2019-07-09 Globalwafers Co., Ltd. Liner assemblies for substrate processing systems
US9322097B2 (en) * 2013-03-13 2016-04-26 Applied Materials, Inc. EPI base ring
CN105164788B (zh) * 2013-04-30 2020-02-14 应用材料公司 具有空间分布的气体通道的气流控制衬垫
CN105164309B (zh) * 2013-05-01 2019-04-12 应用材料公司 用于控制外延沉积腔室流量的注入及排放设计

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6500734B2 (en) * 1993-07-30 2002-12-31 Applied Materials, Inc. Gas inlets for wafer processing chamber
JP2001517736A (ja) * 1997-09-22 2001-10-09 アプライド マテリアルズ インコーポレイテッド Cvdチャンバ・インナー・ライニング
US20080210163A1 (en) * 2006-11-21 2008-09-04 David Keith Carlson Independent Radiant Gas Preheating for Precursor Disassociation Control and Gas Reaction Kinetics in Low Temperature CVD Systems
KR20090062720A (ko) * 2007-12-13 2009-06-17 (주)트리플코어스코리아 반도체 챔버 라이너
US20100272892A1 (en) * 2009-04-23 2010-10-28 Sumco Techxiv Corporation Film formation reactive apparatus and method for producing film-formed substrate

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11807931B2 (en) 2018-08-29 2023-11-07 Applied Materials, Inc. Chamber injector

Also Published As

Publication number Publication date
CN103430285B (zh) 2016-06-01
KR20140018939A (ko) 2014-02-13
JP5837178B2 (ja) 2015-12-24
US8980005B2 (en) 2015-03-17
WO2012128783A1 (en) 2012-09-27
TW201250052A (en) 2012-12-16
JP2014514744A (ja) 2014-06-19
KR101884003B1 (ko) 2018-07-31
US20150176123A1 (en) 2015-06-25
US20120240853A1 (en) 2012-09-27
CN103430285A (zh) 2013-12-04
US9695508B2 (en) 2017-07-04

Similar Documents

Publication Publication Date Title
TWI551720B (zh) 用於化學氣相沉積腔室之襯套組件
JP5005038B2 (ja) 低温cvdシステムにおける前駆物質解離制御のためのガス分配装置、堆積装置及び方法
US20160136660A1 (en) Multi-gas centrally cooled showerhead design
TW201704523A (zh) 用於半導體外延成長的注射器
US9644267B2 (en) Multi-gas straight channel showerhead
US8444926B2 (en) Processing chamber with heated chamber liner
US20150292088A1 (en) Deposition systems having interchangeable gas injectors and related methods
US20090095221A1 (en) Multi-gas concentric injection showerhead
US20090095222A1 (en) Multi-gas spiral channel showerhead
KR20100072091A (ko) 전구체 공급원을 구비한 샤우어헤드
JP6629248B2 (ja) エピタキシャルチャンバへのガス注入装置
US20080314317A1 (en) Showerhead design with precursor pre-mixing
JP4922540B2 (ja) 結晶構造層堆積方法及びガス吸入素子

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees