JP6773880B2 - 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器 - Google Patents

基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器 Download PDF

Info

Publication number
JP6773880B2
JP6773880B2 JP2019501021A JP2019501021A JP6773880B2 JP 6773880 B2 JP6773880 B2 JP 6773880B2 JP 2019501021 A JP2019501021 A JP 2019501021A JP 2019501021 A JP2019501021 A JP 2019501021A JP 6773880 B2 JP6773880 B2 JP 6773880B2
Authority
JP
Japan
Prior art keywords
processing
substrate
gas
gas nozzle
inert gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019501021A
Other languages
English (en)
Other versions
JPWO2018154823A1 (ja
Inventor
英俊 三村
英俊 三村
隆史 佐々木
隆史 佐々木
吉田 秀成
秀成 吉田
優作 岡嶋
優作 岡嶋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Publication of JPWO2018154823A1 publication Critical patent/JPWO2018154823A1/ja
Application granted granted Critical
Publication of JP6773880B2 publication Critical patent/JP6773880B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

本発明は、基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器に関する。
半導体装置(デバイス)の製造工程の一工程として、処理室内の基板(ウエハ)に対して処理ガスを供給し、基板上に膜を形成する処理が行われる。処理室内のウエハがパターンウエハの場合、ウエハの中心付近において処理ガスの供給量が不足したために、ウエハ上に形成された膜の面内膜厚均一性が悪化することがある。これに対し、排気圧を小さくし、処理ガスの拡散速度と成膜速度との調整を図ることにより、ウエハ上の面内膜厚均一性を向上させることがある(例えば特許文献1及び2参照)。
特開2010−226092号公報 国際特開第2016/157401号公報 国際特開第2016/110956号公報
上述の方法では、処理ガスの拡散速度と成膜速度との調整が困難な場合がある。本発明の目的は、ウエハ上に形成された膜の面内膜厚均一性を向上させることが可能な技術を提供することにある。
基板を処理する処理室と、
前記処理室内には処理ガスノズルが処理ガスを供給し、不活性ガスノズルが不活性ガスを供給するよう構成され、
前記処理ガスノズルと前記不活性ガスノズルは、前記基板の周囲に設置され、
前記不活性ガスノズルは、前記処理ガスノズルと前記基板の中心部とを結ぶ第1直線を境界線として区画されるそれぞれの領域に1本ずつ設置され、
前記第1直線と、前記不活性ガスノズルと前記基板の中心部とを結ぶ第2直線とがなす角度が鈍角または直角である技術が提供される。
本発明によれば、ウエハ上に形成された膜の面内膜厚均一性を向上させることが可能な技術を提供することが可能となる。
実施形態に係る基板処理装置の概略構成図であり、処理炉部分を縦断面図で示す図である。 実施形態に係る基板処理装置の処理炉の一部を図1のA−A線断面図で示す図である。 実施形態に係る基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 実施形態に係る成膜シーケンスを示す図である。 実施形態の変形例1を説明する図である。 実施形態の変形例2を説明する図である。 実施形態の変形例3を説明する図である。 実施形態の変形例1におけるθ=40°とした場合のパターンウエハモデルの解析結果を示す図である。 変形例1におけるθ=120°とした場合のパターンウエハモデルの解析結果を示す図である。 変形例1におけるθ=40°、120°とした場合の処理ガスの分布を示す図である。 変形例1におけるウェハ上の処理ガス分圧のθ依存性を示す図である。 変形例2における不活性ガスノズルを1つ配置した場合の、処理ガス分圧の排気圧依存性を示す図である。
実施形態は、不活性ガスによる処理ガスの希釈を抑制し、ウエハ端部に広がろうとする処理ガスの流れをウエハ中心付近に集中させることにより、ウエハ上に形成された膜の面内膜厚均一性を向上させる。後述する不活性ガスノズルの設置個数は複数あってもよく、また、必要な成膜速度を満たしていれば、不活性ガスノズルの設置角度θ(後述)は不活性ガスノズルから噴射する不活性ガスの流量に応じて任意に変えることができるものである。
以下、一実施形態について図1〜図3を参照しながら説明する。
(1)基板処理装置の構成
図1に示すように、処理炉202は加熱手段(加熱機構)としてのヒータ207を有する。ヒータ207は円筒形状であり、保持板に支持されることにより垂直に据え付けられている。ヒータ207は、ガスを熱で活性化(励起)させる活性化機構(励起部)としても機能する。
ヒータ207の内側には、ヒータ207と同心円状に反応管203が配設されている。
反応管203は、例えば石英(SiO2)または炭化シリコン(SiC)等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成されている。反応管203の下方には、反応管203と同心円状に、マニホールド209が配設されている。マニホールド209は、例えばニッケル合金等の金属からなり、上端および下端が開口した短い円筒形に形成されている。マニホールド209の上端部は、反応管203の下端部に係合しており、反応管203を支持する。マニホールド209と反応管203との間には、シール部材としてのOリング220aが設けられている。反応管203はヒータ207と同様に垂直に据え付けられている。主に、反応管203とマニホールド209とにより処理容器(反応容器)が構成される。処理容器の筒中空部には処理室201が形成される。処理室201は、基板としてのウエハ200を収容可能に構成される。
処理室201内には、第1ガスノズルとして、成膜ガス(処理ガス)を供給するノズル249a、第2ガスノズルとして、成膜ガス(処理ガス)を供給するノズル249b,第3ガスノズルとして、不活性ガスのみを供給するノズル249cが、マニホールド209の側壁を貫通するように設けられている。ノズル249a〜249cには、ガス供給管232a〜232cが、それぞれ接続されている。
ガス供給管232a〜232cには、ガス流の上流側から順に、流量制御器(流量制御部)であるマスフローコントローラ(MFC)241a〜241cおよび開閉弁であるバルブ243a〜243cがそれぞれ設けられている。ガス供給管232a,232bのバルブ243a,243bよりも下流側には、不活性ガスを供給するガス供給管232e,232dがそれぞれ接続されている。ガス供給管232e,232dには、ガス流の上流側から順に、MFC241e,241dおよびバルブ243e,243dがそれぞれ設けられている。
ノズル249a〜249cは、図2に示すように、反応管203の内壁とウエハ200との間の円環状の空間に、反応管203の下方から上方に向かってウエハ200の配列方向に沿ってそれぞれ設けられている。すなわち、ノズル249a〜249cは、ウエハ200が配列されるウエハ配列領域の側方の、ウエハ配列領域を水平に取り囲む領域に、ウエハ配列領域に沿うようにそれぞれ設けられている。第1ガスノズル249aおよび第2ガスノズル249bは、処理室201内に搬入されるウエハ200の中心を挟んで、後述する排気口233と対向するように配置されている。また、第1ガスノズルと第2ガスノズルは隣接して配置されている。
不活性ガスノズルであるノズル249cは、処理ガスノズルであるノズル249a、ノズル249bとはウエハ200の周方向において所定距離離れた位置に設置されている。この場合の所定距離とは、例えば、少なくともノズル249a、249bと隣接しない距離である。このような距離とすることにより、ウエハ200と反応管203の内面との間の空間への処理ガスの拡散を抑制することができ、ウエハ全面の処理ガス濃度を上げることができる。所定距離が隣接する距離の場合(ノズル249cがノズル249a、ノズル249bと隣接する場合)、処理ガスと不活性ガスが混合し処理ガス濃度が下がってしまったり、反応管203の内面とウエハ200との間の空間に処理ガスが拡散してしまったりすることにより、ウエハ全面での平均的な処理ガス濃度が低下しうる。より好ましくは、所定距離は、不活性ガスノズルが処理ガスノズルからノズル1本分以上離れている距離である。より好ましくは、所定距離は、処理ガスノズルの中心(本実施例では、例えば、ノズル249aの中心とノズル249bの中心の中間地点)と排気管231(排気口233)の中心とを結ぶ第1直線300と、不活性ガスノズルと基板20の中心とを結ぶ第2直線301とのなす角をθとするとき、角θが90°以上180°以下であるときの円弧の距離である。所定距離をこのような距離とすることにより、反応管203の内壁とウエハとの間の空間およびウエハの端部に不活性ガスの壁を形成することができ、ウエハ中心の処理ガス濃度を上げることができる。更に好ましくは、所定距離は、角θが100°以上140°以下であるときの円弧の距離である。所定距離をこのような距離とすることにより、ウエハ中心の処理ガス濃度を高くし、かつ、ウエハ全面の処理ガス濃度も高くすることができる。
ノズル249a〜249cの側面には、ガスを供給するガス供給孔250a〜250cがそれぞれ設けられている。ガス供給孔250a〜250cは、それぞれが、ウエハ200の中心方向に向けてガスを供給することが可能となっている。ガス供給孔250a〜250cは、反応管203の下部から上部にわたってそれぞれのウエハ200の中心へ向いて開口するように、複数設けらることが好ましい。
ガス供給管232aからは、原料(処理ガス)として、例えば、所定元素(主元素)としてのSiおよびハロゲン元素を含むハロシラン系ガスが、MFC241a、バルブ243a、ノズル249aを介して処理室201内へ供給される。原料ガスには、常温常圧下で液体の原料を気化して得られるガスも含まれる。ハロシランとは、ハロゲン基を有するシランのことである。ハロゲン基には、クロロ基、フルオロ基、ブロモ基、ヨード基等が含まれる。すなわち、ハロゲン基には、塩素(Cl)、フッ素(F)、臭素(Br)、ヨウ素(I)等のハロゲン元素が含まれる。ハロシラン系ガスとしては、例えば、ヘキサクロロジシラン(Si2Cl6、略称:HCDS)のような、SiおよびClを含むクロロシラン系ガスを用いることができる。クロロシラン系ガスは、Siソースとして作用する。
ガス供給管232bからは、処理ガスであり、前述の原料とは化学構造(分子構造)が異なる反応体(リアクタント)として、例えば、窒化ガスである窒化水素系ガスが、MFC241b、バルブ243b、ノズル249bを介して処理室201内へ供給される。窒化水素系ガスは、Nソースとして作用する。窒化水素系ガスとしては、例えば、アンモニア(NH3)ガスを用いることができる。
ガス供給管232c〜232eからは、不活性ガスとして、例えば、窒素(N2)ガスが、それぞれMFC241c〜241e、バルブ243c〜243e、ガス供給管232c〜232a、ノズル249c〜249aを介して処理室201内へ供給される。N2ガスは、パージガス、キャリアガスとして作用し、さらに、ウエハ200上に形成される膜の面内膜厚分布を制御する膜厚分布制御ガスとして作用する。
主に、ガス供給管232a,232b、MFC241a,241b、バルブ243a,243bにより、処理ガス供給系が構成される。また、主に、ガス供給管232c〜232e、MFC241c〜241e、バルブ243c〜243eにより、不活性ガス供給系が構成される。
反応管203には、処理室201内の雰囲気を排気する排気口233が設けられている。図2に示される水平断面視のように、排気口233は、ウエハ200を挟んでノズル249a,249b(ガス供給孔250a,250b)と対向(対面)する位置に設けられる。排気口233には排気管231が接続される。排気管231は、処理室201内の圧力を検出する圧力検出器としての圧力センサ245が備えられ、また圧力調整器としてのAPC(Auto Pressure Controller)バルブ244を介して、真空ポンプ(真空排気装置)246に接続される。APCバルブ244は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、更に、真空ポンプ246を作動させた状態で、圧力センサ245により検出された圧力情報に基づいて開度を調節することで、処理室201内の圧力を調整することができるように構成されている。主に、排気管231、APCバルブ244、圧力センサ245により、排気系が構成される。真空ポンプ246も排気系に含まれうる。
マニホールド209の下方には、マニホールド209の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ219が設けられている。シールキャップ219は、例えば金属製で円盤状に形成されている。シールキャップ219の上面には、マニホールド209の下端と当接するシール部材としてのOリング220bが設けられている。シールキャップ219の下方には、後述するボート217を回転させる回転機構267が設置されている。回転機構267の回転軸255は、シールキャップ219を貫通してボート217に接続されている。回転機構267は、ボート217を回転させることでウエハ200を回転させる。
シールキャップ219は、反応管203の外部に設置された昇降機構としてのボートエレベータ115によって垂直方向に昇降されるように構成されている。ボートエレベータ115は、シールキャップ219を昇降させることで、ウエハ200を処理室201内外に搬入および搬出(搬送)する搬送装置(搬送機構)として構成されている。また、マニホールド209の下方又は側方には、シールキャップ219を降下させボート217を完全に処理室201内から搬出している間、マニホールド209の下端開口を気密に閉塞する炉口蓋体としてのシャッタ221が設けられている。シャッタ221は、シールキャップ219同様に円盤状に形成され、その上面には、マニホールド209の下端と当接するOリング220cが設けられている。シャッタ221の開閉動作(昇降動作や回動動作等)は、シャッタ開閉機構222により制御される。
基板支持具としてのボート217は、複数枚、例えば25〜200枚のウエハ200を、水平姿勢で、かつ、互いに中心を揃えた状態で垂直方向に整列させて多段に支持するように、すなわち、間隔を空けて配列させるように構成されている。ボート217は、例えば石英やSiC等の耐熱性材料からなる。ボート217の下部には、例えば石英やSiC等の耐熱性材料からなる断熱板218が多段に支持されている。
反応管203内には、温度検出器としての温度センサ263が設置されている。温度センサ263により検出された温度情報に基づきヒータ207への通電具合を調整することで、処理室201内の温度が所望の温度分布となる。温度センサ263は、反応管203の内壁に沿って設けられている。
図3に示すように、制御部(制御手段)であるコントローラ121は、CPU(Central Processing Unit)121a、RAM(Random Access Memory)121b、記憶装置121c、I/Oポート121dを備えたコンピュータとして構成されている。RAM121b、記憶装置121c、I/Oポート121dは、内部バス121eを介して、CPU121aとデータ交換可能なように構成されている。コントローラ121には、例えばタッチパネル等として構成された入出力装置122が接続されている。
記憶装置121cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置121c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理における各手順をコントローラ121に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、プロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。また、プロセスレシピを、単に、レシピともいう。本明細書においてプログラムという言葉を用いた場合は、レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、それらの両方を含む場合がある。RAM121bは、CPU121aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート121dは、上述のMFC241a〜241e、バルブ243a〜243e、圧力センサ245、APCバルブ244、真空ポンプ246、温度センサ263、ヒータ207、回転機構267、ボートエレベータ115、シャッタ開閉機構222等に接続されている。
CPU121aは、記憶装置121cから制御プログラムを読み出して実行すると共に、入出力装置122からの操作コマンドの入力等に応じて記憶装置121cからレシピを読み出すように構成されている。CPU121aは、読み出したレシピの内容に沿うように、MFC241a〜241eによる各種ガスの流量調整動作、バルブ243a〜243eの開閉動作、APCバルブ244の開閉動作および圧力センサ245に基づくAPCバルブ244による圧力調整動作、真空ポンプ246の起動および停止、温度センサ263に基づくヒータ207の温度調整動作、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作、シャッタ開閉機構222によるシャッタ221の開閉動作等を制御するように構成されている。
コントローラ121は、外部記憶装置(例えば、ハードディスク等の磁気ディスク、CD等の光ディスク、MO等の光磁気ディスク、USBメモリ等の半導体メモリ)123に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。記憶装置121cや外部記憶装置123は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置121c単体のみを含む場合、外部記憶装置123単体のみを含む場合、または、それらの両方を含む場合がある。なお、コンピュータへのプログラムの提供は、外部記憶装置123を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
(2)成膜処理
上述の基板処理装置を用い、半導体装置の製造工程の一工程として、基板としてのウエハ200上にシリコン窒化膜(SiN膜)を形成するシーケンス例について、図4を用いて説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ121により制御される。
図4に示す成膜シーケンスは、
ウエハ200対してノズル249aよりHCDSガスを供給することで第1層としてのSi含有層を形成するステップAと、
ウエハ200に対してノズル249bよりNH3ガスを供給することで第2層としてのシリコン窒化層(SiN層)を形成するステップBと、
を非同時に行うサイクルをn回(nは所定数)行うことで、ウエハ200上に、SiおよびNを含む膜、すなわち、SiN膜を形成する。
本明細書では、図4に示す成膜シーケンスを、便宜上、以下のように示すこともある。
(HCDS→NH3)×n ⇒ SiN
本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「基板」は「ウエハ」の意味を含む。
(ウエハチャージおよびボートロード)
複数枚のウエハ200がボート217に装填(ウエハチャージ)されると、シャッタ開閉機構222によりシャッタ221が移動させられて、マニホールド209の下端開口が開放される(シャッタオープン)。その後、図1に示すように、複数枚のウエハ200を支持したボート217は、ボートエレベータ115によって持ち上げられて処理室201内へ搬入(ボートロード)される。搬入の完了後、シールキャップ219は、Oリング220bを介してマニホールド209の下端をシールした状態となる。
(圧力調整および温度調整)
処理室201内、すなわち、ウエハ200が存在する空間が所望の圧力(真空度)となるように、真空ポンプ246によって処理室201内が真空排気(減圧排気)される。この際、処理室201内の圧力は圧力センサ245で測定され、この測定された圧力情報に基づきAPCバルブ244がフィードバック制御される。また、処理室201内のウエハ200が所望の成膜温度となるように、ヒータ207によって加熱される。この際、処理室201内が所望の温度分布となるように、温度センサ263が検出した温度情報に基づきヒータ207への通電具合がフィードバック制御される。また、回転機構267によるウエハ200の回転を開始する。処理室201内の排気、ウエハ200の加熱および回転は、いずれも、少なくともウエハ200に対する処理が終了するまでの間は継続して行われる。
(成膜ステップ)
その後、次のステップA,Bを順次実行する。
[ステップA]
このステップでは、処理室201内のウエハ200に対してHCDSガスを供給する。
具体的には、バルブ243aを開き、ガス供給管232a内へHCDSガスを流す。HCDSガスは、MFC241aにより流量調整され、ノズル249aを介して処理室201内へ供給され、排気口233より排気される。つまり、ウエハ200に対してHCDSガスが供給される。このとき、バルブ243eを開き、ガス供給管232e内へN2ガスを流すようにしてもよい。N2ガスは、MFC241eにより流量調整され、HCDSガスと一緒にノズル249aを介して処理室201内へ供給され、排気口233より排気されるうる。なお、ステップAでは、ノズル249aを介して処理室201内へHCDSガスを供給した状態で、ノズル249cを介して処理室201内へN2ガスを供給する。その詳細については後述する。
ステップAにおいて、ノズル249aから供給するHCDSガスの流量は、例えば1〜2000sccm、好ましくは10〜1000sccmの範囲内である。またノズル249cから供給するN2ガスの流量は、例えばHCDSガスの流量の25%〜400%の範囲内の所定の流量とする。HCDSガスの供給時間は、例えば1〜120秒、好ましくは1〜60秒の範囲内の所定の時間とする。処理室201内の圧力は、例えば1〜2666Pa、好ましくは67〜1333Paの範囲内の所定の圧力とする。ウエハ200の温度(成膜温度)は、例えば250〜800℃、好ましくは400〜750℃、より好ましくは550〜700℃の範囲内の所定の温度とする。
上述の条件下でウエハ200に対してHCDSガス及びN2ガスを供給することにより、ウエハ200の最表面上に、第1層として、例えば1原子層未満から数原子層(1分子層未満から数分子層)程度の厚さのClを含むSi含有層が形成される。Clを含むSi含有層は、Clを含むSi層であってもよいし、HCDSの吸着層であってもよいし、それらの両方を含んでいてもよい。
HCDSガスが自己分解(熱分解)する条件下では、ウエハ200上にSiが堆積することでClを含むSi層が形成される。HCDSガスが自己分解(熱分解)しない条件下では、ウエハ200上にHCDSが吸着することでHCDSの吸着層が形成される。HCDSの吸着層を形成するよりも、Clを含むSi層を形成する方が、成膜レートの観点では好ましい。以下、Clを含むSi含有層を、便宜上、単にSi含有層とも称する。
本実施形態のように、ウエハ200に対してHCDSガスを供給する際、ノズル249cからウエハ200の中心方向へN2ガスを供給することにより、膜の面内厚さ分布を、中央凹分布からフラット分布へ近づけたり、さらには、中央凸分布へ近づけたりすることが可能である。換言すると、ウエハ200の中心部の不活性ガス濃度がウエハ200の端部(外周部)の平均的な不活性ガス濃度よりも低くなるように処理室201内にノズル249cからのN2ガス供給量をコントローラ121により制御することで、ウエハ200の中心部へのHCDSガスの供給量を制御することができる。これにより、第1層の面内厚さ分布が上述のように制御されると考えられる。
ステップAでは、ノズル249aよりHCDSガスを供給した状態で、バルブ243d,243cを開き、ガス供給管232d,232b,232c内へN2ガスを流し、ノズル249b,249cより処理室201内へN2ガスを供給する。ノズル249bから少量のN2ガスの供給を維持することは、必須ではないが、ノズル249b内へのHCDSガスの侵入を抑制する観点で好ましい。その目的において、ノズル249bからのN2ガスの供給は、ステップAと同時、或いは、その前に開始するのが好ましい。
ステップAにおいて、ノズル249a,249bより供給するN2ガスの各流量(第1流量)は、それぞれ、ノズル249cより供給するN2の流量よりも小さな流量とする。好ましくは、ノズル249b,249cより供給するN2ガスの各流量は、それらの合計流量が、ノズル249aより供給するHCDSガスの流量よりも小さな流量となるような流量とする。
所望の厚さおよび面内厚さ分布を有する第1層が形成された後、バルブ243aを閉じ、HCDSガスの供給を停止する。また、MFC241d,241cを制御して、ノズル249b,249cより供給するN2ガスの流量を減少させる。このとき、APCバルブ244は開いたままとして、真空ポンプ246により処理室201内を真空排気し、処理室201内に残留する未反応もしくは第1層の形成に寄与した後のHCDSガスを処理室201内から排除する。ノズル249a〜249cから供給されるN2ガスはパージガスとして作用し、これにより、処理室201内がパージされる(パージステップ)。
[ステップB]
ステップAが終了した後、処理室201内のウエハ200、すなわち、ウエハ200上に形成された第1層に対してNH3ガスを供給する。
このステップでは、バルブ243b,243c〜243eの開閉制御を、ステップAにおけるバルブ243a,243c〜243eの開閉制御と同様の手順で行う。NH3ガスは、MFC241bにより流量調整され、ノズル249bを介して処理室201内へ供給され、排気口233から排気される。このとき、ウエハ200に対してNH3ガスが供給される。
NH3ガスの供給流量は、例えば1000〜10000sccmの範囲内の所定の流量とする。NH3ガスの供給時間は、例えば1〜120秒、好ましくは1〜60秒の範囲内の所定の時間とする。この時同時にノズル249cよりN2ガスを供給する。供給するN2ガスの供給流量は、例えば1〜4000sccmの範囲内の所定の流量とする。処理室201内の圧力は、例えば1〜4000Pa、好ましくは1〜3000Paの範囲内の所定の圧力とする。ステップAよりも高い圧力とすることで、プラズマではなく熱的に活性化されたNH3ガスを用いたとしても、所定の速度で第1層と化学反応させ、第2層を形成させることができる。他の処理条件は、ステップAと同様な処理条件とする。なおステップBでは、ステップAに比べ、ノズル249cからのN2ガス供給の重要性は低く、必要ではない場合もある。
上述の条件下でウエハ200に対してNH3ガス及びN2ガスを供給すると、ウエハ200上に形成された第1層の少なくとも一部が窒化(改質)される。これにより、ウエハ200上に、SiおよびNを含む第2層、すなわち、SiN層が形成される。第2層を形成する際、第1層に含まれていたCl等の不純物は、NH3ガスによる第1層の改質反応の過程において、少なくともClを含むガス状物質を構成し、処理室201内から排出される。すなわち、第1層中のCl等の不純物は、第1の層中から引き抜かれたり、脱離したりすることで、第1層から分離する。これにより、第2層は、第1層に比べてCl等の不純物が少ない層となる。
第2層が形成された後、バルブ243bを閉じ、NH3ガスの供給を停止する。そして、ステップAのパージステップと同様の処理手順、処理条件により、処理室201内に残留する未反応もしくは第2層の形成に寄与した後のNH3ガスや反応副生成物を処理室201内から排除する。
[所定回数実施]
ステップA,Bを非同時に、すなわち、同期させることなく行うサイクルを1回以上(n回)行うことにより、ウエハ200上に、所定組成および所定膜厚のSiN膜を形成することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、上述のサイクルを1回行う際に形成される第2層の厚さを所望の膜厚よりも薄くし、第2層を積層することで形成されるSiN膜の膜厚が所望の膜厚になるまで、上述のサイクルを複数回繰り返すのが好ましい。
原料としては、HCDSガスの他、モノクロロシラン(SiH3Cl、略称:MCS)ガス、トリクロロシラン(SiHCl3、略称:TCS)ガス、テトラクロロシラン(SiCl、略称:STC)ガス、ジクロロシラン(SiH2Cl2、略称:DCS)ガス、オクタクロロトリシラン(Si3Cl、略称:OCTS)ガス等のクロロシラン原料ガスを用いることができる。
反応体としては、NH3ガスの他、例えば、ジアゼン(N22)ガス、ヒドラジン(N2)ガス、N3ガス等の窒化水素系ガスを用いることができる。
不活性ガスとしては、N2ガスの他、Arガス、Heガス、Neガス、Xeガス等の希ガスを用いることができる。
(アフターパージ〜大気圧復帰)
ウエハ200上に所望組成、所望膜厚の膜が形成されたら、ノズル249a〜249cのそれぞれからパージガスとしてのN2ガスを処理室201内へ供給し、排気口233から排気する。これにより、処理室201内がパージされ、処理室201内に残留するガスや反応副生成物が処理室201内から除去される(アフターパージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(ボートアンロード及びウエハディスチャージ)
ボートエレベータ115によりシールキャップ219が下降され、マニホールド209の下端が開口される。そして、処理済のウエハ200が、ボート217に支持された状態でマニホールド209の下端から反応管203の外部に搬出(ボートアンロード)される。ボートアンロードの後は、シャッタ221が移動させられ、マニホールド209の下端開口がOリング220cを介してシャッタ221によりシールされる(シャッタクローズ)。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取り出される(ウエハディスチャージ)。
(3)変形例
本実施形態における不活性ガスノズルの位置や反応管形状は、以下の図5から図7に代表的に示される変形例のようにさまざまに変更することができる。
(変形例1)
不活性ガスのみを供給する不活性ガスノズルとしての第4ガスノズルを追加した例を図5に示す。処理ガスノズル(第1ガスノズル249a,第2ガスノズル249b)の中心と基板200との中心を結ぶ線を境界線300として区画される領域のうち、第3ガスノズル249cが設置される領域の反対側の領域に、不活性ガスを処理室201内に供給する第4ガスノズル249dを設置する。第3ガスノズル249cと第4ガスノズル249dは、境界線300に対して対称に設置されうる。
(変形例2)
他の変形例として、図6に示されるような反応管203を用いてもよい。この反応管203は、処理ガスノズル(第1ガスノズル249a、第2ガスノズル249b)を収納するように外側に突出した第1突出部302と、第3ガスノズル249cを収納するように外側に突出した第2突出部303とが形成されている。第1突出部302は、第1ガスノズル249a、第2ガスノズル249bをそれぞれ収納するように複数に分割されていてもよい。また、処理ガスノズルと向かいあう位置に、外側に突出した排気バッファ234が設けられ、排気バッファ234が基板に面する部分が大きく開口して排気口233を形成している。このように内壁とウエハとの間の空間を狭くした反応管は、ウエハの周囲を迂回するような処理ガスの流れが抑制されるので、ウエハ上の処理ガスの分圧を均一にしやすいが、中央部への供給不足の傾向を是正しにくかった。本変形例では、第3ガスノズル249cから供給される不活性ガスもまた、ウエハの周囲を流れにくいため、処理ガスを扇状に堰き止める作用が強まり、凸傾向へ矯正することができる。。
なお、第1突出部302内には、ノズル内若しくは第1突出部302内のガスを励起するために、ノズルと平行してプラズマ電極が備えられ、活性の高い反応体を提供しうる。反応体として、例えば、トリエチルアミン((C23N、略称:TEA)ガスのようなアミン系ガスや、酸素(O2)ガス、水蒸気(H2O)、オゾン(O3)ガス、プラズマ励起されたO2ガス(O2 *)、O2ガス+水素(H2)ガスのようなO含有ガス(酸化剤)や、プロピレン(C36)ガスのようなC含有ガスや、トリクロロボラン(BCl3)ガスのようなB含有ガスを用いてもよい。
(変形例3)
更に他の変形例として、図7に示されるような反応管203を用いてもよい。この反応管203は、その内部に内壁(内管、ライナーとも呼ばれる)304が設けられている。内壁304は、第1ガスノズル249a,第2ガスノズル249bに対向する部分に部分的な開口となる排気口233を有し、また、第1ガスノズル249a,第2ガスノズル249bを避けるように部分的に外側に突出した形状の第1の内壁突出部305と、第3ガスノズル249cが内壁304と干渉しないように、設置部分が部分的に外側に突出した形状の第2の内壁突出部306が形成されている。第1の内壁突出部305は、第1ガスノズル249a、第2ガスノズル249bをそれぞれ収納するように複数に分割されていてもよい。このような形状の反応管を用いることにより、変形例2と同様の効果を得つつ、反応管203全体の排気性(ガス置換性)を改善することができる。
(4)シミュレーション
図8に、不活性ガスの総流量と反応中間体ガスの分圧の関係のシミュレーション結果が示される。ここでは、パターンウエハモデルを使用し、角θ=40°で不活性ガスノズルを対称に2本(第3ガスノズル及び第4ガスノズル)配置し、処理ガスノズルから400sccmのHCDSを供給した場合を想定している。縦軸は、HCDSの分解により生じる代表的な反応中間体(プリカーサ、ラジカル)であるSiCl2 *(シリレン)の分圧を示しており、これはHCDSの分解量を表しているとも言える。つまりシミュレーションは、パターンウエハにおけるHCDSの消費を考慮して行われた。グラフは中間体の分圧について、2本の不活性ガスノズルからのN2ガスの総流量が増すほど、中央と端部の比は大きく(つまり凸傾向)なったように示しているが、実際には膜厚の凸傾向はさほど促進されいない。また原料の希釈により分圧がウェハの全体で低下している。これはガスの使用効率が悪く、成膜速度も遅くなることを意味する。
図9に、角θを120°とした以外は図8と同一の条件のシミュレーション結果が示される。図8と比べて、不活性ガスの流量の増加に伴う中間体の分圧の低下が、明らかに改善されている。なお以下では、反応中間体や処理ガスを区別することなく、成膜に寄与するガスを反応ガスと総称する。
図10に、図8及び図9で用いた条件における、反応ガスの濃度分布がそれぞれ示される。なおN2ガスの総流量はどちらも2000sccmである。上側に示されるように、角θ=40°の不活性ガスノズルを用いると、反応ガスの濃度はノズルから遠ざかるにつれて緩やかに減少しており、これはN2ガスとの混合や拡散を示唆している。一方下側に示されるように、角θ=120°の不活性ガスノズルを用いると、1Pa程度の比較的高い分圧の原料が、ウェハの中心付近を含む扇状に分布しており、また濃度勾配が比較的急である。これは混合や拡散が抑圧されていることを示唆している。
ウエハ表面の成膜分布がスリバチ状になる要因が、ガス不足だけでなく、ウエハ表面における阻害などが考えられる場合は、ウエハ中心部に反応ガスが、所定の分圧以上で分布していることが望ましい。例えば、処理ガスが励起種(ラジカル等)である場合、エネルギーの低い他のガス(大流量で比較的低温の不活性ガス)と混ざることなくウエハ中心部に到達していることが一般的に望ましい。そして成膜速度向上のため、全体的に処理ガスが多いほうが望ましい。以上のことを考慮すると、本変形例の不活性ガスノズルの配置は、図9のように角度θが大きく、かつ、線対称に2本設置していることが望ましい。このように鈍角のθで2本設置されたノズルを、サイドカウンターノズルと呼ぶことにする。サイドカウンターノズルを用いることで、より確実にウエハ上の面内膜厚分布を中央凸分布化させることができる。
図11に、変形例1におけるウェハ上の反応ガス分圧のθ依存性が示される。ここでは、パターンウエハモデルを使用し、2本の不活性ガスノズル(第3ガスノズル及び第4ガスノズル)を対称に配置し、処理ガスノズルから300sccmのHCDSを供給した場合を想定している。上のグラフは、不活性ガスノズルからの100sccmの流量のN2ガスに対応し、下のグラフは2000sccmの流量に対応する。角θを増すほど、単調に中間体の分圧が上昇し、またN2ガス流量への依存性が強まる。なお角θは、排気口233(排気ダクト)と同じ位置に不活性ガスノズルを設けられないことに起因して上限があり、その上限は例えば140°である。中心部での反応ガスの分圧に注目すると、θ=110°付近で、上のグラフの分圧と下のグラフの分圧が逆転する。この逆転ポイントは実際にはN2ガス流量に依存し、凡そ95°<θ<130°の範囲である。つまり、θ>95°の位置に2本の不活性ガスノズルを配置すると、反応ガスの希釈による不利益を被ることなく、凸傾向に矯正できることが期待される。
図12に、変形例2における反応ガスの排気圧依存性のグラフが示される。このシミュレーションでは、θ=120°の位置に配置した不活性ガスノズル249から700sccmのN2ガスを供給し、ノズル232bから480sccmの処理ガスを供給し、更にノズル232bに隣接するノズル232aから300sccmのN2ガスを供給するものとし、横軸は排気管231における排気圧、縦軸はウェハ上での中間体(SiCl2)の分圧を示す。ウエハ中心部での反応ガスの分圧は、ひし形のマーカーのラインで示されるように、ウエハの端部全周の平均よりも常に高く、その比は排気圧(背圧)が大きくなるほど、わずかに増加する。これは分子流から粘性流に遷移したことで、処理ガスノズルから噴射されたガスが、基板を横断する途中で減速し、中央に滞留しやすくなるためだと考えられる。
不活性ガスの流量バランスを調整することで、不活性ガスノズルを1つのみ使用した場合でも、ウエハ端部よりウエハ中心部に反応ガスを多く分布させることができる。一例として、鈍角の位置のガスノズル249の流量は、鋭角の位置のノズル232aの流量より多く設定され、より好ましくは2倍以上に設定される。また、排気圧を上げた場合でも拡散速度と成膜速度のバランスが崩れにくく、ウエハ中心部の反応ガスの分圧が安定して高く分布していることも確認された。ここで留意すべきは、このグラフの反応ガスの分圧は、膜厚を直接示すものではないことである。例えば、反応ガスを交互供給するようなプロセスでは、図11のような分圧にもかかわらず、排気圧が上昇するほど凸傾向が弱まる(中央の膜厚が薄くなる)ことがある。
(5)本実施形態による効果
本実施形態によれば、以下に示す1つ又は複数の効果が得られる。
(a)ウエハに対して処理ガスノズルから処理ガスを供給する際に、処理ガスノズルからウエハの周方向において所定距離離れて設置されている不活性ガスノズルからN2ガスをウエハの中心方向へ供給することで、ウエハ上に形成される膜の面内膜厚分布を、中央凸分布とすることできるる。つまりN2ガスの流量や角度を適切に調整することにより、パターンウエハ上に、フラット分布を有する膜を形成することが可能となる。
ウエハ上に形成される膜の面内膜厚分布がウエハの表面積に依存するのは、いわゆるローディング効果によるものと考えられる。成膜対象のウエハの表面積が大きくなるほど、処理ガス(反応ガス)がウエハの周縁部で多量に消費され、中心部へ届きにくくなる。その結果、ウエハ上に形成される膜の面内膜厚分布が、中央凹分布となる。本実施形態によれば、ウエハとして表面積の大きなパターンウエハを用いる場合であっても、ウエハ上に形成される膜の面内膜厚分布を凸傾向に矯正し、中央凹分布からフラット分布へ近づける等、膜厚分布を高い自由度で制御することが可能となる。更に、膜厚の他、組成比や不純物濃度の面内均一性も制御できる可能性がある。
ウエハの表面積は、パターンウエハのパターンやトレンチ深さによって決定される。すなわち、パターンウエハのパターンやトレンチ深さに関係して、最適なN2ガス流量や角θの範囲は決定される。例えば、基板の表面積が大きい(トレンチが深い)ほど、角θは大きい方が望ましく、基板の表面積が小さい(トレンチが浅い)ほど、角θは小さくても良い。排気口233を処理ガスノズルと正対する位置からずらすことで、角θは最大で180°まで大きくできる。
(b)処理ガスノズルと、不活性ガスノズルと、をウエハの周方向において所定距離離れて配置することで、第1層の面内厚さ分布の制御性、すなわち、ウエハ上に形成される膜の面内膜厚分布の制御性を高めることができる。
以上、本発明の実施形態を具体的に説明した。但し、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。上述の実施形態では、基板上に主元素としてSiを含む膜を形成する例について説明したが、本発明はこのような態様に限定されない。すなわち、本発明は、Siの他、ゲルマニウム(Ge)、ボロン(B)等の半金属元素を主元素として含む膜を基板上に形成する場合にも、好適に適用することができる。また、本発明は、チタン(Ti)、ジルコニウム(Zr)、ハフニウム(Hf)、ニオブ(Nb)、タンタル(Ta)、モリブデン(Mo)、タングステン(W)、イットリウム(Y)、ランタン(La)、ストロンチウム(Sr)、アルミニウム(Al)等の金属元素を主元素として含む膜を基板上に形成する場合にも、好適に適用することができる。
例えば、チタニウムテトラクロライド(TiCl)ガスやトリメチルアルミニウム(Al(CH33、略称:TMA)ガスを用い、基板上に、チタン窒化膜(TiN膜)、チタン酸窒化膜(TiON膜)、チタンアルミニウム炭窒化膜(TiAlCN膜)、チタンアルミニウム炭化膜(TiAlC膜)、チタン炭窒化膜(TiCN膜)、チタン酸化膜(TiO膜)等を形成する場合にも、本発明を好適に適用することができる。
基板処理に用いられるレシピは、処理内容に応じて個別に用意し、電気通信回線や外部記憶装置123を介して記憶装置121c内に格納しておくことが好ましい。そして、処理を開始する際、CPU121aが、記憶装置121c内に格納された複数のレシピの中から、基板処理の内容に応じて、適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の膜を、再現性よく形成することができるようになる。また、オペレータの負担を低減でき、操作ミスを回避しつつ、
処理を迅速に開始できるようになる。
上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置122を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。
また、上述の実施形態や変形例等は、適宜組み合わせて用いることができる。このときの処理手順、処理条件は、例えば、上述の実施形態の処理手順、処理条件と同様とすることができる。
上述の実施形態や変形例等の手法により形成されるSiN膜等は、絶縁膜、スペーサ膜、マスク膜、電荷蓄積膜、ストレス制御膜等として広く用いることが可能である。近年、半導体デバイスの微細化に伴い、ウエハ上に形成される膜に対して面内膜厚均一性の要求が厳しくなっている。高密度パターンが表面に形成されたパターンウエハ上へフラットな面内膜厚分布を有する膜を形成することが可能な本発明は、この要求に答える技術として非常に有益である。
200 ウエハ(基板)、221 シャッタ、222 シャッタ開閉機構、233 排気口、249a ノズル(第1ガスノズル)、249b ノズル(第2ガスノズル)、249c ノズル(第3ガスノズル)、249d ノズル(第4ガスノズル)、263 温度センサ

Claims (14)

  1. 基板を処理する処理室と、
    前記処理室内に処理ガスを供給する処理ガスノズルと、
    前記処理室内に不活性ガスを供給する不活性ガスノズルと、
    前記処理室内の雰囲気を排気する排気管と、を備え、
    前記処理ガスノズルと前記不活性ガスノズルは、前記基板の周囲に設置され、
    前記不活性ガスノズルは、前記処理ガスノズルと前記基板の中心部とを結ぶ第1直線を境界線として区画されるそれぞれの領域に1本ずつ設置され、
    前記第1直線と、前記不活性ガスノズルと前記基板の中心部とを結ぶ第2直線とがなす角度が鈍角または直角である基板処理装置。
  2. 前記処理ガスノズルは、前記基板の中心方向に向けて前記処理ガスを供給する請求項1記載の基板処理装置。
  3. 前記不活性ガスノズルは、前記処理ガスノズルが前記処理ガスを供給した状態で、前記基板の中心部の不活性ガス濃度が前記基板の端部の不活性ガス濃度よりも低くなるように前記不活性ガスを供給する請求項1または2に記載の基板処理装置。
  4. 前記基板の表面積が第1の大きさであるときの前記角度に比べ、前記基板の表面積が前記第1の大きさよりも小さい第2の大きさであるときの前記角度は小さい請求項1乃至3のいずれかに記載の基板処理装置。
  5. 前記不活性ガスノズルは、前記基板の中心方向に前記不活性ガスを供給する請求項1乃至4のいずれかに記載の基板処理装置。
  6. 前記不活性ガスノズルは、前記境界線に対して線対称に設置される請求項1乃至5のいずれかに記載の基板処理装置。
  7. 前記不活性ガスノズルが供給する前記不活性ガスは、前記不活性ガスが供給されない場合と比較して、前記基板全面での平均的な処理ガス濃度を上昇させる請求項1乃至6のいずれかに記載の基板処理装置。
  8. 前記基板を挟んで前記処理ガスノズルと対向する位置に設けられ、前記排気管に接続する排気口を備える請求項1乃至7のいずれかに記載の基板処理装置。
  9. 前記基板を回転させる回転機構を備える請求項1乃至8のいずれかに記載の基板処理装置。
  10. 前記処理室は、垂直方向に配列させた複数の前記基板を同時に処理し、
    前記処理ガスノズルと前記不活性ガスノズルは、前記処理室の下部から上部にわたって複数の前記基板のそれぞれの中心へ向いて開口する複数のガス供給孔を有する請求項1乃至9のいずれかに記載の基板処理装置。
  11. 前記処理ガスもしくは反応中間体の分圧が、前記基板の中心付近を含む扇状の範囲で比較的高く分布するように、前記不活性ガスノズルが設置される請求項1乃至10のいずれかに記載の基板処理装置。
  12. 基板を処理する処理室内に前記基板を搬入する工程と、
    処理ガスノズルから処理ガスを前記処理室内に供給し、前記基板を処理する工程と、を有し、
    前記基板を処理する工程では、前記基板の周囲に設置された前記処理ガスノズルから前記処理ガスを供給し、前記基板の周囲に設置された不活性ガスノズルから前記処理室内に不活性ガスを供給し、
    前記不活性ガスノズルは、前記処理ガスノズルと前記基板の中心部とを結ぶ第1直線を境界線として区画されるそれぞれの領域に1本ずつ設置され、前記第1直線と、前記不活性ガスノズルと前記基板の中心部とを結ぶ第2直線とがなす角度が鈍角または直角である半導体装置の製造方法。
  13. 基板処理装置の処理室内に基板を搬入する手順と、
    処理ガスノズルから処理ガスを前記処理室内に供給し、前記基板を処理する手順と、
    前記基板を処理する手順において、前記基板の周囲に設置された前記処理ガスノズルから前記処理ガスを供給し、前記基板の周囲に設置された不活性ガスノズルから前記処理室内に不活性ガスを供給する手順と、をコンピュータによって前記基板処理装置に実行させ、
    前記不活性ガスを供給する手順において、前記処理ガスノズルと前記基板の中心部とを結ぶ第1直線を境界線として区画されるそれぞれの領域に1本ずつ設置され、前記第1直線と、前記不活性ガスノズルと前記基板の中心部とを結ぶ第2直線とがなす角度が鈍角または直角となるように配置された前記不活性ガスノズルが用いられる、記録媒体に記録されたコンピュータプログラム。
  14. 内部に基板を処理する処理室を形成する処理容器であって、
    前記処理室内に処理ガスを供給する処理ガスノズルと前記処理室内に不活性ガスを供給する不活性ガスノズルとを貫通させる側壁と、
    前記側壁を貫通した前記処理ガスノズルと前記不活性ガスノズルとが、それぞれ下方から上方に向かって沿うように配置される内壁と、
    前記処理室内の雰囲気を排気する排気口と、を備え、
    前記側壁を貫通した前記処理ガスノズルと前記不活性ガスノズルとは、前記内壁と前記基板との間の空間に設置され、
    前記不活性ガスノズルは、前記処理ガスノズルと前記基板の中心部とを結ぶ第1直線を境界線として区画されるそれぞれの領域に1本ずつ設置され、前記第1直線と、前記不活性ガスノズルと前記基板の中心部とを結ぶ第2直線とがなす角度が鈍角または直角である処理容器。
JP2019501021A 2017-02-23 2017-09-21 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器 Active JP6773880B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2017032065 2017-02-23
JP2017032065 2017-02-23
PCT/JP2017/034051 WO2018154823A1 (ja) 2017-02-23 2017-09-21 基板処理装置、半導体装置の製造方法およびプログラム

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2020128463A Division JP6998106B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法、プログラムおよび反応管
JP2020128462A Division JP7088990B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法およびプログラム

Publications (2)

Publication Number Publication Date
JPWO2018154823A1 JPWO2018154823A1 (ja) 2019-11-07
JP6773880B2 true JP6773880B2 (ja) 2020-10-21

Family

ID=63252790

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2019501021A Active JP6773880B2 (ja) 2017-02-23 2017-09-21 基板処理装置、半導体装置の製造方法、コンピュータプログラムおよび処理容器
JP2020128463A Active JP6998106B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法、プログラムおよび反応管
JP2020128462A Active JP7088990B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法およびプログラム

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2020128463A Active JP6998106B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法、プログラムおよび反応管
JP2020128462A Active JP7088990B2 (ja) 2017-02-23 2020-07-29 基板処理装置、半導体装置の製造方法およびプログラム

Country Status (6)

Country Link
US (3) US11453942B2 (ja)
JP (3) JP6773880B2 (ja)
KR (2) KR102453245B1 (ja)
CN (2) CN117810127A (ja)
TW (1) TWI667368B (ja)
WO (1) WO2018154823A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102453245B1 (ko) 2017-02-23 2022-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 처리 용기
JP6920262B2 (ja) 2018-09-20 2021-08-18 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR102630574B1 (ko) * 2018-10-31 2024-01-30 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 방법, 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP7016833B2 (ja) * 2019-05-17 2022-02-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
KR20210043810A (ko) * 2019-10-14 2021-04-22 삼성전자주식회사 반도체 제조 장비
KR20220110802A (ko) 2020-03-19 2022-08-09 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체
JP7446189B2 (ja) * 2020-09-17 2024-03-08 東京エレクトロン株式会社 処理装置及び処理方法
JP7361005B2 (ja) * 2020-09-18 2023-10-13 株式会社Kokusai Electric 基板処理装置、基板保持具、半導体装置の製造方法、及び、プログラム
CN114518724B (zh) * 2022-01-28 2023-04-28 弥费科技(上海)股份有限公司 一种适用于amhs系统的通讯装置及通讯方式
CN116479406B (zh) * 2023-06-20 2023-11-10 长鑫存储技术有限公司 化学气相沉积设备与方法

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03255618A (ja) * 1990-03-05 1991-11-14 Fujitsu Ltd 縦型cvd装置
JPH08264521A (ja) * 1995-03-20 1996-10-11 Kokusai Electric Co Ltd 半導体製造用反応炉
JP2973971B2 (ja) 1997-06-05 1999-11-08 日本電気株式会社 熱処理装置及び薄膜の形成方法
US6291800B1 (en) * 1998-02-20 2001-09-18 Tokyo Electron Limited Heat treatment apparatus and substrate processing system
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
KR100360401B1 (ko) * 2000-03-17 2002-11-13 삼성전자 주식회사 슬릿형 공정가스 인입부와 다공구조의 폐가스 배출부를포함하는 공정튜브 및 반도체 소자 제조장치
US6544869B1 (en) * 2000-06-23 2003-04-08 Matsushita Electric Industrial Co., Ltd. Method and apparatus for depositing semiconductor film and method for fabricating semiconductor device
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
JP4873820B2 (ja) * 2002-04-01 2012-02-08 株式会社エフティーエル 半導体装置の製造装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
JP4204840B2 (ja) * 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
KR100707819B1 (ko) * 2002-11-11 2007-04-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치
US7537662B2 (en) * 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
KR100771782B1 (ko) * 2003-08-26 2007-10-30 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
US7422635B2 (en) * 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
US20070137794A1 (en) * 2003-09-24 2007-06-21 Aviza Technology, Inc. Thermal processing system with across-flow liner
KR100924055B1 (ko) * 2005-02-17 2009-10-27 가부시키가이샤 히다치 고쿠사이 덴키 반도체 디바이스의 제조 방법 및 기판 처리 장치
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
US7396415B2 (en) * 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
JP4426518B2 (ja) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 処理装置
WO2007129568A1 (ja) * 2006-05-01 2007-11-15 Hitachi Kokusai Electric Inc. 基板処理装置
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US9218944B2 (en) * 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber
JP5113705B2 (ja) * 2007-10-16 2013-01-09 東京エレクトロン株式会社 薄膜形成装置の洗浄方法、薄膜形成方法、薄膜形成装置及びプログラム
KR20100114037A (ko) * 2007-12-20 2010-10-22 어플라이드 머티어리얼스, 인코포레이티드 향상된 가스 유동 분포를 가진 열 반응기
KR101431197B1 (ko) * 2008-01-24 2014-09-17 삼성전자주식회사 원자층 증착설비 및 그의 원자층 증착방법
TWI415206B (zh) * 2008-01-31 2013-11-11 Hitachi Int Electric Inc A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20090197424A1 (en) * 2008-01-31 2009-08-06 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
JP5383332B2 (ja) * 2008-08-06 2014-01-08 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
JP2010073823A (ja) * 2008-09-17 2010-04-02 Tokyo Electron Ltd 成膜装置、成膜方法、及びコンピュータ可読記憶媒体
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5658463B2 (ja) 2009-02-27 2015-01-28 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
EP2403975A1 (en) * 2009-03-03 2012-01-11 S.O.I.Tec Silicon on Insulator Technologies Gas injectors for cvd systems with the same
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011066219A (ja) * 2009-09-17 2011-03-31 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5467007B2 (ja) * 2009-09-30 2014-04-09 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
US9127360B2 (en) * 2009-10-05 2015-09-08 Applied Materials, Inc. Epitaxial chamber with cross flow
US20120280369A1 (en) * 2009-12-18 2012-11-08 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate processing apparatus, and semiconductor device
US20110247556A1 (en) * 2010-03-31 2011-10-13 Soraa, Inc. Tapered Horizontal Growth Chamber
KR101397467B1 (ko) * 2010-08-05 2014-05-20 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 기판 처리 방법 및 반도체 장치의 제조 방법
JP5524785B2 (ja) 2010-09-21 2014-06-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5805461B2 (ja) * 2010-10-29 2015-11-04 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
WO2012066977A1 (ja) * 2010-11-19 2012-05-24 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5243519B2 (ja) * 2010-12-22 2013-07-24 東京エレクトロン株式会社 成膜装置
WO2012128783A1 (en) * 2011-03-22 2012-09-27 Applied Materials, Inc. Liner assembly for chemical vapor deposition chamber
JP5959307B2 (ja) * 2011-06-22 2016-08-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
KR101879175B1 (ko) * 2011-10-20 2018-08-20 삼성전자주식회사 화학 기상 증착 장치
JP6080253B2 (ja) * 2011-12-26 2017-02-15 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
US9748125B2 (en) * 2012-01-31 2017-08-29 Applied Materials, Inc. Continuous substrate processing system
JP6105967B2 (ja) * 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6047039B2 (ja) * 2012-04-20 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6055637B2 (ja) * 2012-09-20 2016-12-27 株式会社日立国際電気 クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム
JP2014067783A (ja) * 2012-09-25 2014-04-17 Hitachi Kokusai Electric Inc 基板処理装置、半導体装置の製造方法及び基板処理方法
US20140137801A1 (en) * 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
CN104823268B (zh) * 2012-11-26 2017-11-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
JP6415808B2 (ja) * 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR20140081067A (ko) * 2012-12-21 2014-07-01 삼성전자주식회사 웨이퍼 처리 장치 및 웨이퍼 처리 방법
CN108364889A (zh) * 2013-01-16 2018-08-03 应用材料公司 石英上拱形结构及下拱形结构
JP6128969B2 (ja) * 2013-06-03 2017-05-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP5864503B2 (ja) * 2013-09-30 2016-02-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
EP3099292A1 (en) 2014-01-28 2016-12-07 Resdevco Research and Development Co. Ltd. Composition comprising xylitol and cholecalciferol for topical treatment of skin and mucous membranes
JP6307318B2 (ja) * 2014-03-24 2018-04-04 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR20160026572A (ko) * 2014-09-01 2016-03-09 삼성전자주식회사 기판 처리 장치
JP6347705B2 (ja) * 2014-09-17 2018-06-27 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR101977522B1 (ko) 2015-01-07 2019-05-10 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
JP6406671B2 (ja) 2015-02-26 2018-10-17 Kddi株式会社 コンテンツキャッシュ方法および装置
WO2016157401A1 (ja) * 2015-03-31 2016-10-06 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP6578243B2 (ja) * 2015-07-17 2019-09-18 株式会社Kokusai Electric ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
JP6454425B2 (ja) * 2015-09-28 2019-01-16 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
KR102118268B1 (ko) * 2015-09-30 2020-06-02 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
JP6760833B2 (ja) * 2016-12-20 2020-09-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR102453245B1 (ko) * 2017-02-23 2022-10-07 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 처리 용기
CN111066122B (zh) * 2017-09-22 2023-10-24 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质
JP6820816B2 (ja) * 2017-09-26 2021-01-27 株式会社Kokusai Electric 基板処理装置、反応管、半導体装置の製造方法、及びプログラム
JP7026086B2 (ja) * 2019-09-25 2022-02-25 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及び基板処理装置の洗浄方法
KR20220110802A (ko) * 2020-03-19 2022-08-09 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록매체

Also Published As

Publication number Publication date
JP2020188280A (ja) 2020-11-19
CN110121763B (zh) 2023-12-26
CN110121763A (zh) 2019-08-13
US11453942B2 (en) 2022-09-27
JP2020182001A (ja) 2020-11-05
KR20210005317A (ko) 2021-01-13
US11859280B2 (en) 2024-01-02
US20240084448A1 (en) 2024-03-14
US20220403510A1 (en) 2022-12-22
KR102203745B1 (ko) 2021-01-18
CN117810127A (zh) 2024-04-02
JP6998106B2 (ja) 2022-01-18
KR20180116224A (ko) 2018-10-24
TWI667368B (zh) 2019-08-01
US20190345605A1 (en) 2019-11-14
WO2018154823A1 (ja) 2018-08-30
TW201843339A (zh) 2018-12-16
JPWO2018154823A1 (ja) 2019-11-07
KR102453245B1 (ko) 2022-10-07
JP7088990B2 (ja) 2022-06-21

Similar Documents

Publication Publication Date Title
JP7088990B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
KR102137477B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
KR102276870B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
JP2018087370A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
US20180171467A1 (en) Method of Manufacturing Semiconductor Device, Substrate Processing Apparatus and Non-Transitory Computer-Readable Recording Medium
JP6913240B2 (ja) 半導体装置の製造方法、基板処理方法、基板処理装置、およびプログラム
JP6814057B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6867548B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6937894B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP6857760B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP6857759B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP7199497B2 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
WO2021181450A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022064600A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JP2021068916A (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190528

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20200203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200331

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20200522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200729

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200908

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201001

R150 Certificate of patent or registration of utility model

Ref document number: 6773880

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250