CN104823268B - 半导体器件的制造方法、衬底处理装置及记录介质 - Google Patents

半导体器件的制造方法、衬底处理装置及记录介质 Download PDF

Info

Publication number
CN104823268B
CN104823268B CN201380061435.0A CN201380061435A CN104823268B CN 104823268 B CN104823268 B CN 104823268B CN 201380061435 A CN201380061435 A CN 201380061435A CN 104823268 B CN104823268 B CN 104823268B
Authority
CN
China
Prior art keywords
gas
process chamber
supply
supplying
unstrpped gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380061435.0A
Other languages
English (en)
Other versions
CN104823268A (zh
Inventor
花岛建夫
王杰
野田孝晓
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
INTERNATIONAL ELECTRIC CO Ltd
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of CN104823268A publication Critical patent/CN104823268A/zh
Application granted granted Critical
Publication of CN104823268B publication Critical patent/CN104823268B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Abstract

包括通过进行规定次数的包括如下工序的循环而在衬底上形成膜的工序,所述循环包括如下工序:对处理室内的衬底供给原料气体;将残留于处理室内的原料气体排出;对处理室内的衬底供给反应气体;将残留于处理室内的反应气体排出,在供给原料气体的工序中,在实质上停止了处理室内的排气的状态下,向处理室内供给原料气体,其后,在实质上停止了处理室内的排气及原料气体的供给的状态下,向处理室内供给惰性气体。

Description

半导体器件的制造方法、衬底处理装置及记录介质
技术领域
本发明涉及半导体器件的制造方法、衬底处理装置及记录介质。
背景技术
作为半导体器件的制造工序的一工序,有时进行对处理室内的衬底供给原料气体、反应气体而在衬底上形成膜的工序。
发明内容
然而,在衬底上形成膜时,有时在处理室内的原料气体的浓度产生偏差,针对衬底的处理变得不均匀。为此,在例如处理室内收纳多个衬底而同时进行处理时等,存在所形成的膜的膜厚在衬底之间变得不均匀的情况。
本发明的目的在于提高形成于衬底上的膜的膜厚均匀性。
根据本发明的一方案,提供一种半导体器件的制造方法,包括通过进行规定次数的包括如下工序的循环而在衬底上形成膜的工序,所述循环包括如下工序:
对处理室内的所述衬底供给原料气体;
将残留于所述处理室内的所述原料气体排出;
对所述处理室内的所述衬底供给反应气体;
将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的工序中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
根据本发明的另一方案,提供一种衬底处理装置,包括:
收纳衬底的处理室;
向所述处理室内供给原料气体的原料气体供给系统;
向所述处理室内供给反应气体的反应气体供给系统;
向所述处理室内供给惰性气体的惰性气体供给系统;
对所述处理室内进行排气的排气系统;以及
控制部,其被构成为以如下方式控制所述原料气体供给系统、所述反应气体供给系统、所述惰性气体供给系统及所述排气系统:进行通过进行规定次数的包括如下处理的循环而在所述衬底上形成膜的处理,所述循环包括如下处理:对所述处理室内的衬底供给所述原料气体;将残留于所述处理室内的所述原料气体排出;对所述处理室内的所述衬底供给所述反应气体;将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的处理中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
根据本发明的又一方案,提供一种计算机可读取的记录介质,记录有如下程序,所述程序使计算机执行通过进行规定次数的包括如下步骤的循环而在衬底上形成膜的步骤,所述循环包括如下步骤:对所述处理室内的所述衬底供给原料气体;将残留于所述处理室内的所述原料气体排出;对所述处理室内的所述衬底供给反应气体;将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的步骤中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
根据本发明,提高形成于衬底上的膜的膜厚均匀性。
附图说明
图1是本发明的一实施方式中优选使用的衬底处理装置的立式处理炉的概略构成图,是用纵剖视图表示处理炉部分的图。
图2是本发明的一实施方式中优选使用的衬底处理装置的立式处理炉的概略构成图,是用图1的A-A线剖视图表示处理炉部分的图。
图3是本发明的一实施方式中优选使用的衬底处理装置的控制器的概略构成图,是用框图表示控制器的控制系统的图。
图4是表示本发明的一实施方式的成膜顺序中的成膜流程的图。
图5是表示本发明的一实施方式的成膜顺序中的气体供给、设定压力变更、APC阀开闭及高频电力供给的定时的图。
图6的(a)是表示本发明的一实施方式的变形例的成膜顺序中的气体供给、设定压力变更、APC阀开闭及高频电力供给的定时的图,图6的(b)是表示本发明的一实施方式的其他变形例的成膜顺序中的气体供给、设定压力变更、APC阀开闭及高频电力供给的定时的图。
图7是表示本发明的实施例及比较例的SiO膜的膜厚和其面间均匀性的曲线图。
图8是表示本发明的实施例及比较例的SiO膜的膜厚的面内均匀性的曲线图。
图9是表示本发明的实施例及比较例的BTBAS气体的使用量的曲线图。
图10的(a)是分别表示本发明的实施例的BTBAS气体的供给流量与SiO膜的膜厚的关系、及BTBAS气体的供给流量与SiO膜的膜厚的面内均匀性的关系的曲线图,图10的(b)是表示本发明的实施例的BTBAS气体的供给流量与SiO膜的膜厚的面内分布图。
图11是表示比较例的成膜顺序的气体供给的定时的图。
具体实施方式
<一实施方式>
以下,参照图1~图3说明本发明的一实施方式。
(1)衬底处理装置的整体构成
如图1所示,处理炉202具有作为加热手段(加热机构)的加热器207。加热器207为圆筒形状,通过被支承于作为保持板的加热器基座(未图示)而被垂直安装。加热器207也如后述那样起到利用热使气体活化(激发)的活化机构(激发部)。
在加热器207的内侧,与加热器207呈同心圆状地配设有反应管203。反应管203例如由石英(SiO2)或碳化硅(SiC)等耐热性材料形成,形成为上端封闭、下端开口的圆筒形状。在反应管203的下方,与反应管203呈同心圆状地配设有集流管(入口法兰)209。集流管209例如由不锈钢(SUS)等金属形成,形成为上端及下端开口的圆筒形状。集流管209的上端部与反应管203的下端部卡合,构成为支承反应管203。在集流管209与反应管203之间设有作为密封部件的O型环220a。集流管209被支承于加热器基座,由此成为反应管203被垂直安装的状态。主要由反应管203和集流管209构成处理容器(反应容器)。在处理容器的筒中空部形成处理室201。处理室201被构成为可利用后述的晶舟217将多张作为衬底的晶片200以水平姿势且在垂直方向排列多层的状态加以收纳。
在处理室201内,以贯穿集流管209的侧壁的方式设有喷嘴249a、249b。在喷嘴249a、249b分别连接有气体供给管232a、232b。如此,在反应管203设有2个喷嘴249a、249b和2根气体供给管232a、232b,构成为能够向处理室201内供给多种(在此为2种)气体。
在气体供给管232a、232b自上游方向依次分别设有作为流量控制器(流量控制部)的质量流量控制器(MFC)241a、241b及作为开闭阀的阀243a、243b。在气体供给管232a、232b的阀243a、243b的下游侧分别连接有供给惰性气体的气体供给管232c、232d。在气体供给管232c、232d自上游方向依次分别设有作为流量控制器(流量控制部)的MFC241c、241d及作为开闭阀的阀243c、243d。
在气体供给管232a的前端部连接有喷嘴249a。如图2所示,在反应管203的内壁与晶片200之间的圆环状空间,以自反应管203的内壁的下部沿着上部向晶片200的积载方向上方竖立的方式设置喷嘴249a。即,在排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域,沿着晶片排列区域地设置喷嘴249a。喷嘴249a构成为L字型的延伸喷嘴,其水平部被设置成贯穿集流管209的侧壁,其垂直部被设置成从至少晶片排列区域的一端侧向另一端侧竖立。在喷嘴249a的侧面设有供给气体的气体供给孔250a。气体供给孔250a以朝向反应管203的中心的方式开口,可以向晶片200供给气体。在从反应管203的下部到上部的范围设有多个气体供给孔250a,所述气体供给孔250a分别具有相同的开口面积,而且以相同的开口间距设置。
在气体供给管232b的前端部连接有喷嘴249b。喷嘴249b设于作为气体分散空间的缓冲室237内。如图2所示,在反应管203的内壁与晶片200之间的圆环状空间,而且在从反应管203内壁的下部到上部的部分,沿着晶片200的积载方向设置缓冲室237。即,缓冲室237被设置成在晶片排列区域的侧方的、水平包围晶片排列区域的区域,沿着晶片排列区域。在缓冲室237的与晶片200相邻的壁的端部设有供给气体的气体供给孔250c。气体供给孔250c以朝向反应管203的中心的方式开口,可以向晶片200供给气体。在从反应管203的下部到上部的范围设有多个气体供给孔250c,所述气体供给孔250c分别具有相同的开口面积,而且以相同的开口间距设置。
在缓冲室237的与设有气体供给孔250c的端部相反一侧的端部,以自反应管203的内壁的下部沿着上部而向晶片200的积载方向上方竖立的方式设置喷嘴249b。即,喷嘴249b被设置成在排列有晶片200的晶片排列区域的侧方的、水平包围晶片排列区域的区域,沿着晶片排列区域。喷嘴249b构成为L字型的延伸喷嘴,其水平部被设置成贯穿集流管209的侧壁,其垂直部被设置成从至少晶片排列区域的一端侧向另一端侧竖立。在喷嘴249b的侧面设有供给气体的气体供给孔250b。气体供给孔250b以朝向缓冲室237的中心的方式开口。与气体供给孔250c同样,在从反应管203的下部到上部的范围设置多个气体供给孔250b。在缓冲室237内与处理室201内之间的压差小时,可以使多个气体供给孔250c的开口面积及开口间距在从上游侧(下部)到下游侧(上部)的范围分别相等。此外,在缓冲室237内与处理室201内之间的压差大时,可以使气体供给孔250c的开口面积从上游侧向下游侧逐渐变大,或使气体供给孔250c的开口间距从上游侧向下游侧逐渐减小。
通过如上述这样在从上游侧到下游侧的范围调节各个气体供给孔250b的开口面积、开口间距,由此能够从各个气体供给孔250b分别喷出虽然存在流速差但流量大致相同的气体。并且,通过将从所述多个气体供给孔250b分别喷出的气体一起导入到缓冲室237内,由此能够在缓冲室237内进行气体的流速差的均匀化。从多个气体供给孔250b分别喷出到缓冲室237内的气体,在缓冲室237内使各气体的粒子速度缓和之后,从多个气体供给孔250c向处理室201内喷出。从多个气体供给孔250b分别喷出到缓冲室237内的气体,在从气体供给孔250c分别向处理室201内喷出时成为具有均匀的流量和流速的气体。
如此,在本实施方式中,经由配置在由反应管203的内壁与所积载的多个晶片200的端部定义的圆环状的纵长空间内、即配置在圆筒状空间内的喷嘴249a、249b及缓冲室237来输送气体。并且,从喷嘴249a、249b及分别开口于缓冲室237的气体供给孔250a~250c在晶片200的近旁首先向反应管203内喷出气体。然后,使反应管203内的气体的主要流动成为与晶片200的表面平行的方向,即水平方向。通过这样构成,由此能够向各晶片200均匀地供给气体,能够提高形成于各晶片200的膜的膜厚均匀性。在晶片200的表面上流动的气体、即反应后的残余气体朝向排气口、即后述的排气管231的方向流动。但是,该残余气体的流动方向根据排气口的位置而适当确定,不限于垂直方向。
从气体供给管232a经由MFC241a、阀243a、喷嘴249a而向处理室201内供给例如至少含有硅(Si)的原料气体(含硅气体)即硅类原料气体,作为含有规定元素的原料。
硅类原料气体是指气体状态的硅类原料,例如通过将在常温常压下为液体状态的硅类原料气化而得的气体、在常温常压下为气体状态的硅类原料等。在本说明书中使用“原料”这一措辞的情况下,有时表示“液体状态的液体原料”,有时表示“气体状态的原料气体”,或有时表示这二者。因而,在本说明书中使用“硅类原料”这一措辞的情况下,有时表示“液体状态的硅类原料”,有时表示“气体状态的硅类原料气体”,或有时表示这二者。作为硅类原料气体,例如可以使用至少含有Si和氨基(胺基)的原料气体即氨基硅烷类原料气体。氨基硅烷类原料是指具有氨基的硅烷类原料,而且也是具有甲基、乙基、丁基等烷基的硅烷类原料,是至少含有Si、氮(N)及碳(C)的原料。即,在此所述的氨基硅烷类原料也称为有机类的原料,也称为有机氨基硅烷类原料。作为氨基硅烷类原料气体,例如可以使用双叔丁基氨基硅烷(SiH2[NH(C4H9)]2,简称:BTBAS)气体。在使用如BTBAS这样在常温常压下为液体状态的液体原料时,利用汽化器、起泡器等气化系统将液体原料气化,由此作为原料气体(BTBAS气体)来供给。
从气体供给管232b经由MFC241b、阀243b、气体供给管232b、喷嘴249b、缓冲室237而向处理室201内供给例如氧化气体、即含有氧的气体(含氧气体),来作为反应气体。作为含氧气体,可以使用例如氧(O2)气体。
从气体供给管232c、232d分别经由MFC241c、241d、阀243c、243d、气体供给管232a、232b、喷嘴249a、249b和缓冲室237而向处理室201内供给例如氮(N2)气体,来作为惰性气体。
在从各气体供给管分别流过上述的气体的情况下,主要由气体供给管232a、MFC241a和阀243a构成供给含有规定元素的原料的原料供给系统、即作为原料气体供给系统(硅类原料气体供给系统)的氨基硅烷类原料气体供给系统。可以认为将喷嘴249a包含于氨基硅烷类原料气体供给系统。可以将氨基硅烷类原料气体供给系统称为氨基硅烷类原料供给系统。
此外,主要由气体供给管232b、MFC241b和阀243b构成供给反应气体的反应气体供给系统、即供给作为反应气体的氧化气体的氧化气体供给系统(含氧气体供给系统)。可以认为将喷嘴249b、缓冲室237包含于氧化气体供给系统。也可以将氧化气体供给系统称为氧化剂供给系统。
此外,主要由气体供给管232c、232d、MFC241c、241d、阀243c、243d构成惰性气体供给系统。可以将惰性气体供给系统称为吹扫气体供给系统。
如图2所示,在缓冲室237内,由导电体形成且具有细长构造的2根棒状电极269、270在从反应管203的下部到上部的范围沿晶片200的层叠方向设置。棒状电极269、270分别被设置成与喷嘴249b平行。在从上部到下部的范围由电极保护管275覆盖各个棒状电极269、270而加以保护。棒状电极269、270的任一方经由整合器272连接于高频电源273,另一方连接于作为基准电位的地线。经由整合器272从高频电源273向棒状电极269、270之间施加高频(RF)电力,由此在棒状电极269、270之间的等离子体生成区域224生成等离子体。主要由棒状电极269、270、电极保护管275构成作为等离子体发生器(等离子体发生部)的等离子体源。可以认为整合器272、高频电源273包含于等离子体源。等离子体源如后所述作为将气体活化(激发)为等离子体状态的活化机构(激发部)发挥作用。
电极保护管275成为能够以使棒状电极269、270分别相对于缓冲室237内的气氛隔离的状态而插入于缓冲室237内的构造。若电极保护管275内部的氧浓度为与外部气体(大气)的氧浓度相同程度,则分别插入于电极保护管275内的棒状电极269、270由于加热器207的热而被氧化。通过在电极保护管275的内部预先填充N2气体等惰性气体,或使用惰性气体吹扫机构用N2气体等惰性气体对电极保护管275的内部进行吹扫,由此能够降低电极保护管275内部的氧浓度,防止棒状电极269、270的氧化。
在反应管203设有将处理室201内的气氛排出的排气管231。在排气管231经由作为检测处理室201内的压力的压力检测器(压力检测部)的压力传感器245及作为排气阀(压力调整部)的APC(Auto Pressure Controller)阀244,连接有作为真空排气装置的真空泵246。APC阀244是被构成为如下这样的阀:通过在使真空泵246工作的状态下将阀开闭,由此能够进行处理室201内的真空排气及真空排气停止,而且通过在使真空泵246工作的状态下基于利用压力传感器245检测到的压力信息来调节阀开度,由此能够调整处理室201内的压力。主要由排气管231、APC阀244和压力传感器245构成排气系统。可以认为将真空泵246包含于排气系统。排气管231不限于设于反应管203的情况,也可以与喷嘴249a、294b同样地设于集流管209。
在集流管209的下方设有可将集流管209的下端开口气密地封闭的作为炉口盖体的密封盖219。
密封盖219被构成为从垂直方向下侧抵接于集流管209的下端。密封盖219例如由SUS等金属形成,形成为圆盘状。在密封盖219的上表面设有与集流管209的下端抵接的作为密封部件的O型环220b。在密封盖219的与处理室201相反一侧设有后述的使晶舟217旋转的旋转机构267。旋转机构267的旋转轴255贯穿密封盖219而与晶舟217连接。旋转机构267被构成为通过使晶舟217旋转来使晶片200旋转。密封盖219被构成为通过垂直设于反应管203的外部的作为升降机构的晶舟升降机115而沿垂直方向升降。晶舟升降机115被构成为能够通过使密封盖219来将晶舟217相对于处理室201内外搬入及搬出。晶舟升降机115构成为将晶舟217及由晶舟217支承的晶片200向处理室201内外搬送的搬送装置(搬送机构)。
作为衬底支承工具的晶舟217被构成为:将多张例如25~200张晶片200以水平姿势且彼此中心对齐的状态在垂直方向排列整齐地呈多层支承,也就是使多张晶片200空开间隔地排列。晶舟217例如由石英、SiC等耐热性材料形成。在晶舟217的下部设有例如由石英、SiC等耐热性材料形成的隔热部件218,构成为来自加热器207的热难以传递到密封盖219侧。但是,本实施方式不限于该形式。例如可以用由石英、碳化硅等耐热性材料形成的多张隔热板和将这些隔热板以水平姿势呈多层地支承的隔热板支架来构成隔热部件218。
在反应管203内设有作为温度检测器的温度传感器263。通过基于由温度传感器263检测到的温度信息来调整向加热器207的通电情况,由此使处理室201内的温度成为所希望的温度分布。温度传感器263与喷嘴249a、249b同样地构成为L字型,沿着反应管203的内壁设置。
如图3所示,作为控制部(控制手段)的控制器121构成为包括CPU(CentralProcessing Unit)121a、RAM(Random Access Memory)121b、存储装置121c和I/O端口121d的计算机。RAM121b、存储装置121c、I/O端口121d经由内部总线121e而能够与CPU121a进行数据交换。在控制器121连接有例如构成为触摸面板等的输入输出装置122。
存储装置121c例如由闪存、HDD(Hard Disk Drive)等构成。在存储装置121c内可读取地存储有控制衬底处理装置的动作的控制程序、和记载有后述的膜形成等衬底处理的步骤、条件等的工艺制程等。工艺制程是以使控制器121执行后述的膜形成工序等衬底处理工序中的各步骤从而可获得规定结果的方式组合而成的,作为程序发挥作用。以下,将该工艺制程、控制程序等总称而简称为程序。在本说明书中使用“程序”这一措辞的情况下,有时仅指工艺制程单体,有时仅指控制程序单体,或有时包括该二者。RAM121b构成为暂时保存可由CPU121a读取的程序、数据等的存储区域(工作区域)。
I/O端口121d与上述的MFC241a~241d、阀243a~243d、压力传感器245、APC阀244、真空泵246、温度传感器263、加热器207、旋转机构267、晶舟升降机115、整合器272、高频电源273等连接。
CPU121a被构成为从存储装置121c读取并执行控制程序,并且根据从输入输出装置122的操作指令的输入等而从存储装置121c读取工艺制程。CPU121a被构成为按照所读取的工艺制程的内容,进行如下等的控制:利用MFC241a~241d进行的各种气体的流量调整动作;阀243a~243d的开闭动作;APC阀244的开闭动作及利用APC阀244基于压力传感器245进行的压力调整动作;真空泵246的起动及停止;利用加热器207基于温度传感器263的温度调整动作;利用旋转机构267进行的晶舟217的旋转及旋转速度调节动作;利用晶舟升降机115进行的晶舟217的升降动作;利用整合器272进行的阻抗调整动作;高频电源273的电力供给。
控制器121不限于构成为专用计算机的情况,可以构成为通用的计算机。例如可以是准备保存有上述程序的外部存储装置(例如,磁带、软盘、硬盘等磁盘、CD、DVD等光盘、MO等光磁盘、USB存储器、存储卡等半导体存储器)123,使用该外部存储装置123向通用的计算机安装程序等,由此构成本实施方式的控制器121。但是,用于向计算机供给程序的手段不限于经由外部存储装置123供给的情况。例如可以是使用网络、专用线路等通信手段,不经由外部存储装置123地供给程序。存储装置121c、外部存储装置123构成为计算机可读取的记录介质。以下,将这些总称而简称为记录介质。在本说明书中使用“记录介质”这一措辞的情况下,有时仅指存储装置121c单体,有时仅指外部存储装置123单体,或有时包括该二者。
(2)膜形成工序
接着,说明使用上述的衬底处理装置的处理炉202,在衬底上形成(成膜)膜而作为半导体器件(半导体元器件)的制造工序的一工序的顺序例。在以下的说明中,通过控制器121控制构成衬底处理装置的各部的动作。
在本实施方式中,包括通过进行规定次数的包括如下工序的循环而在晶片200上形成膜的工序,
所述循环包括如下工序:对处理室201内的作为衬底的晶片200供给原料气体;将残留于处理室201内的原料气体排出;对处理室201内的晶片200供给反应气体;将残留于处理室201内的反应气体排出,
在对晶片200供给原料气体的工序中,在实质上停止了处理室201内的排气的状态下,向处理室201内供给原料气体,其后,在实质上停止了处理室201内的排气及原料气体的供给的状态下,向处理室201内供给惰性气体。
以下,使用图4、图5具体说明本实施方式的成膜顺序。
在此,对通过进行规定次数的包括如下工序的循环而在晶片200上形成硅氧化膜(以下,也称为SiO膜)的例子进行说明,所述循环包括如下工序:
对处理室201内的晶片200供给含硅气体即BTBAS气体而作为原料气体;将残留于处理室201内的BTBAS气体排出;对处理室201内的晶片200供给含氧气体即O2气体而作为反应气体;将残留于处理室内的O2气体排出。
在此,在对晶片200供给BTBAS气体的工序中,在实质上停止了处理室201内的排气的状态下,向处理室201内供给BTBAS气体,其后,在实质上停止了处理室201内的排气及BTBAS气体的供给的状态下,向处理室201内供给N2气体而作为惰性气体。
在本说明书中使用“晶片”这一措辞的情况下,有时指“晶片本身”,有时指“晶片和形成于其表面上的规定的层、膜等的层叠体(集合体)”,即有时包括形成于表面的规定的层、膜等在内地称为晶片。此外,在本说明书中使用“晶片的表面”这一措辞的情况下,有时指“晶片本身的表面(露出面)”,有时指“形成于晶片上的规定的层、膜等的表面、即作为层叠体的晶片的最外表面”。
从而,在本说明书中记载为“对晶片供给规定的气体”的情况下,有时是指“对晶片本身的表面(露出面)直接供给规定的气体”,有时是指“对形成于晶片上的层、膜等,即对作为层叠体的晶片的最外表面,供给规定的气体”。此外,在本说明书中记载为“在晶片上形成规定的层(或膜)”的情况下,有时是指“在晶片本身的表面(露出面)上直接形成规定的层(或膜)”,有时是指“在形成于晶片上的层、膜等之上,即在作为层叠体的晶片的最外表面之上形成规定的层(或膜)”。
在本说明书中使用“衬底”这一措辞的情况下,也与使用“晶片”这一措辞的情况相同,在该情况下,在上述说明中将“晶片”置换为“衬底”来考虑即可。
(填充晶片及装载晶舟)
多个晶片200被装填(晶片填充)于晶舟217上时,如图1所示,支承着多个晶片200的晶舟217通过晶舟升降机115而被抬起,搬入(晶舟装载)到处理室201内。在该状态下,成为密封盖219借助O型环220b将集流管209的下端密封的状态。
(压力调整及温度调整)
通过真空泵246进行真空排气,以使得处理室201内的压力、即晶片200所存在的空间的压力成为所希望的压力(真空度)。此时,由压力传感器245测定处理室201内的压力,基于该测定到的压力信息,反馈控制APC阀244(压力调整)。此时,例如可以是打开阀243c、243d,从气体供给管232c、232d向处理室201内供给N2气体,同时将APC阀244全开(fullopen)。也就是说,可以不对APC阀244反馈控制而仅是使其全开,供给规定量的N2气体,由此来进行处理室201内的压力调整。真空泵246至少在对晶片200的处理结束之前的期间始终维持工作的状态。
此外,通过加热器207进行加热,以使得处理室201内的晶片200成为所希望的温度。此时,为使处理室201内成为所希望的温度分布,基于温度传感器263检测到的温度信息来反馈控制对加热器207的通电情况(温度调整)。利用加热器207进行的处理室201内的加热,至少在对晶片200的处理结束之前的期间持续进行。但是,在室温下进行对晶片200的处理的情况下,可以不进行利用加热器207的处理室201内的加热。
接着,开始利用旋转机构267进行的晶舟217及晶片200的旋转。利用旋转机构267进行的晶舟217及晶片200的旋转至少在对晶片200的处理结束之前的期间持续进行。
(SiO膜形成工序)
其后,依次执行下述的2个步骤,即步骤1、2。
[步骤1]
在步骤1进行如下工序:在实质上停止了处理室201内的排气的状态下,向处理室201内供给BTBAS气体(BTBAS气体供给)。其后,向处理室201内供给作为惰性气体的N2气体(扩散用N2气体供给),由此对晶片200供给BTBAS气体。此外,进行将残留于处理室201内的BTBAS气体排出的工序(残留气体除去)。
(BTBAS气体供给)
打开阀243a,向气体供给管232a内流过BTBAS气体。BTBAS气体通过MFC241a而被调整流量,从气体供给孔250a向处理室201内供给。此时,对晶片200供给BTBAS气体(BTBAS气体供给)。
此时,为了防止BTBAS气体向缓冲室237内、喷嘴249b内侵入,打开阀243d,向气体供给管232d内流过N2气体。N2气体经由气体供给管232b、喷嘴249b、缓冲室237而向处理室201内供给。
此时,形成实质上将作为排气阀的APC阀244关闭了的状态、实质上停止了处理室201内的排气的状态。“实质上”包括以下状态。即,使APC阀244为全闭(full close)、停止了处理室201内的排气的状态。还包括将APC阀244稍微打开、对处理室201内稍微排气的状态。在此,在将APC阀244稍微打开、对处理室201内稍微排气时,优选是成为使处理室201内的每单位时间的排气量(排气速率)V(sccm)远小于BTBAS气体的每单位时间的供给量(供给速率)FB(sccm)的状态、也就是说FB>>V。此外,基于后述的理由,与将处理室201内稍微排气的状态相比,形成将APC阀244全闭而停止了处理室201内的排气的状态,更为优选。
以下,在本实施方式中,在将APC阀244全闭、停止了处理室201内的排气的状态下进行BTBAS气体的供给。即,通过不对APC阀244反馈控制而仅是使其全闭、供给规定量的BTBAS气体,由此进行处理室201内的压力调整。如此,通过形成停止了处理室201内的排气的状态,由此BTBAS气体被封入处理室201内。此外,在继续BTBAS气体的供给期间,处理室201内的压力(实际压力)向规定的设定压力上升。由此,形成BTBAS气体充满于处理室201内的状态,能够进一步促进BTBAS气体对晶片200上的吸附。
通过这样对晶片200供给BTBAS气体,由此开始在晶片200(表面的基底膜)上形成例如厚度为不足1原子层~数原子层左右的含Si层而作为第一层。含Si层可以是Si层,也可以是BTBAS气体的吸附层,还可以包括这二者。
Si层是包括由Si构成的连续的层、不连续的层、这些层重叠所形成的Si薄膜在内的总称。有时将由Si构成的连续的层称为Si薄膜。构成Si层的Si包括与氨基(也包括在氨基键合有烷基的基团)的键未被完全切断的Si、与氢(H)的键未被完全切断的Si。
BTBAS气体的吸附层包括BTBAS气体的气体分子的连续的吸附层、不连续的吸附层。即,BTBAS气体的吸附层包括由BTBAS分子构成的厚度为1分子层或不足1分子层的吸附层。构成BTBAS气体的吸附层的BTBAS分子包括Si与氨基的键一部分被切断的物质、Si与H的键一部分被切断的物质。即,BTBAS气体的吸附层可以是BTBAS气体的物理吸附层,也可以是BTBAS气体的化学吸附层,可以包括该二者。
在此,厚度不足1原子层的层是指不连续地形成的原子层,1原子层的厚度的层是指连续形成的原子层。厚度不足1分子层的层是指不连续地形成的分子层,1分子层的厚度的层是指连续形成的分子层。含Si层可包括Si层和BTBAS气体的吸附层这二者,如上所述,关于含Si层,使用“1原子层”、“数原子层”等表述。
在BTBAS气体自分解(热分解)的条件下,即产生BTBAS的热分解反应的条件下,在晶片200上堆积Si,由此形成Si层。在BTBAS气体不进行自分解(热分解)的条件下,即不产生BTBAS的热分解反应的条件下,BTBAS气体吸附在晶片200上,由此形成BTBAS气体的吸附层。与在晶片200上形成BTBAS气体的吸附层相比,在晶片200上形成Si层能够提高成膜速度、即成膜速率,较为优选。
当形成于晶片200上的含Si层的厚度超过数原子层时,在后述的步骤2的改性的作用无法达到含Si层的整体。此外,可形成在晶片200上的含Si层的厚度的最小值为不足1原子层。因此,含Si层的厚度优选是不足1原子层~数原子层左右。通过使含Si层的厚度为1原子层以下、即为1原子层或不足1原子层,由此能够相对提高在后述的步骤2的改性反应的作用,能够缩短步骤2的改性反应所需的时间。能够缩短步骤1的含Si层的形成所需的时间。结果,能够缩短每1循环的处理时间,也能缩短总体的处理时间。即,可以提高成膜速率。此外,通过使含Si层的厚度为1原子层以下,也能提高膜厚均匀性的控制性。
此外,若将BTBAS气体如上述这样向处理室201内供给,则有时处理室201内的BTBAS气体的浓度存在偏差。作为处理室201内的BTBAS气体的浓度偏差的要因,作为一例可举出:例如,向处理室201内的各晶片200的供给时间的差异、也就是说从供给到喷嘴249a内到到达晶片200为止的时间(路径)的差异。这样的供给时间的差异是由于处理室201内的BTBAS气体的供给开始位置的差异而产生。也就是说,以喷嘴249a最下端的气体供给孔250a为起点,BTBAS气体到达至喷嘴249a最上端的气体供给孔250a,自最上端的气体供给孔250a开始喷出BTBAS气体需要规定的时间,因此产生供给时间的差异。
此外,认为由于BTBAS气体的供给时间、供给路径的差异也产生以下的现象。即,BTBAS气体是容易吸附于晶片200等的反应性高的气体。向处理室201内供给BTBAS气体时,在喷嘴249a内从上游侧向下游侧、也就是说在喷嘴249a内从下方向上方通过时,例如BTBAS气体吸附在喷嘴249a的内壁等之类,有时BTBAS气体的一部分在中途被消耗。在该情况下,从喷嘴249a的上方的气体供给孔250a喷出的BTBAS气体的量相比于从喷嘴249a的下方的气体供给孔250b喷出的BTBAS气体的量变少。因此,对于在通过晶舟217在垂直方向呈多层排列的晶片200中的位于上方的晶片200,与位于下方的晶片200相比,仅供给少量的BTBAS气体。如此,由于BTBAS气体到达处理室201内排列的各晶片200的路径的长度,即BTBAS气体到达各晶片200所需时间的长短,有时对各晶片200的BTBAS气体的供给量在局部不同。如此,在处理室201内产生BTBAS气体的浓度偏差的原因认为有多种因素。
若BTBAS气体的供给量局部有差异,则向各晶片200上的含Si层的形成速度、即成膜速率产生差别。因此,形成在各晶片200上的含Si层的厚度不同。此外,在后述的含Si层的改性(氧化)时,有时由于含Si层的厚度而使改性状态、即氧化情况产生差别。因此,最终形成的SiO膜的膜厚、膜质在晶片200之间变得不均匀。
因此,在本实施方式中,如以下这样进行扩散用N2气体的供给,来谋求处理室201内的BTBAS气体的浓度均匀化。
(供给扩散用N2气体)
供给了规定时间或规定量的BTBAS气体之后,打开阀243c,向气体供给管232c内流过N2气体。N2气体通过MFC241c而被调整流量,在气体供给管232a内流动,从气体供给孔250a向处理室201内供给(供给扩散用N2气体)。此时,成为实质上停止了处理室201内的排气及BTBAS气体的供给的状态。但是,继续进行从气体供给管232d的N2气体供给。
具体而言,此时,使作为排气阀的APC阀244为实质上关闭的状态,成为实质上停止了处理室201内的排气的状态。“实质上”包括以下状态。即,包括将APC阀244全闭、停止了处理室201内的排气的状态。还包括将APC阀244稍微打开、对处理室201内稍微排气的状态。在此,在将APC阀244稍微打开而对处理室201内稍微排气时,优选是成为处理室201内的每单位时间的排气量(排气速率)V(sccm)远小于N2气体的每单位时间的供给量(供给速率)FN(sccm)的状态,也就是说成为FN>>V。
此外,“实质上停止了BTBAS气体的供给的状态”包括以下状态。即,包括关闭阀243a而停止向处理室201内供给BTBAS气体的状态。还包括如下状态:通过MFC241a进行流量调整以使BTBAS气体的供给量成为极微量,向处理室201内供给少许BTBAS气体。在此,在供给少许BTBAS气体时,优选是成为N2气体的供给时的BTBAS气体的每单位时间的供给量(供给速率)F0(sccm)远小于上述的BTBAS气体的供给时的BTBAS气体的每单位时间的供给量(供给速率)FB(sccm)的状态,也就是说成为FB>>F0
此外,基于后述的理由,与对处理室201内稍微排气的状态相比,形成将APC阀244全闭而停止了处理室201内的排气的状态,更为优选。此外,与供给少许BTBAS气体的状态相比,形成停止了BTBAS气体的供给的状态,更为优选。
以下,在本实施方式中,在将APC阀244全闭、停止了处理室201内的排气的状态下进行扩散用N2气体的供给。即,不对APC阀244进行反馈控制而是仅将其全闭,供给规定量的N2气体,由此进行处理室201内的压力调整。此时,停止向处理室201内供给BTBAS气体。即使停止BTBAS气体的供给,也维持停止处理室201内的排气的状态,因此能够保持至此所供给的BTBAS气体被封入处理室201内的状态。此外,在继续N2气体的供给的期间,处理室201内的压力(实际压力)向规定的设定压力进一步上升。
在上述的BTBAS气体的供给时及N2气体的供给时,使处理室201内的设定压力例如为1~13300Pa,优选是20~1330Pa的范围内的压力(例如533Pa(4Torr)以下的压力)。如上所述,处理室201内的实际压力,由于在停止了处理室201内的排气的状态下供给BTBAS气体或N2气体,而朝向设定压力上升。由MFC241a控制的BTBAS气体的供给流量(供给速率)为例如1~2000sccm的范围内的流量,例如为150sccm等。由MFC241c、241d控制的N2气体的总供给流量为例如100~10000sccm,优选是250~350sccm的范围内的流量。向晶片200供给BTBAS气体及N2气体的时间、即气体供给时间(照射时间)分别是例如1~100秒,优选是2~30秒,更优选是2~10秒的范围内的时间。此时,BTBAS气体被供给规定时间或规定量后,只要从BTBAS气体切换为N2气体即可,可以使BTBAS气体的供给时间为例如2秒,N2气体的供给时间为例如5秒等。
此时加热器207的温度被设定为如下温度:使得晶片200的温度为例如室温以上200℃以下、优选是室温以上150℃以下、更优选是室温以上100℃以下的范围内的温度。BTBAS气体是容易向晶片200等吸附、反应性高的气体。因此,即使在例如40℃以下的室温程度的低温下,也能使BTBAS气体化学吸附到晶片200上,能够得到实用的成膜速率。如本实施方式这样,使晶片200的温度为200℃以下、进一步为150℃以下、再进一步为100℃以下,由此能够降低施加于晶片200的热量,能够良好地进行晶片200受到的热影响的控制。此外,若是室温以上的温度,则能够使BTBAS充分地吸附于晶片200上,能够获得充分的成膜速率。因此,可以使晶片200的温度为室温以上200℃以下、优选是室温以上150℃以下、进一步优选是室温以上100℃以下的范围内的温度。
如上所述,即使在停止了处理室201内的排气的状态下继续向处理室201内供给BTBAS气体,有时BTBAS气体也无法均匀地遍布于处理室201内。也就是说,继续向处理室201内供给BTBAS气体,有时也无法消除处理室201内的BTBAS气体的浓度偏差、即处理室201内的上方与下方的BTBAS气体的局部供给量之差。
因此,在本实施方式中,如上所述,在新供给BTBAS气体的状态下,即在难以新产生浓度偏差的状态下,向处理室201内供给扩散用N2气体。由此,能够促进处理室201内的BTBAS气体的扩散。也就是说,在停止了处理室201内的排气的状态下向处理室201内供给扩散用N2气体,由此处理室201内成为愈发充满了气体的高压状态。此时,扩散用N2气体作为使BTBAS气体扩散到处理室201内的载体气体发挥作用。此外,从规定方向的动态来看,扩散用N2气体如将BTBAS气体从例如处理室201的下方推向上方的活塞那样发挥作用。通过这样的作用,在处理室201内的BTBAS气体的浓度偏差变得显著之前,能够使BTBAS气体均匀地遍布于处理室201内。也就是说,通过BTBAS气体的供给而缓和了在步骤1的初始产生的浓度偏差,能够使处理室201内的BTBAS气体的浓度更均匀。
此外,在本实施方式中,停止处理室201内的排气及BTBAS气体的供给。由此,能够停止从供给侧直接到达排气侧这样的BTBAS气体的流动。在处理室201内的这样的流动有时妨碍BTBAS气体向处理室201内的上方扩散。通过不形成这样的BTBAS气体流动,换言之能够在处理室201内形成BTBAS气体的扩散饱和了的状态(以下,也简称为饱和状态)。而且,能够使BTBAS气体充分扩散到处理室201内的上方,能够使处理室201内的状态在短时间达到BTBAS气体更均匀扩散的状态。也就是说,能够使BTBAS气体供给后的处理室201内的状态在短时间达到在从处理室201的下方到上方的范围、BTBAS气体更均匀扩散的状态。由于停止了处理室201内的排气,因此只要在步骤1的初始,以形成上述的饱和状态所需的量供给BTBAS气体,则不会出现在步骤1的中途、BTBAS气体从处理室201内排气的情况。因此,不需要为了维持上述饱和状态而持续供给BTBAS气体。其后,通过维持上述饱和状态规定时间,由此能够确保BTBAS气体向晶片200上的吸附、在晶片200上的BTBAS气体的分解所需的反应时间、即在晶片200上形成含Si层所需的反应时间。
与在停止了处理室201内的排气的状态下、向处理室201内以规定时间(例如7秒)持续供给BTBAS气体的情况相比,在停止了处理室201内的排气的状态下向处理室201内供给2秒的BTBAS气体,其后将处理室201内的排气及向处理室201内的BTBAS气体的供给分别停止,在这样的状态下向处理室201内供给5秒的N2气体,在该情况下能抑制处理室201的BTBAS气体的浓度偏差,能够使BTBAS气体更均匀向处理室201扩散。也就是说,与用1阶段进行BTBAS气体的封入的情况相比,通过用2阶段进行BTBAS气体的封入,由此即使封入BTBAS气体的时间相同,也能抑制处理室201的BTBAS气体的浓度偏差,能够使BTBAS气体更均匀地向处理室201扩散。结果,能够提高SiO膜的膜厚、膜质的晶片200之间的均匀性(面间均匀性)。
此时,要利用N2气体使BTBAS气体遍布于处理室201内,则优选是将处理室201内的压力尽可能地维持较高。但在本实施方式中,如上所述,处理室201内的设定压力为例如533Pa以下。如此,通过将处理室201内的压力抑制在规定值以下,能够迅速地进行接着要进行的残留气体的除去,能够防止成膜速率的降低、维持成膜处理的处理量。
此外,通过以2阶段进行BTBAS气体的封入,由此与在BTBAS气体的供给停止后立即进行残留气体除去的情况相比,能够减少对含Si层的形成无益而被排气的BTBAS气体的量。因此,能够谋求BTBAS气体的使用量的减少。
处理室201内的BTBAS气体的浓度不仅在处理室201内的上下方向均匀化,在水平方向上也均匀化。也就是说,通过供给扩散用N2气体,由此能够从例如反应管203的内壁与晶片200之间的圆环状空间,向被呈多层排列的晶片200彼此之间所夹着的空间压入BTBAS气体。即,通过供给扩散用N2气体,由此能够使BTBAS气体从晶片200的外周附近向晶片200的中心附近移动。由此,不仅对于晶片200之间,对于晶片200的面内的SiO膜的膜厚、膜质的均匀性(面内均匀性)也能提高。
上述的“BTBAS气体均匀地遍布于处理室201内的状态”未必一定仅指BTBAS气体完全均匀地分散于处理室201内的状态,即未必一定仅指处理室201内的BTBAS气体的浓度偏差为零的状态。例如,只要是扩散用N2气体供给时的处理室201内的BTBAS气体的浓度分布至少比BTBAS气体供给时的处理室201内的BTBAS气体的浓度分布均匀,就能获得上述的作用效果。此外,扩散用N2气体供给时的处理室201内的BTBAS气体的浓度分布至少比不进行扩散用N2气体的供给而持续进行BTBAS气体的供给时的处理室201内的BTBAS气体的浓度分布均匀,则就能获得上述的作用效果。
(除去残留气体)
在供给了规定时间或规定量的扩散用N2气体之后,将APC阀244例如全开,通过真空泵246对处理室201内进行真空排气,将残留于处理室201内的未反应或助于含Si层的形成后的BTBAS气体从处理室201内排除(残留气体除去)。但只要获得充分的排气量,可以不将APC阀244全开。此时,阀243c、243d保持打开,维持向处理室201内供给作为惰性气体的N2气体。N2气体作为吹扫气体发挥作用,由此可以提高将残留于处理室201内的未反应或助于含Si层的形成后的BTBAS气体从处理室201内排除的效果。
此时,可以将残留于处理室201内的气体不完全排除,也可以对处理室201内不完全吹扫。若残留于处理室201内的气体是微量,则在其后进行的步骤2中不会产生不良影响。此时向处理室201内供给的N2气体的流量也不需要是大流量,例如可以通过供给与反应管203(处理室201)的容积相同程度的量,来进行在步骤2中不会产生不良影响的程度的吹扫。如此,通过不将处理室201内完全吹扫,由此可以缩短吹扫时间、提高处理量。而且,可以将N2气体的消耗也抑制到所需最低限。
作为氨基硅烷类原料气体,除了BTBAS气体之外,可以使用四(二甲基氨基)硅烷(Si[N(CH3)2]4,简称:4DMAS)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H,简称:3DMAS)气体、二(二乙基氨基)硅烷(Si[N(C2H5)2]2H2,简称:2DEAS)气体等有机硅烷类原料气体。作为惰性气体,除了N2气体之外,可以使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。
[步骤2]
(供给O2气体)
在步骤1结束而除去了处理室201内的残留气体之后,打开阀243b,向气体供给管232b内流过O2气体。O2气体通过MFC241b而被调整流量,从气体供给孔250b向缓冲室237内供给。此时,在棒状电极269、270之间从高频电源273经由整合器272施加高频电力,由此供给到缓冲室237内的O2气体被等离子体激发,作为活性种而从气体供给孔250c供给到处理室201内,从排气管231被排气。此时对晶片200供给被等离子体活化(激发)后的O2气体。
此时,为了防止O2气体向喷嘴249a内侵入,打开阀243c,在气体供给管232c内流过N2气体。N2气体经由气体供给管232a和喷嘴249a而向处理室201内供给,从排气管231排气。
此时,适当调整APC阀244,使处理室201内的压力为例如1~100Pa的范围内的压力(例如1Pa等)。在此,例如使APC阀244为全开。即,不需要对APC阀244反馈控制而仅是使其全开,以规定量供给规定气体,由此将处理室201内的压力控制为例如1Pa。通过MFC241b控制的O2气体的供给流量为例如100~10000sccm,优选为3000~4000sccm的范围内的流量。通过MFC241c控制的N2气体的供给流量为例如100~10000sccm的范围内的流量(例如100sccm等)。对晶片200供给O2气体的时间、即气体供给时间(照射时间)例如为1~120秒,优选为1~60秒的范围内的时间。加热器207的温度设定为使得晶片200的温度成为与步骤1的BTBAS气体的供给时同样的温度区域,即例如为室温以上200℃以下、优选是室温以上150℃以下、更优选是室温以上100℃以下的范围内的温度。通过使用等离子体,即使处理室201内的温度为比较低的温度区域,也能使O2气体活化。从高频电源273施加于棒状电极269、270之间的高频电力设定为例如50~1000W的范围内的电力。
向处理室201内流动的气体是由等离子体激发后的O2气体,例如含有氧自由基(O2*)等活性种。此外,向处理室201内不流入BTBAS气体。因此,O2气体不会引起气相反应,以被活化的状态向晶片200供给,主要由该活性种,对在步骤1中形成于晶片200上的含Si层进行氧化处理。该活性种所具有的能量高于含Si层中所含的Si-N键、Si-H键的键能,因此通过将该活性种的能量施加于含Si层,则含Si层中所含的Si-N键、Si-H键被切断。与Si的键被切断了的N、H、及与N键合的C被从含Si层中除去,以N2、H2、CO2等的形式被排出。此外,通过与N、H的键被切断而剩余的Si的键,与活性种所含的O结合而形成Si-O键。如此,使含Si层变为硅氧化层(SiO层)(被改性)。
(残留气体除去)
其后,停止向棒状电极269、270之间的高频电力供给。此外,关闭阀243b,停止向处理室201内的O2气体供给。此时,将排气管231的APC阀244例如全开,通过真空泵246对处理室201内进行真空排气,将残留于处理室201内的未反应或助于反应后的O2气体、反应副生成物从处理室201内排除(残留气体除去)。但只要可获得充分的排气量,则可以不使APC阀244为全开。此时,阀243c在保持打开的状态下进一步将阀243d打开,维持向处理室201内供给作为惰性气体的N2气体。N2气体作为吹扫气体发挥作用,由此,能够提高将残留于处理室201内的未反应或助于反应后的O2气体、反应副生成物从处理室201内排除的效果。
此时,可以将残留于处理室201内的气体不完全排除,也可以对处理室201内不完全吹扫。若残留于处理室201内的气体是微量,则在其后进行的步骤1中不会产生不良影响。此时向处理室201内供给的N2气体的流量也不需要是大流量,例如可以通过供给与反应管203(处理室201)的容积相同程度的量,来进行在步骤1中不会产生不良影响的程度的吹扫。如此,通过不将处理室201内完全吹扫,由此可以缩短吹扫时间、提高处理量。而且,可以将N2气体的消耗也抑制到所需最低限。
图5中通过描绘线的高度表示各步骤中的N2气体的目标流量(图中的N2气体的流量为,残留气体除去时>扩散用N2气体供给时>BTBAS气体供给时>O2气体供给时)。如此,通过将扩散用N2气体供给时的N2气体的流量至少比BTBAS气体供给时的N2气体的流量增大,由此能够补偿由于BTBAS气体的供给停止所引起的整体的气体流量的降低,而且能够进一步提高利用N2气体进行的使BTBAS气体在处理室201内的扩散速度。因此,能够以更短时间使BTBAS气体在处理室201内均匀遍布。也就是说,能够以更短时间形成从处理室201内的下方到上方、BTBAS气体更均匀扩散的状态。此外,能够抑制因整体的气体流量的急剧变化所致的处理室201内的压力变动等,实现稳定的压力上升。但N2气体的各流量、其大小关系终归仅是一例,不受此限。
此外,图5中通过描绘线的高度表示各步骤的处理室201内的设定压力(图中的设定压力为:BTBAS气体供给时≒扩散用N2气体供给时>O2气体供给时≒残留气体除去时)。由此,至少将向晶片200的BTBAS气体供给时、也就是说BTBAS气体供给时及扩散用N2气体供给时的处理室201内的压力,维持在比O2气体供给时、残留气体除去时的处理室201内的压力高的高压状态。也就是说,能够更可靠地维持将BTBAS气体以高压状态封入处理室201内的状态。但处理室201内的各设定压力、其大小关系终归仅是一例,不受此限。
作为含氧气体、即氧化气体,除了O2气体之外,可以使用臭氧(O3)气体、水蒸气(H2O气体)等。此外,也可以使用一氧化氮(NO)气体、氧化亚氮(N2O)气体等。作为惰性气体,除了N2气体之外,可以使用Ar气体、He气体、Ne气体、Xe气体等稀有气体。
(实施规定次数)
将上述的步骤1、2作为1次循环,实施该循环1次以上(规定次数),由此在晶片200上形成规定组成及规定膜厚的SiO膜。上述的循环优选是重复多次。即,优选是使每1次循环所形成的SiO层的厚度小于所希望的膜厚,重复多次上述循环直到达到所希望的膜厚。
此时,通过控制各步骤的处理室201内的压力、气体供给时间等处理条件,由此能够控制SiO层中的各元素成分、即Si成分、O成分的比例,也就是说,能够调整Si浓度、O浓度,能够控制SiO膜的组成比。
在进行多次循环的情况下,至少在第2次循环以后的各步骤,记载为“对晶片200供给规定的气体”的部分是指“对形成于晶片200上的层,即对作为层叠体的晶片200的最外表面,供给规定的气体”,记载为“在晶片200上形成规定的层”的部分是指“在形成于晶片200上的层之上、即在作为层叠体的晶片200的最外表面之上形成规定的层”。关于这一点如上所述。关于这一点在后述的变形例等中也同样。
(吹扫及恢复大气压)
在进行了形成规定组成及规定膜厚的SiO膜的成膜处理之后,打开阀243c、243d,从气体供给管232c、232d分别向处理室201内供给作为惰性气体的N2气体,并从排气管231进行排气。N2气体作为吹扫气体发挥作用,由此,处理室201内被惰性气体吹扫,残留于处理室201内的气体、反应副生成物被从处理室201内除去(吹扫)。其后,处理室201内的气氛被置换为惰性气体(惰性气体置换),处理室201内的压力恢复到常压(恢复大气压)。
(卸载晶舟及取出晶片)
其后,通过晶舟升降机115使密封盖219下降,集流管209的下端开口,且处理完毕的晶片200以被支承于晶舟217的状态从集流管209的下端被搬出到反应管203的外部(卸载晶舟)。其后,自晶舟217取出处理完毕的晶片200(取出晶片)。
(3)由本实施方式获得的效果
根据本实施方式,起到以下所示的1个或多个效果。
(a)在实质上停止了处理室201内的排气的状态下,对处理室201内的晶片200供给BTBAS气体。由此,能够将BTBAS气体封入于处理室201内,能够促进BTBAS气体吸附于晶片200上。结果,能够提高SiO膜的成膜速率。而且,也能够提高SiO膜的层差覆盖性(阶梯覆盖性,step coverage)。此外,也能提高晶片200的面间及面内的SiO膜的膜厚、膜质的均匀性。
(b)在实质上停止了处理室201内的排气及BTBAS气体的供给的状态下,向处理室201内供给扩散用N2气体。扩散用N2气体作为载体气体发挥作用,也作为活塞发挥作用。通过这些作用,也能够使BTBAS气体例如从晶片200的外周附近向中心附近、即向水平方向移动。此外,也能使BTBAS气体从处理室201内的下方向上方、即向垂直方向移动。即,能够使BTBAS气体均匀地遍布处理室201。结果,能提高晶片200的面间(垂直方向)及面内(水平方向)的SiO膜的膜厚、膜质的均匀性。
作为原料气体,当欲使用容易吸附、反应性高的BTBAS气体形成SiO膜时,在供给路径容易引起BTBAS气体的吸附等。因此,处理室201内的BTBAS气体的浓度出现偏差,可能有损形成于晶片200上的SiO膜的膜厚、膜质的面间、面内的均匀性。
针对这样的课题,考虑有如下的方法:例如对处理室201内的温度进行调整,使其在上下方向形成梯度,由此使得SiO膜的成膜速率变得均匀,由此来改善晶片200之间的SiO膜的膜厚、膜质的不均匀性。然而,如本实施方式这样,在较低温下进行成膜的条件下,难以形成上述的温度梯度,难以谋求面间、面内的均匀性的提高。
因此,在本实施方式中进行扩散用N2气体的供给。由此,减少这样的浓度偏差,能够提高在晶片200上形成的SiO膜的膜厚、膜质的面间均匀性及面内均匀性。
(c)在对处理室201内的晶片200供给BTBAS气体的工序中,在BTBAS气体的供给和扩散用N2气体的供给这2阶段进行BTBAS气体的封入。由此,能够使BTBAS气体在处理室201内充分扩散,能够使处理室201内的状态短时间达到使BTBAS气体更均匀扩散的状态。由此,能够确保向晶片200上形成含Si层所需的反应时间。此外,能够减少对含Si层的形成无益处而被排气的BTBAS气体的量。结果,能够减少BTBAS气体的使用量,能够降低成膜成本。
(d)在对处理室201内的晶片200供给BTBAS气体的工序中,使处理室201内为规定压力以下。由此,能够在接着进行的残留气体除去中以短时间对处理室201内排气。结果,能够防止成膜速率的降低,维持成膜处理的处理量。
(e)在对晶片200供给BTBAS气体时,即向处理室201内供给BTBAS气体时及向处理室201内供给扩散用N2气体时,成为停止处理室201内的排气的状态。由此,能够更可靠地封入BTBAS气体,能够进一步促进BTBAS气体对晶片200上的吸附。此外,若在步骤1的初始供给步骤1的1次反应所需分量的BTBAS气体,则在步骤1的中途,BTBAS气体不会从处理室201内被排气,不需要继续进行BTBAS气体的供给。结果,能够减少BTBAS气体的使用量,降低成膜成本。
此外,在向处理室201内供给扩散用N2气体时,成为停止了处理室201内的排气及BTBAS气体的供给的状态。由此,能够停止从处理室201内的供给侧直接达到排气侧的BTBAS气体的流动,能够形成处理室201内BTBAS气体的扩散饱和了的状态。也就是说,能够使BTBAS气体向处理室201内的上方充分扩散,以短时间达到更均匀的状态。
此外,向处理室201内供给BTBAS气体时及向处理室201内供给扩散用N2气体时,仅是成为停止了处理室201内的排气的状态。也就是说,只要将APC阀244仅是全闭即可,不需要通过反馈控制来细致地调整APC阀244的开度。即,APC阀244、压力的控制变得容易。
(f)向处理室201内供给扩散用N2气体时,为停止了BTBAS气体的供给的状态。由此,在处理室201内,BTBAS气体难以产生新的浓度偏差,能够以更短时间谋求处理室201内的BTBAS气体的浓度的均匀化。
(g)在向处理室201内供给扩散用N2气体时,与向处理室201内供给BTBAS气体时相比,增大了N2气体的流量。由此,能够进一步提高利用N2气体的BTBAS气体在处理室201内的扩散速度,能够以更短时间使BTBAS气体在处理室201内均匀遍布。此外,能够抑制因BTBAS气体的供给停止引起的整体的气体流量的降低,能够稳定地使处理室201内升压。
(4)本实施方式的变形例
接着,使用图6说明本实施方式的变形例。
在图6的(a)所示的变形例的成膜顺序中,
在对处理室201内的晶片200供给BTBAS气体的工序中,在对处理室201内稍微排气的状态下,向处理室201内供给BTBAS气体,其后,对处理室201内稍微排气,在供给少许BTBAS气体的状态下向处理室201内供给N2气体。在该情况下,处理条件也可以是与例如图5所示的成膜顺序同样的处理条件。
通过做成对处理室201内稍微排气的状态,由此容易抑制处理室201内急剧升压,或将处理室201内维持在规定压力以下。在该情况下,也可以使BTBAS气体在短时间均匀地遍布于处理室201内,获得与图5所示的成膜顺序同样的效果。
此外,通过做成供给少许BTBAS气体的状态,由此能够补充因含Si层的形成等所消耗的BTBAS气体的消耗量。在该情况下,也可以使BTBAS气体在短时间均匀地遍布于处理室201内,获得与图5所示的成膜顺序同样的效果。
但是,如图5所示的成膜顺序这样,通过停止处理室201内的排气及BTBAS气体的供给,由此可以使从供给侧直接到排气侧这样的BTBAS气体的流动停止,可获得更好的效果。也能抑制在步骤1的中途从处理室201内浪费地排出BTBAS气体。因此,与图6的(a)所示的成膜顺序相比,图5所示的成膜顺序,能够以更短时间更均匀地使BTBAS气体在处理室201内扩散,而且能够进一步减少BTBAS气体的使用量,从这一点而言较为优选。
此外,在图6的(b)所示的其他变形例的成膜顺序中,进行规定次数的包括如下工序的循环,即,所述循环包括:
相当于图5所示的成膜顺序的步骤1的工序中的、重复了多次BTBAS气体的供给和扩散用N2气体的供给之后、进行残留气体的除去的工序;相当于上述的实施方式的步骤2的工序。
即,通过进行规定次数的包括如下工序的循环,由此在晶片200上形成SiO膜,所述循环包括如下工序:
重复规定次数的向处理室201内的晶片200供给BTBAS气体的工序;
将残留于处理室201内的BTBAS气体排出;
向处理室201内的晶片200供给O2气体;
将残留于处理室201内的O2气体排出。
在该情况下,处理条件也可以是与例如图5所示的成膜顺序同样的处理条件。
在此,在对晶片200供给BTBAS气体的工序中,在实质上停止了处理室201内的排气的状态下,向处理室201内供给BTBAS气体,其后,在实质上停止了处理室201内的排气及BTBAS气体的供给的状态下向处理室201内供给N2气体。
如此,通过相对于供给O2气体的工序增减供给BTBAS气体的工序的次数,由此能够更加高精度地控制晶片200的面间、面内的SiO膜的膜厚、膜质的均匀性。
关于晶片200的面间、面内的SiO膜的膜厚、膜质的均匀性的控制性,通过适当增减BTBAS气体的供给量、适当增减扩散用N2气体的供给时间,也能提高该控制性。
<其他实施方式>
以上,具体说明了本发明的实施方式。但本发明不限于上述的实施方式,在不脱离其要旨的范围可进行各种变更。
例如,在上述的实施方式中,说明了从供给BTBAS气体的喷嘴249a供给扩散用N2气体的例子。但是,本发明不限于该形式。也就是说,可以从与供给BTBAS气体的管线不同的管线供给扩散用N2气体。此外,也可以从不是如喷嘴249a这样的延伸喷嘴、而是例如在晶舟217的下端附近具有气体供给孔的短喷嘴进行扩散用N2气体的供给。由此,可以提高将处理室201内的下方的BTBAS气体向上方推起的效果。
此外,在上述的实施方式中,说明了供给BTBAS气体的喷嘴249a包括多个具有相同的开口面积及开口间距的气体供给孔250a的例子。但是,本发明不限于该形式。也就是说,各气体供给孔的开口面积、开口间距可以不相同。例如,供给BTBAS气体的喷嘴249a可以形成为从喷嘴的上游侧向下游侧而气体供给孔的开口面积变大,也可以形成为从喷嘴的上游侧向下游侧而开口间距变小。由此,增大从喷嘴249a的下游侧供给的BTBAS气体的供给量,更加容易缓和处理室201内的浓度偏差。
此外,在上述的实施方式中,说明了使用喷嘴249a这样的延伸喷嘴将BTBAS气体向处理室201内供给的例子。但是,本发明不限于该形式。也就是说,BTBAS气体的供给也可以使用短喷嘴。与可形成向处理室201内的上方引导BTBAS气体的引导路径的延伸喷嘴不同,通过使用短喷嘴,虽然使BTBAS气体向处理室201内的上方遍布愈加变难,但根据图5、图6所示的各成膜顺序,能够使BTBAS气体在处理室201内均匀遍布。
此外,在上述的实施方式中,说明了通过扩散用N2气体的供给而使BTBAS气体向处理室201内扩散的例子。但是,本发明不限于该形式。即,可以不向处理室201内供给扩散用N2气体。也就是说,在停止了向处理室201内的BTBAS气体的供给后,不进行向处理室201内的扩散用N2气体的供给而停止处理室201内的排气规定时间,也可以使BTBAS气体向处理室201内扩散。但,通过进行扩散用N2气体的供给,能够如上述这样促进BTBAS气体向处理室201内的扩散,而且能够在从处理室201内的下方到上方的范围、使BTBAS气体以更短时间达到更加均匀扩散的状态。此外,通过进行扩散用N2气体的供给,也可获得将例如残留或吸附于喷嘴249a内的BTBAS气体挤出的效果。由此,能够进一步降低BTBAS气体的使用量。
此外,在上述的实施方式的成膜顺序中,说明了不对APC阀244反馈控制而仅是使其全开或全闭的例子。在该情况下,可以取代作为压力调整部的APC阀244,而使用仅具有全开/全闭这两种状态的排气阀即开闭阀等。由此,能够简化排气系统的构成,能够将衬底处理装置做成更廉价、简单的构造。
此外,在上述的实施方式的成膜顺序中,说明了在室温进行SiO膜的形成的例子。在该情况下,不需要进行利用加热器207的处理室201内的加热,可以不在衬底处理装置设置加热器207。由此,可以简化衬底处理装置的构成,可以降低衬底处理装置的制造成本、也就是说可以降低衬底处理成本。
此外,在上述的实施方式中,说明了作为在SiO膜的成膜中所用的原料气体而使用氨基硅烷类原料气体的例子。但本发明不限于此。也就是说,作为原料气体,例如可以使用氯硅烷类原料气体。作为氯硅烷类原料气体,除了六氯乙硅烷(Si2Cl6,简称:HCDS)气体之外,还可以使用四氯硅烷即四氯化硅(SiCl4,简称:STC)气体、三氯硅烷(SiHCl3,简称:TCS)气体、二氯硅烷(SiH2Cl2,简称:DCS)气体、一氯硅烷(SiH3Cl,简称:MCS)气体等无机原料气体。此外,作为原料气体,可以使用氯硅烷系以外的卤素类的硅烷类原料气体、例如氟硅烷类原料气体等。作为氟硅烷类原料气体,例如可以使用四氟硅烷、即四氟化硅(SiF4)气体、六氟乙硅烷(Si2F6)气体等氟化硅气体。在将如氨基硅烷类原料气体、氯硅烷类原料气体、氟硅烷类原料气体这样的容易吸附且反应性高的气体用作原料气体的情况下,能够更加容易发挥本发明的效果。在该情况下,作为含氧气体,可以使用与上述的实施方式相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
此外,在上述的实施方式中,说明了使用由等离子体激发的O2气体来使含Si层改性(氧化)的例子。但本发明不限于此。也就是说,O2气体可以通过热而被活化(激发)。此外,作为含氧气体,可以在例如H2O气体等中添加吡啶(C5H5N)气体等催化剂而使用。利用催化剂的作用,不使用等离子体、热,就能促进利用含氧气体的氧化反应。而且,这样的C5H5N气体等催化剂不是仅添加于反应气体(含氧气体)中使用,可以添加于HCDS气体等规定的原料气体中使用。即,在原料气体及反应气体中的至少任一方添加C5H5N气体等催化剂来使用,都能进行与上述的实施方式同样的成膜。
此外,在上述的实施方式中,说明了作为反应气体而使用O2气体等含氧气体形成氧化膜的例子。但本发明不限于此。例如,作为反应气体,可以使用含氮气体使含Si层氮化而形成SiN膜。此外,例如,作为反应气体,可以使用含碳气体而在含Si层中添加C,形成SiC膜。或者,作为反应气体可以适当组合含氧气体、含氮气体、含碳气体,形成SiON膜、SiOC膜、SiCN膜、SiOCN膜等Si类绝缘膜。作为含氮气体,例如除了氨(NH3)气体之外,可以使用二亚胺(N2H2)气体、联氨(N2H4)气体、N3H8气体、含有所述化合物的气体等。作为含碳气体,除了丙烯(C3H6)气体之外,可以使用乙炔(C2H2)气体、乙烯(C2H4)气体等烃类的气体。
此外,在上述的实施方式中,说明了形成含有作为半导体元素的Si的Si类绝缘膜(SiO膜、SiN膜、SiC膜、SiON膜、SiOC膜、SiCN膜、SiOCN膜等)的例子。但本发明不限于此。也就是说,本发明可以适用于形成含有例如钛(Ti)、锆(Zr)、铪(Hf)、钽(Ta)、铝(Al)、钼(Mo)等金属元素的金属类薄膜的情况。
在该情况下,可以取代上述实施方式的硅类原料气体,而使用金属类原料气体,通过与上述的实施方式相同的顺序进行成膜。即,通过进行规定次数的包括如下工序的循环,由此在晶片200上形成膜,所述循环包括如下工序:
对处理室201内的晶片200供给金属类原料气体;
将残留于处理室201内的金属类原料气体排出;
对处理室201内的晶片200供给反应气体;
将残留于处理室201内的反应气体排出。
在对晶片200供给金属类原料气体的工序中,在实质上停止了处理室201内的排气的状态下,向处理室201内供给金属类原料气体,其后,在实质上停止了处理室201内的排气及金属类原料气体的供给的状态下,向处理室201内供给惰性气体。
例如,在形成含有Ti的金属类薄膜(TiO膜、TiN膜、TiC膜、TiON膜、TiOC膜、TiCN膜、TiOCN膜等)时,作为原料气体,可以使用四(乙基甲基氨基)钛(Ti[N(C2H5)(CH3)]4,简称:TEMAT)、四(二甲基氨基)钛(Ti[N(CH3)2]4,简称:TDMAT)、四(二乙基氨基)钛(Ti[N(C2H5)2]4,简称:TDEAT)等含有Ti及氨基的气体、四氯化钛(TiCl4)等含有Ti及氯基的气体、四氟化钛(TiF4)等含有Ti及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
例如,在形成含有Zr的金属类薄膜(ZrO膜、ZrN膜、ZrC膜、ZrON膜、ZrOC膜、ZrCN膜、ZrOCN膜等)时,作为原料气体,可以使用四(乙基甲基氨基)锆(Zr[N(C2H5)(CH3)]4,简称:TEMAZ)、四(二甲基氨基)锆(Zr[N(CH3)2]4,简称:TDMAZ)、四(二乙基氨基)锆(Zr[N(C2H5)2]4,简称:TDEAZ)等含有Zr及氨基的气体、四氯化锆(ZrCl4)等含有Zr及氯基的气体、四氟化锆(ZrF4)等含有Zr及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
例如,在形成含有Hf的金属类薄膜(HfO膜、HfN膜、HfC膜、HfON膜、HfOC膜、HfCN膜,HfOCN膜等)时,作为原料气体,可以使用四(乙基甲基氨基)铪(Hf[N(C2H5)(CH3)]4,简称:TEMAH)、四(二甲基氨基)铪(Hf[N(CH3)2]4,简称:TDMAH)、四(二乙基氨基)铪(Hf[N(C2H5)2]4,简称:TDEAH)等含有Hf及氨基的气体、四氯化铪(HfCl4)等含有Hf及氯基的气体、四氟化铪(HfF4)等含有Hf及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
例如,在形成含有Ta的金属类薄膜(TaO膜、TaN膜、TaC膜、TaON膜、TaOC膜、TaCN膜、TaOCN膜等)时,作为原料气体,可以使用五氯化钽(TaCl5)等含有Ta及氯基的气体、五氟化钽(TaF5)等含有Ta及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
例如,在形成含有Al的金属类薄膜(AlO膜、AlN膜、AlC膜、AlON膜、AlOC膜、AlCN膜、AlOCN膜等)时,作为原料气体,可以使用三氯化铝(AlCl3)等含有Al及氯基的气体、三氟化铝(AlF3)等含有Al及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
例如,在形成含有Mo的金属类薄膜(MoO膜、MoN膜、MoC膜、MoON膜、MoOC膜、MoCN膜、MoOCN膜等)时,作为原料气体,可以使用五氯化钼(MoCl5)等含有Mo及氯基的气体、五氟化钼(MoF5)等含有Mo及氟基的气体。作为反应气体(含氧气体、含氮气体、含碳气体),可以使用与上述气体相同的气体。此时的处理条件可以是与例如上述的实施方式相同的处理条件。
即,本发明可以优选适用于形成含有半导体元素、金属元素等规定元素的薄膜的情况。
所述这种薄膜的成膜所使用的工艺制程(记载有处理步骤、处理条件的程序),优选是根据衬底处理的内容(要形成的薄膜的膜种类、组成比、膜质、膜厚等),而分别准备(准备多个)。并且,在开始衬底处理时,优选是根据衬底处理的内容而从多个工艺制程中适当选择合适的工艺制程。具体而言,优选是将根据衬底处理的内容而分别准备的多个工艺制程,经由电通信线路、记录有该工艺制程的记录介质(外部存储装置123)而预先保存(安装)于衬底处理装置所具有的存储装置121c内。然后,在开始衬底处理时,优选是衬底处理装置所具有的CPU121a从保存于存储装置121c内的多个工艺制程中,根据衬底处理的内容而适当选择合适的工艺制程。通过这样构成,能够用1台衬底处理装置通用性地且再现性良好地形成各种膜种类、组成比、膜质、膜厚的薄膜。此外,能够减轻操作者的操作负担(处理步骤、处理条件等的输入负担等),能够避免操作失误、且迅速地开始衬底处理。
上述的工艺制程不限于新作成的情况,例如也可以通过将已经安装于衬底处理装置的已有的工艺制程进行变更来准备。在变更工艺制程的情况下,可以将变更后的工艺制程经由电通信线路、记录有该工艺制程的记录介质而安装于衬底处理装置。此外,也可以操作已有的衬底处理装置所具有的输入输出装置122,来直接变更已安装于衬底处理装置的已有的工艺制程。
在上述的实施方式中,说明了使用一次处理多张衬底的批量式衬底处理装置,主要谋求提高面间均匀性地形成膜的例子。本发明不限于此,例如可以合适地适用于使用一次处理1张或多张衬底的单片式衬底处理装置,主要谋求提高面内均匀性地形成膜的情况。此外,在上述的实施方式中,说明了使用具有热壁(Hot Wall)式处理炉的衬底处理装置形成膜的例子。本发明不限于此,也可以合适地适用于具有冷壁(Cold Wall)式处理炉的衬底处理装置。在这些情况下,处理条件也可以是与例如上述的实施方式同样的处理条件。
上述的各实施方式等可以适当组合来使用。此外,此时的处理条件可以是与例如上述的实施方式同样的处理条件。
【实施例】
作为本发明的实施例,使用上述实施方式的衬底处理装置,通过上述实施方式的成膜顺序进行在晶片上形成SiO膜的处理。此时,在对晶片供给BTBAS气体的工序中,在APC阀为全闭、停止了处理室内的排气的状态下,进行向处理室内的BTBA气体的供给、扩散用N2气体的供给。扩散用N2气体的供给是在停止了BTBAS气体的供给的状态下进行。
此外,作为比较例,使用上述实施方式的衬底处理装置,通过图11所示的成膜顺序进行在晶片上形成SiO膜的处理。如图11所示,在比较例中,在对晶片供给BTBAS气体的工序中,不进行扩散用N2气体的供给,在停止了处理室内的排气的状态下,持续向处理室内供给BTBAS气体。BTBAS气体的供给停止后立刻进行残留气体的排气。
图7是表示实施例及比较例中的SiO膜的膜厚与其面间均匀性的曲线图。曲线图的纵轴表示SiO膜的膜厚(),横轴表示晶舟内的晶片的收纳位置(上部:Top,中部:Center,下部:Bottom)。曲线图上的△标记表示在各收纳位置的实施例的晶片的SiO膜的面内平均膜厚,◆标记表示在各收纳位置的比较例的晶片的SiO膜的面内平均膜厚。实施例、比较例的各绘点附近所记载的数值表示SiO膜的膜厚的面间均匀性(±%)。面间均匀性是使用整个晶片的SiO膜的面内平均膜厚中的最大值及最小值、即面间最大膜厚及面间最小膜厚和整个晶片的SiO膜的膜厚的平均值、即面间平均膜厚而通过以下的式(1)求出。面间均匀性表示在晶片面之间的SiO膜的膜厚的偏差,值越小则意味着偏差越小(更均匀)。
面间均匀性=[(面间最大膜厚-面间最小膜厚)/(面间平均膜厚×2)]×100(±%)···(1)
根据图7,与比较例相比,实施例的面间均匀性得以提高。尤其是与晶舟上部(Top)的晶片中的SiO膜的膜厚较大低落的比较例相比,在实施例中,晶舟上部(Top)的晶片中的SiO膜的膜厚值与晶舟中部(Center)、下部(Bottom)的晶片中的SiO膜的膜厚值大致相同。在实施例中,认为这是由于BTBAS气体均匀地遍布于处理室内的上下方向。如此可知,通过上述实施方式的成膜顺序进行SiO膜的形成,提高了SiO膜的膜厚的面间均匀性。
图8是表示实施例及比较例的SiO膜的膜厚的面内均匀性的曲线图。曲线图的纵轴表示SiO膜的膜厚的面内均匀性(±%),横轴表示晶舟内的晶片的收纳位置(上部:Top,中部:Center,下部:Bottom)。曲线图上的△标记表示在各收纳位置的实施例的晶片的SiO膜的面内均匀性,◆标记表示在各收纳位置的比较例的晶片的SiO膜的面内均匀性。面内均匀性是使用规定的收纳位置处的晶片面内的SiO膜的膜厚的最大值及最小值、即面内最大膜厚及面内最小膜厚和该晶片的SiO膜的面内平均膜厚而通过以下的式(2)求出。面内均匀性表示在晶片面之间的SiO膜的膜厚的偏差,值越小则意味着偏差越小(更均匀)。
面内均匀性=[(面内最大膜厚-面内最小膜厚)/(面内平均膜厚×2)]×100(±%)···(2)
根据图8,无论在晶舟内的收纳位置如何,在实施例中都获得与比较例相比同等以上的良好的面内均匀性。在实施例中,认为这是由于BTBAS气体不仅在处理室内的上下方向均匀遍布、在水平方向也均匀遍布。可知通过上述实施方式的成膜顺序进行SiO膜的形成,提高了SiO膜的膜厚的面内均匀性。
图9是表示实施例及比较例的BTBAS气体的使用量的曲线图。曲线图的纵轴表示各成膜顺序的BTBAS气体的使用量(a.u.:任意单位)。横轴上的空心的柱状曲线表示实施例的测定结果,插入了斜线的柱状曲线表示比较例的测定结果。在各柱状曲线的上方,用数值表示BTBAS气体的使用量。BTBAS气体的使用量是将BTBAS气体的每单位时间的供给量(供给流量)与BTBAS气体的供给时间相乘而算出的值,在图9由任意单位表示。
根据图9可知,在实施例,与比较例相比,BTBAS气体的使用量减少了约50%。通过使用上述的实施方式的成膜顺序,能够减少无助于含硅层的形成而从处理室内被浪费地排气的BTBAS气体,能够总地减少SiO膜的成膜所需的BTBAS气体的使用量。
接着,在使用上述实施方式的成膜顺序的本发明的实施例中,使BTBAS气体的每1次循环的供给流量变化,测定所形成的SiO膜的膜厚和其面内均匀性。
图10是表示在实施例中使BTBAS气体的供给流量变化时的测定数据的图,(a)是表示SiO膜的膜厚和其面内均匀性的曲线图,(b)是SiO膜的膜厚的面内分布图。图10的(a)的曲线图的左侧的纵轴表示SiO膜的膜厚(),右侧的纵轴表示SiO膜的膜厚的面内均匀性(±%)。曲线图的横轴表示BTBAS气体的供给流量(sccm)。曲线图上的◆标记表示各供给流量的SiO膜的面内平均膜厚,□标记表示各供给流量的SiO膜的膜厚的面内均匀性。图10的(b)的左侧是BTBAS气体的供给流量为100sccm时的SiO膜的膜厚的面内分布图,右侧是BTBAS气体的供给流量为200sccm时的SiO膜的膜厚的面内分布图。
根据图10(a)可知,在使BTBAS气体的供给流量从100sccm增加到200sccm的期间,SiO膜的膜厚的面内均匀性可大致恒定地保持良好。认为这是由于使用了上述的实施方式的成膜顺序。此外,如图10的(a)所示,在使BTBAS气体的供给流量从100sccm增加到200sccm的情况下,SiO膜的面内平均膜厚逐渐增加。此外,如图10的(b)所示,在使BTBAS气体的供给流量从100sccm增加到200sccm的情况下,晶片面内的SiO膜的膜厚分布反转。也就是说,BTBAS气体的供给流量为100sccm时,SiO膜成为晶片中心部薄(图中,颜色重的区域)、夹着该中心部的两侧的外周部厚(图中,颜色浅的区域)的凹形的膜厚分布。另一方面,在BTBAS气体的供给流量为200sccm时,SiO膜成为晶片中心部厚(图中,颜色浅的区域)、夹着该中心部的两侧的外周部薄(图中,颜色重的区域)的凸形的膜厚分布。如此可知,在上述实施方式的成膜顺序中,通过改变BTBAS气体的供给流量,可以使SiO膜的膜厚的面内分布从凹形到凸形之间反转。即,通过BTBAS气体的供给流量的调整,可以使SiO膜的膜厚的面内分布以变得更平坦的方式变化等、更细致地控制SiO膜的膜厚的面内均匀性。
<本发明的优选方案>
以下,附记本发明的优选方案。
(附记1)
根据本发明的一方案,提供一种半导体器件的制造方法,包括通过进行规定次数的包括如下工序的循环而在衬底上形成膜的工序,所述循环包括如下工序:
对处理室内的所述衬底供给原料气体;
将残留于所述处理室内的所述原料气体排出;
对所述处理室内的所述衬底供给反应气体;
将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的工序中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
在此,“进行规定次数的包括各工序的循环”包括进行一次该循环的情况和重复多次该循环的情况这二者。即,表示进行一次以上(规定次数)该循环。此外,在此,“循环包括如下工序:供给原料气体;将原料气体排出;供给反应气体;将反应气体排出”中,各工序可以是任意次数、以任意的顺序包括各工序。
(附记2)
在附记1所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,使所述处理室内的压力比供给所述反应气体的工序中的所述处理室内的压力高。
(附记3)
在附记1或2所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,使所述处理室内的压力比将所述原料气体排出的工序、供给所述反应气体的工序及将所述反应气体排出的工序中的所述处理室内的压力高。
(附记4)
在附记1~3中任一项所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,停止所述处理室内的排气,或者,以供给到所述处理室内的气体自所述处理室内排出的排气速率小于向所述处理室内供给的气体的供给速率的方式对所述处理室内稍微排气。
(附记5)
在附记1~3中任一项所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,维持停止了所述处理室内的排气的状态。
(附记6)
在附记1~3中任一项所述的半导体器件的制造方法中,优选是,
在将所述原料气体排出的工序中,使残留于所述处理室内的所述原料气体自排气管排出,
在供给所述原料气体的工序中,维持将设于所述排气管的排气阀实质上关闭的状态。
(附记7)
在附记6所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,将所述排气阀关闭(全闭),或者,以供给到所述处理室内的气体自所述处理室内排出的排气速率小于向所述处理室内供给的气体的供给速率的方式将所述排气阀稍微打开。
(附记8)
在附记6所述的半导体器件的制造方法中,优选是,
在供给所述原料气体的工序中,维持将所述排气阀关闭(全闭)的状态。
(附记9)
在附记1~8中任一项所述的半导体器件的制造方法中,优选是,
在向所述处理室内供给所述原料气体时也向所述处理室内供给惰性气体,
在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下向所述处理室内供给的所述惰性气体的流量,大于在向所述处理室内供给所述原料气体时向所述处理室内供给的所述惰性气体的流量。
(附记10)
在附记1~9中任一项所述的半导体器件的制造方法中,优选是,
所述原料气体含有氨基。
(附记11)
在附记1~10中任一项所述的半导体器件的制造方法中,优选是,
所述原料气体含有氨基硅烷类原料气体。
(附记12)
在附记1~11中任一项所述的半导体器件的制造方法中,优选是,
所述原料气体含有双叔丁基氨基硅烷(SiH2[NH(C4H9)]2)气体、四(二甲基氨基)硅烷(Si[N(CH3)2]4)气体、三(二甲基氨基)硅烷(Si[N(CH3)2]3H)气体及二(二乙基氨基)硅烷(Si[N(C2H5)2]2H2)气体中的至少任一者。
(附记13)
在附记1~11中任一项所述的半导体器件的制造方法中,优选是,
所述原料气体含有双叔丁基氨基硅烷(SiH2[NH(C4H9)]2)气体。
(附记14)
在附记1~13中任一项所述的半导体器件的制造方法中,优选是,
所述反应气体含有含氧气体,所述膜含有氧化膜。
(附记15)
在附记1~13中任一项所述的半导体器件的制造方法中,优选是,
所述反应气体含有由等离子体激发的含氧气体,所述膜含有氧化膜。
(附记16)
在附记1~15中任一项所述的半导体器件的制造方法中,优选是,
在所述衬底上形成膜的工序中,所述衬底的温度为室温以上200℃以下的温度。
(附记17)
在附记1~15中任一项所述的半导体器件的制造方法中,优选是,
在所述衬底上形成膜的工序中,所述衬底的温度为室温以上150℃以下的温度。
(附记18)
在附记1~15中任一项所述的半导体器件的制造方法中,优选是,
在所述衬底上形成膜的工序中,所述衬底的温度为室温以上100℃以下的温度。
(附记19)
在附记1~15中任一项所述的半导体器件的制造方法中,优选是,
在所述衬底上形成膜的工序中,所述衬底的温度为室温。
(附记20)
在附记1~19中任一项所述的半导体器件的制造方法中,优选是,
在所述衬底上形成膜的工序中,在所述处理室内将多张衬底以在垂直方向呈多层排列的状态进行处理。
(附记21)
根据本发明的另一方案,提供一种衬底处理方法,包括通过进行规定次数的包括如下工序的循环而在衬底上形成膜的工序,所述循环包括如下工序:
对处理室内的所述衬底供给原料气体;
将残留于所述处理室内的所述原料气体排出;
对所述处理室内的所述衬底供给反应气体;
将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的工序中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
(附记22)
根据本发明的又一方案,提供一种衬底处理装置,包括:
收纳衬底的处理室;
向所述处理室内供给原料气体的原料气体供给系统;
向所述处理室内供给反应气体的反应气体供给系统;
向所述处理室内供给惰性气体的惰性气体供给系统;
对所述处理室内进行排气的排气系统;以及
控制部,其被构成为以如下方式控制所述原料气体供给系统、所述反应气体供给系统、所述惰性气体供给系统及所述排气系统:进行通过进行规定次数的包括如下处理的循环而在所述衬底上形成膜的处理,所述循环包括如下处理:对所述处理室内的衬底供给所述原料气体;将残留于所述处理室内的所述原料气体排出;对所述处理室内的所述衬底供给所述反应气体;将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的处理中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
(附记23)
根据本发明的又一方案,提供一种程序及记录有该程序的计算机可读取的记录介质,所述程序为使计算机执行通过进行规定次数的包括如下步骤的循环而在衬底上形成膜的步骤,所述循环包括如下步骤:对所述处理室内的所述衬底供给原料气体;将残留于所述处理室内的所述原料气体排出;对所述处理室内的所述衬底供给反应气体;将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的步骤中,在实质上停止了所述处理室内的排气的状态下,向所述处理室内供给所述原料气体,其后,在实质上停止了所述处理室内的排气及所述原料气体的供给的状态下,向所述处理室内供给惰性气体。
附图标记的说明
121 控制器(控制部)
200 晶片(衬底)
201 处理室
202 处理炉
203 反应管
207 加热器
209 集流管
231 排气管
232a 气体供给管
232b 气体供给管
244APC 阀(排气阀)

Claims (11)

1.一种半导体器件的制造方法,包括通过进行规定次数的包括如下工序的循环而在衬底上形成膜的工序,所述循环包括如下工序:
经由第一喷嘴对处理室内的所述衬底供给原料气体;
将残留于所述处理室内的所述原料气体排出;
经由第二喷嘴对所述处理室内的所述衬底供给反应气体;
将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的工序中,在停止了所述处理室内的排气的状态下,经由所述第一喷嘴向所述处理室内供给所述原料气体,其后,在停止了所述处理室内的排气及所述原料气体的供给的状态下,以比供给所述原料气体时大的流量经由所述第一喷嘴向所述处理室内供给惰性气体,在停止了所述处理室内的排气及所述原料气体的供给的状态下供给所述惰性气体的时间长于供给所述原料气体的时间。
2.根据权利要求1所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,使所述处理室内的压力比供给所述反应气体的工序中的所述处理室内的压力高。
3.根据权利要求1所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,使所述处理室内的压力比将所述原料气体排出的工序、供给所述反应气体的工序及将所述反应气体排出的工序中的所述处理室内的压力高。
4.根据权利要求1所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,在供给所述原料气体时以及在停止了所述处理室内的排气及所述原料气体的供给的状态下供给惰性气体时,停止所述处理室内的排气。
5.根据权利要求1所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,在供给所述原料气体时以及在停止了所述处理室内的排气及所述原料气体的供给的状态下供给惰性气体时,维持停止了所述处理室内的排气的状态。
6.根据权利要求1所述的半导体器件的制造方法,其中,
在将所述原料气体排出的工序中,使残留于所述处理室内的所述原料气体自设有排气阀的排气管排出,
在供给所述原料气体的工序中,在供给所述原料气体时以及在停止了所述处理室内的排气及所述原料气体的供给的状态下供给惰性气体时,维持将设于所述排气管的所述排气阀关闭的状态。
7.根据权利要求6所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,在供给所述原料气体时以及在停止了所述处理室内的排气及所述原料气体的供给的状态下供给惰性气体时,将所述排气阀关闭。
8.根据权利要求6所述的半导体器件的制造方法,其中,
在供给所述原料气体的工序中,在供给所述原料气体时以及在停止了所述处理室内的排气及所述原料气体的供给的状态下供给惰性气体时,维持将所述排气阀关闭的状态。
9.根据权利要求1所述的半导体器件的制造方法,其中,
在向所述处理室内供给所述原料气体时也向所述处理室内供给惰性气体,
在停止了所述处理室内的排气及所述原料气体的供给的状态下向所述处理室内供给的所述惰性气体的流量,大于在向所述处理室内供给所述原料气体时向所述处理室内供给的所述惰性气体的流量。
10.根据权利要求1所述的半导体器件的制造方法,其中,
所述原料气体含有氨基。
11.一种衬底处理装置,包括:
收纳衬底的处理室;
经由第一喷嘴向所述处理室内供给原料气体的原料气体供给系统;
经由第二喷嘴向所述处理室内供给反应气体的反应气体供给系统;
向所述处理室内供给惰性气体的惰性气体供给系统;
对所述处理室内进行排气的排气系统;以及
控制部,其被构成为以如下方式控制所述原料气体供给系统、所述反应气体供给系统、所述惰性气体供给系统及所述排气系统:进行通过进行规定次数的包括如下处理的循环而在所述衬底上形成膜的处理,所述循环包括如下处理:经由所述第一喷嘴对所述处理室内的衬底供给所述原料气体;将残留于所述处理室内的所述原料气体排出;经由所述第二喷嘴对所述处理室内的所述衬底供给所述反应气体;将残留于所述处理室内的所述反应气体排出,
在供给所述原料气体的处理中,在停止了所述处理室内的排气的状态下,经由所述第一喷嘴向所述处理室内供给所述原料气体,其后,在停止了所述处理室内的排气及所述原料气体的供给的状态下,以比供给所述原料气体时大的流量经由所述第一喷嘴向所述处理室内供给所述惰性气体,在停止了所述处理室内的排气及所述原料气体的供给的状态下供给所述惰性气体的时间长于供给所述原料气体的时间。
CN201380061435.0A 2012-11-26 2013-11-11 半导体器件的制造方法、衬底处理装置及记录介质 Active CN104823268B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012257974 2012-11-26
JP2012-257974 2012-11-26
PCT/JP2013/080417 WO2014080785A1 (ja) 2012-11-26 2013-11-11 半導体装置の製造方法、基板処理装置及び記録媒体

Publications (2)

Publication Number Publication Date
CN104823268A CN104823268A (zh) 2015-08-05
CN104823268B true CN104823268B (zh) 2017-11-21

Family

ID=50775968

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380061435.0A Active CN104823268B (zh) 2012-11-26 2013-11-11 半导体器件的制造方法、衬底处理装置及记录介质

Country Status (6)

Country Link
US (1) US9530641B2 (zh)
JP (1) JP5977364B2 (zh)
KR (1) KR101740616B1 (zh)
CN (1) CN104823268B (zh)
TW (1) TWI612561B (zh)
WO (1) WO2014080785A1 (zh)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10047438B2 (en) * 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
JPWO2016038664A1 (ja) * 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
WO2016042663A1 (ja) * 2014-09-19 2016-03-24 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JPWO2016052200A1 (ja) * 2014-09-30 2017-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6496510B2 (ja) * 2014-10-02 2019-04-03 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6470057B2 (ja) * 2015-01-29 2019-02-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6460874B2 (ja) * 2015-03-26 2019-01-30 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6529348B2 (ja) * 2015-06-05 2019-06-12 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6086942B2 (ja) * 2015-06-10 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
KR102412614B1 (ko) * 2015-10-22 2022-06-23 삼성전자주식회사 물질막, 이를 포함하는 반도체 소자, 및 이들의 제조 방법
KR102126146B1 (ko) * 2016-03-28 2020-06-23 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6761031B2 (ja) * 2016-05-20 2020-09-23 株式会社Kokusai Electric クリーニング方法、半導体装置の製造方法、基板処理装置およびプログラム
JP6559618B2 (ja) * 2016-06-23 2019-08-14 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6785610B2 (ja) 2016-10-11 2020-11-18 株式会社シマノ 釣用リールのギア機構
JP6689179B2 (ja) * 2016-11-30 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
WO2018154823A1 (ja) 2017-02-23 2018-08-30 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
JP6568127B2 (ja) * 2017-03-02 2019-08-28 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び記録媒体
JP6853116B2 (ja) * 2017-05-31 2021-03-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6830878B2 (ja) * 2017-09-28 2021-02-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラム
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP7064577B2 (ja) * 2018-03-30 2022-05-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法およびプログラム
US20190346300A1 (en) * 2018-05-08 2019-11-14 Asm Ip Holding B.V. Thin film forming method
CN110836330B (zh) * 2018-08-15 2022-05-27 北京北方华创微电子装备有限公司 含氯化合物的输送方法及装置
DE102018120580A1 (de) * 2018-08-23 2020-02-27 Infineon Technologies Ag Vorrichtung und verfahren zum abscheiden einer schicht bei atmosphärendruck
JP6654232B2 (ja) * 2018-12-25 2020-02-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP7179962B2 (ja) * 2019-03-15 2022-11-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP2020178020A (ja) * 2019-04-17 2020-10-29 国立大学法人山形大学 薄膜堆積方法及び装置
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20220046661A (ko) * 2019-09-19 2022-04-14 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 기판 처리 방법, 반도체 장치의 제조 방법 및 프로그램
JP2021141285A (ja) * 2020-03-09 2021-09-16 キオクシア株式会社 半導体製造装置および半導体装置の製造方法
KR20220012474A (ko) * 2020-07-22 2022-02-04 주식회사 원익아이피에스 박막 증착 방법 및 이를 이용한 반도체 소자의 제조방법
EP4220690A1 (en) * 2020-09-24 2023-08-02 Kokusai Electric Corporation Production method for semiconductor device, substrate treatment device, and program
JP2021061428A (ja) * 2020-12-25 2021-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1312757C (zh) * 2001-05-31 2007-04-25 三星电子株式会社 利用原子层淀积形成薄膜的方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4236707B2 (ja) * 1995-09-14 2009-03-11 日産自動車株式会社 化学的気相成長法及び化学的気相成長装置
JP2006245089A (ja) * 2005-03-01 2006-09-14 Mitsui Eng & Shipbuild Co Ltd 薄膜形成方法
JP5306691B2 (ja) 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2012142482A (ja) * 2011-01-05 2012-07-26 Hitachi Kokusai Electric Inc 基板処理装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1312757C (zh) * 2001-05-31 2007-04-25 三星电子株式会社 利用原子层淀积形成薄膜的方法

Also Published As

Publication number Publication date
TW201438061A (zh) 2014-10-01
TWI612561B (zh) 2018-01-21
JPWO2014080785A1 (ja) 2017-01-05
US9530641B2 (en) 2016-12-27
KR20150052219A (ko) 2015-05-13
WO2014080785A1 (ja) 2014-05-30
KR101740616B1 (ko) 2017-05-26
CN104823268A (zh) 2015-08-05
US20150303054A1 (en) 2015-10-22
JP5977364B2 (ja) 2016-08-24

Similar Documents

Publication Publication Date Title
CN104823268B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
JP7382471B2 (ja) ガス供給ノズル、基板処理装置、半導体装置の製造方法およびプログラム
KR101661104B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US9281181B2 (en) Film forming method and recording medium for performing the method
US9177786B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US9425075B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101676558B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US20180179628A1 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101793944B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20150100570A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US11072859B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
US10340134B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP6937894B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US11804365B2 (en) Substrate processing apparatus, plasma generating apparatus, and method of manufacturing semiconductor device
JP7457818B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム、補助プレートおよび基板保持具
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム

Legal Events

Date Code Title Description
PB01 Publication
EXSB Decision made by sipo to initiate substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20181127

Address after: Tokyo, Japan, Japan

Patentee after: International Electric Co., Ltd.

Address before: Tokyo, Japan, Japan

Patentee before: Hitachi Kunisai Electric Corp.