JP2004079588A - サセプタ装置 - Google Patents

サセプタ装置 Download PDF

Info

Publication number
JP2004079588A
JP2004079588A JP2002234078A JP2002234078A JP2004079588A JP 2004079588 A JP2004079588 A JP 2004079588A JP 2002234078 A JP2002234078 A JP 2002234078A JP 2002234078 A JP2002234078 A JP 2002234078A JP 2004079588 A JP2004079588 A JP 2004079588A
Authority
JP
Japan
Prior art keywords
internal electrode
temperature control
sprayed film
control unit
base
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002234078A
Other languages
English (en)
Other versions
JP4034145B2 (ja
Inventor
Keigo Maki
牧 恵吾
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sumitomo Osaka Cement Co Ltd
Original Assignee
Sumitomo Osaka Cement Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sumitomo Osaka Cement Co Ltd filed Critical Sumitomo Osaka Cement Co Ltd
Priority to JP2002234078A priority Critical patent/JP4034145B2/ja
Priority to US10/633,928 priority patent/US7678197B2/en
Publication of JP2004079588A publication Critical patent/JP2004079588A/ja
Application granted granted Critical
Publication of JP4034145B2 publication Critical patent/JP4034145B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

【課題】支持板の薄厚化を図ることができ、板状試料の温度の制御性及びプラズマ透過性を向上させることができ、板状試料のコンタミネーション(汚染源)やパーティクル発生の原因となる虞がなく、異常放電が生じ難く、作動の安定性が向上し、しかも、耐久性に優れたサセプタ装置を提供する.
【解決手段】本発明のサセプタ装置21は、基体22と、基体22の下面22bに配設された静電吸着用内部電極23と、給電端子24と、静電吸着用内部電極23及び給電端子24の静電吸着用内部電極23との接続部を被覆する絶縁性溶射膜25と、温度制御部27とを備え、絶縁性溶射膜25と温度制御部27とを接合剤層28を介して接合一体化し、基体22のフランジ22cを温度制御部27の切欠部27aに嵌合し、静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28を密閉状態とすることを特徴とする。
【選択図】  図1

Description

【0001】
【発明の属する技術分野】
本発明は、IC、LSI、VLSI等の半導体装置を製造する半導体製造装置においてシリコンウエハ等の板状試料を固定する際に用いて好適なサセプタ装置に関し、特に、板状試料を一定温度に効率よく保持しつつ、この板状試料を静電吸着により固定し、プラズマ処理等の各種処理を施すことができるサセプタ装置に関するものである。
【0002】
【従来の技術】
従来、例えば、IC、LSI、VLSI等の半導体装置を製造する半導体製造工程においては、シリコンウエハ等の板状試料は、サセプタ基体と称される試料台に固定されて所定の処理が施される。
例えば、この板状試料にプラズマ雰囲気下にてエッチング処理等を施す場合、プラズマの熱により板状試料の表面が高温になり、表面のレジスト膜が張り裂ける(バーストする)等の問題が生じる。そこで、板状試料を固定しているサセプタ基体の下面に、内部に温度制御用の媒体を循環させる流路が形成された温度制御部を接合して一体化し、この温度制御部内の流路に冷却用媒体を循環させて熱交換を行い、板状試料の温度を望ましい一定の温度に維持しつつ静電吸着し、この板状試料に各種のプラズマ処理を施すようにした構成のサセプタ装置が用いられている。
【0003】
図3は、このようなサセプタ装置の一例を示す断面図であり、このサセプタ装置1は、上面が板状試料を載置する載置面2aとされセラミックスからなる載置板2と、この載置板2を下方から支持するセラミックスからなる支持板3と、これら載置板2と支持板3との間に設けられた静電吸着用内部電極4及び環状の絶縁材5と、この静電吸着用内部電極4に接するように支持板3の固定孔6内に設けられた給電端子7と、支持板3の下方に配置され、内部に冷却用媒体を循環させる流路8aが形成された温度制御部8とにより構成され、支持板3と温度制御部8とはインジウム(In)やインジウム合金等の軟質ろう材を含む接合剤により形成された接合剤層9を介して接合一体化されている。また、給電端子7は、その外周が絶縁材料10により囲繞されるとともに、外部の直流電源11に接続されている。温度制御部8は、その躯体が導電性材料によりプラズマ発生用内部電極を兼ねた構成とされ、外部の高周波電源12に接続されている。
【0004】
【発明が解決しようとする課題】
しかしながら、上述した従来のサセプタ装置1においては、支持板3を構成しているセラミックス板の厚みが少なくとも3mm程度あるために、板状試料と温度制御部8との間の熱交換効率が充分ではなく、板状試料の温度を所望の一定の温度に維持するのが難しいという問題点があった。そこで、従来のサセプタ装置1に対しては、温度調整機能の更なる向上が求められていた。
また、このサセプタ装置1においては、前記支持板3の厚みが少なくとも3mm程度あるために、プラズマ透過性が充分ではなく、したがって、プラズマを安定して発生させることが難しいという問題点があった。
【0005】
また、このサセプタ装置1においては、接合剤層9が腐食性ガスやプラズマに対して充分な耐性を有していないために、接合剤層9中の重金属成分が揮発し易く、板状試料のコンタミネーション(汚染源)やパーティクル発生の原因となる虞があった。
更に、この接合剤層9が導電性であるために、この接合剤層9がプラズマに曝されて異常放電が生じ、この異常放電により接合界面が絶縁破壊される虞があった。そのために、安全装置が頻繁に作動してサセプタ装置1が安定に作動しない他、サセプタ装置1が安定に作動するとしてもサセプタ装置1自体の耐久性が充分でないという問題点があった。
【0006】
本発明は、上記の課題を解決するためになされたものであって、板状試料を載置する基体を支持するための支持板を絶縁膜に置き替えることで、支持板の薄厚化を図ることができ、したがって、板状試料の温度の制御性及びプラズマ透過性を向上させることができ、更には、板状試料のコンタミネーション(汚染源)やパーティクル発生の原因となる虞がなく、異常放電が生じ難く、作動の安定性が向上し、しかも、耐久性に優れたサセプタ装置を提供することを目的とする。
【0007】
【課題を解決するための手段】
本発明者等は、鋭意検討した結果、セラミックス製の基体上に配設された内部電極上にセラミックス被覆材料を溶射して、この内部電極上に絶縁性溶射膜を形成し、この絶縁性溶射膜を介して前記基体を温度制御部に接合すれば、上記の課題を効率よく解決し得ることを知見し、本発明を完成するに至った。
【0008】
すなわち、本発明のサセプタ装置は、一主面が板状試料を載置する載置面とされたセラミックスからなる基体と、この基体の他の主面に配設された内部電極と、この内部電極に電気的に接続された給電端子と、前記内部電極及び該内部電極と前記給電端子との接続部を被覆する絶縁性溶射膜と、該絶縁性溶射膜の下部に配置され内部に温度制御用の媒体を循環させる流路が形成された温度制御部とを備え、前記絶縁性溶射膜と前記温度制御部とを接合剤層を介して接合することにより、前記基体と前記温度制御部とを一体化してなることを特徴とする。
【0009】
このサセプタ装置では、前記内部電極及び該内部電極と前記給電端子との接続部を被覆する絶縁性溶射膜を備え、前記絶縁性溶射膜と前記温度制御部とを接合剤層を介して接合することにより、前記基体と前記温度制御部とを一体化したことにより、従来の支持板を薄厚の絶縁性溶射膜に替えることが可能になり、前記温度制御部と板状試料との間の熱伝導性及びプラズマ透過性が改善される。
【0010】
前記絶縁性溶射膜の厚みは20μm〜500μmであることが好ましい。
ここで、絶縁性溶射膜の厚みとは、前記基体の表面からの平均厚みである。
前記内部電極の厚みは5μm〜200μmであることが好ましい。
この内部電極の厚みを5μm〜200μmと薄くすれば、前記絶縁性溶射膜の厚みを薄くすることが可能になり、前記温度制御部と板状試料との間の熱伝導性及びプラズマ透過性がさらに改善される。
【0011】
さらに、前記基体及び前記温度制御部のいずれか一方の周縁部に嵌合凸部を、いずれか他方の周縁部に前記嵌合凸部と嵌合する嵌合凹部を、それぞれ設け、前記嵌合凸部と前記嵌合凹部を嵌合することにより、前記絶縁性溶射膜及び前記接合剤層を密閉した構成とすれば、前記内部電極、前記絶縁性溶射膜及び前記接合剤層を腐食性ガスやプラズマから保護することが可能になる。
【0012】
【発明の実施の形態】
本発明のサセプタ装置の各実施の形態について説明する。
なお、以下の各実施の形態は、発明の趣旨をより良く理解させるために具体的に説明するものであり、特に指定のない限り、本発明を限定するものではない。
【0013】
[第1の実施形態]
図1は、本発明の第1の実施形態のサセプタ装置を示す断面図であり、このサセプタ装置21は、上面(一主面)がシリコンウエハ等の板状試料を載置するための載置面22aとされた平板状のセラミックスからなる基体22と、この基体22の下面(他の主面)22bに配設された所定のパターンの静電吸着用内部電極23と、一端部が静電吸着用内部電極23に接続されかつ他端部が外部に露出された給電端子24と、静電吸着用内部電極23の全面及び給電端子24の静電吸着用内部電極23との接続部を被覆しかつ基体22の下面22bに固着された絶縁性溶射膜25と、この絶縁性溶射膜25の下方に配置され、肉厚の平板状の躯体内部に水やHeガス等の冷却用媒体(温度制御用媒体)が循環する流路26が形成された温度制御部27とを備えている。
【0014】
絶縁性溶射膜25と温度制御部27とは、接合剤層28を介して接合一体化され、また、給電端子24はその外周が絶縁材料29により囲繞されるとともに、温度制御部27に形成された貫通孔30に固定され、さらに外部の直流電源11に接続されている。また、温度制御部27は、その躯体が導電性材料によりプラズマ発生用内部電極を兼ねた構成とされ、外部の高周波電源12に接続されている。
【0015】
また、基体22の側周縁部には、リング状のフランジ22cが温度制御部27に向かって突出する様に設けられている。一方、温度制御部27の上部の周縁部には、フランジ22cと相補形状の切欠部27aが形成されている。そして、基体22のフランジ22cを温度制御部27の切欠部27aに嵌合することにより、静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28が基体22及び温度制御部27により囲繞されて密閉状態とされ、腐食性ガスやプラズマに露出しないようになっている。
【0016】
上記の基体22を構成する材料としては、窒化アルミニウム、酸化アルミニウム、窒化珪素、酸化珪素、酸化ジルコニウム、酸化チタン、サイアロン、窒化ホウ素、炭化珪素から選択された1種または2種以上を含有するセラミックスが好ましい。このように、基体22を構成する材料は、単一の材料であっても、複数の材料を含む複合材料であってもよいが、その熱膨張係数は、可能な限り静電吸着用内部電極23の熱膨張係数及び絶縁性溶射膜25の熱膨張係数に近似したものが好ましく、かつ、焼成し易い材料で、しかも得られた焼結体が緻密で機械的強度の高いものが好ましい。
また、この基体22の載置面22aは静電吸着面となるから、特に誘電率が高い材質であって、静電吸着する板状試料に対して不純物とならないものを選択することが好ましい。
【0017】
この基体22の厚みは0.3mm〜3mmが好ましく、特に好ましくは0.5mm〜1.5mmである。その理由は、基体22の厚みが0.3mm未満であると充分な耐電圧を確保することができず、一方、3mmを超えると静電吸着力が低下する他、基体22の載置面22aに載置される板状試料と温度制御部27との間の熱伝導性が低下し、処理中の板状試料の温度を望ましい一定の温度に保つことが困難となるからである。
【0018】
静電吸着用内部電極23の材料としては、チタン、タングステン、モリブデン、白金等の高融点金属、グラファイト、カーボン等の炭素材料、炭化珪素、窒化チタン、炭化チタン等の導電性を有するセラミックス等を使用することができる。
これら電極材料の熱膨張係数は、基体22及び絶縁性溶射膜25の熱膨張係数に出来るだけ近似していることが望ましい。
【0019】
静電吸着用内部電極23の厚みは5μm〜200μmが好ましく、特に好ましくは10〜100μmである。その理由は、厚みが5μmを下回ると充分な導電性を確保することができず、一方、厚みが200μmを越えると、絶縁性の溶射材料により絶縁性溶射膜を形成する際に剥離が生じる虞があり、また、基体22上に載置される板状試料と温度制御部27との間の熱伝導性が低下し、処理中の板状試料の温度を望ましい一定の温度に保つことが困難となり、また、プラズマ透過性が低下し、プラズマの発生が不安定になるからである。
このような厚みの静電吸着用内部電極23は、従来より知られているスパッタ法、または蒸着法、若しくは印刷法により容易に形成することができる。
【0020】
給電端子24は、静電吸着用内部電極23に静電圧を印加するためのものであり、その数、形状等は、静電吸着用内部電極23の態様、即ち単極型か、双極型かにより決定される。
この給電端子24を構成する材料としては、耐熱性に優れた導電性材料であれば特に制限されるものではないが、熱膨張係数が静電吸着用内部電極23及び基体22それぞれの熱膨張係数に近似したものが好ましく、例えば、コバール合金、ニオブ(Nb)等の金属材料、各種の導電性セラミックスが好適に用いられる。
【0021】
絶縁性溶射膜25を構成する材料としては、耐熱性、絶縁性に優れたものであれば特に限定されるものではないが、熱膨張係数が静電吸着用内部電極23及び基体22それぞれの熱膨張係数に近似したものが好ましく、例えば、アルミナ、二酸化珪素、窒化珪素、炭化珪素等のセラミックスが好適に用いられる。
この絶縁性溶射膜25を溶射する場合、例えば、プラズマジェット溶射法等の公知の溶射法を用いることができる。プラズマジェット溶射法は、陰極と陽極ノズルとの間に発生させたアークにより作動ガスをプラズマ化し、このプラズマ内に溶射材料を送り込み、被溶射面に吹付けるものである。得られた溶射膜は多孔質な層状組織であるが、絶縁性溶射膜25は基体22と温度制御部27により囲繞されているので、敢えて封孔処理や溶融処理を施す必要はない。
【0022】
この絶縁性溶射膜25の膜厚は20μm〜500μmが好ましく、特に好ましくは50μm〜300μmである。その理由は、膜厚が20μmを下回ると絶縁性、耐電圧性が低下し、例えば、双極型の静電チャックの場合では、正負電極間で電流の漏れが生じるからであり、一方、膜厚が500μmを越えると、不経済であるばかりでなく、基体22の載置面22a上に載置される板状試料と温度制御部27との間の熱伝導性が低下し、処理中の板状試料の温度を望ましい一定の温度に保つことが困難となり、さらに、プラズマ透過性が低下し、プラズマの発生が不安定になるからである。
この絶縁性溶射膜25は、基体22と温度制御部27により囲繞されているので、耐プラズマ性は要求されない。
【0023】
温度制御部27を構成する材料としては、熱伝導性、導電性、加工性に優れた材料であれば特段制限されるものではなく、例えば、銅、アルミニウム、チタン、ステンレス等の金属、アルミニウム等の金属と導電性セラミックスとを含む導電性複合材料が好適に用いられる。例えば、導電性複合材料としては、アルミニウム中に炭化珪素を20〜70重量%分散させたアルミニウム複合材が好適に用いられる。
【0024】
この温度制御部27の全面、少なくともプラズマに曝される面は、アルマイト処理またはポリイミド系樹脂によるコート処理されているのが好ましい。これらアルマイト処理またはポリイミド系樹脂によるコート処理により、温度制御部27の耐プラズマ性が向上する他、異常放電が防止されるため耐プラズマ安定性が向上し、また、表面傷の発生も防止し得る。
この温度制御部27は、流路27aに水やHeガス等の冷却用媒体を循環させることにより、処理中の板状試料の温度を望ましい一定の温度に保つように温度制御することができる。
【0025】
接合剤層28を構成する材料(接合剤または接着剤)としては、絶縁性溶射膜25と温度制御部27とを強固に接合し得るものであれば特に制限されるものではなく、例えば、シリコーン系接合剤、フッ素樹脂系接合剤等の弾性を有する有機系接着剤、あるいはインジウム、インジウム合金等を含む軟質のロウ剤等が好適に用いられる。
【0026】
上記の絶縁性溶射膜25は弾性を有しないので、弾性を有しない接合剤や硬質のロウ剤では絶縁性溶射膜25と温度制御部27とを強固に接合(接着)することが困難となる。また、弾性を有する接合剤や軟質のロウ剤は、弾性を有することから熱膨張緩和層としても作用し、熱ストレスによる接合剤層28の劣化もないので好ましい。この接合剤層28の厚みは、特に限定されないが、通常150μm〜250μm程度である。接合剤層28の厚みが150μmを下回ると充分な接合強度が得られず、一方、250μmを越えると熱交換効率やプラズマ透過性が低下するからである。
【0027】
以上説明したように、本実施形態のサセプタ装置21によれば、静電吸着用内部電極23及び該静電吸着用内部電極23と給電端子24との接続部を薄厚の絶縁性溶射膜25により被覆し、この絶縁性溶射膜25と温度制御部27とを接合剤層28を介して接合することにより基体22と温度制御部27とを一体化したので、薄厚の絶縁性溶射膜25により従来の肉厚の支持板に取って代わることで温度制御部27と板状試料との間隔を狭めることができ、板状試料の温度制御を向上させることができ、したがって、温度制御部27と板状試料との間の熱伝導性及びプラズマ透過性を改善することができる。
【0028】
また、基体22の側周縁部にリング状のフランジ22cを、温度制御部27の上部の周縁部に前記フランジ22cと相補形状の切欠部27aをそれぞれ形成し、基体22のフランジ22cを温度制御部27の切欠部27aに嵌合することにより、静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28を基体22及び温度制御部27により囲繞し密閉状態としたので、これら静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28を腐食性ガスやプラズマから保護することができる。したがって、異常放電等の発生を防止することができ、作動を安定化させることができ、耐久性を向上させることができる。
【0029】
[第2の実施形態]
図2は、本発明の第2の実施形態のサセプタ装置を示す断面図であり、このサセプタ装置41が第1の実施形態のサセプタ装置21と異なる点は、第1の実施形態のサセプタ装置21では、基体22の側周縁部にリング状のフランジ22cを、温度制御部27の上部の周縁部に前記フランジ22cと相補形状の切欠部27aをそれぞれ形成し、基体22のフランジ22cを温度制御部27の切欠部27aに嵌合する構成であるのに対し、本実施形態のサセプタ装置41では、基体42の下部の周縁部に切欠部42cを、温度制御部43の上部の周縁部に前記切欠部42cと相補形状のリング状のフランジ43aを、それぞれ形成し、温度制御部43のフランジ43aを基体42の切欠部42cに嵌合する構成とした点である。
【0030】
このサセプタ装置41は、第1の実施形態のサセプタ装置21と同様、基体42の上面が板状試料を載置する載置面42aとされるとともに下面42bに静電吸着用内部電極23が所定のパターンで配設され、この静電吸着用内部電極23に給電端子24の先端部が接続され、静電吸着用内部電極23の全面及び給電端子24と静電吸着用内部電極23との接続部が絶縁性溶射膜25により被覆され、この絶縁性溶射膜25と温度制御部43の上面とが接合剤層28を介して接合一体化された構成である。
なお、基体42の材質、温度制御部43の材質、及びその他の点については、第1の実施形態のサセプタ装置21と全く同様であるから、説明を省略する。
【0031】
このサセプタ装置41においても、静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28が基体42及び温度制御部43により囲繞されて密閉状態とされ、腐食性ガスやプラズマに露出しないようになっている。
本実施形態のサセプタ装置41においても、第1の実施形態のサセプタ装置21と同様、温度制御部43と板状試料との間の熱伝導性及びプラズマ透過性を改善することができる。
また、静電吸着用内部電極23、絶縁性溶射膜25及び接合剤層28を腐食性ガスやプラズマから保護することができ、したがって、異常放電等の発生を防止することができ、作動を安定化させることができ、耐久性を向上させることができる。
【0032】
【実施例】
以下、実施例を挙げ、本発明をさらに詳しく説明する。
ここでは、図1に示すサセプタ装置21を作製した。
「基体の作製」
炭化珪素粉末を5重量%含み、残部が酸化アルミニウム粉末からなる混合粉末を略円板状に成形し、その後、所定の温度で焼成し、直径230mm、厚み1mmの略円板状の炭化珪素−酸化アルミニウム(アルミナ)複合焼結体を得た。
次いで、この複合焼結体の上面(一主面)を平坦度が10μm以下となるよう研磨して板状試料を載置するための載置面とし、セラミックス製の基体22を得た。
【0033】
「静電吸着用内部電極の形成」
上記のセラミックス製の基体22の下面(他の主面)22bに、後の熱処理工程で静電吸着用内部電極となるよう、銀(Ag)74.3重量部と、銅(Cu)21.0重量部と、チタン(Ti)4.7重量部とからなる混合粉末と、有機溶剤と、有機バインダーを含有する塗布剤を、スクリーン印刷法にて印刷・塗布し、その後、所定の温度で乾燥し、静電吸着用内部電極形成層とした。
次いで、この静電吸着用内部電極形成層上の所定位置に、直径10mm、長さ20mmのコバール合金製の棒状体を垂直に立て、真空中、780℃にて熱処理し、給電端子24が接続された、厚み50μmの静電吸着用内部電極23を形成した。
【0034】
「絶縁性溶射膜の形成」
プラズマジェット溶射法により、上記の静電吸着用内部電極23の全面、及び給電端子24の先端部と静電吸着用内部電極23との接続部を覆うように、絶縁性溶射膜25を形成した。
溶射材としては、市販の酸化アルミニウム粉末(平均粒径2μm)を用い、平均厚みが200μmの絶縁性溶射膜を形成した。
【0035】
「温度制御部の作製」
鋳込み成形法により、炭化珪素を15重量%含むアルミニウムからなる直径230mm、厚み30mmの略円板状の温度制御部27を作製した。
この温度制御部27の内部には、冷媒を循環させる流路26と、給電端子24を貫通させる貫通孔30が形成されている。
【0036】
「接合一体化」
温度制御部27の上面をアセトンを用いて脱脂、洗浄し、この上面に主成分としてインジウム(In)を含むインジウム系ろう剤を塗布し、大気中、200℃の温度下で加熱処理し、厚みが200μmのろう材層を形成した。ただし、給電端子24を貫通させる貫通孔30の部分を除いて形成した。
その後、このろう材層上に、絶縁性溶射膜25が接し、かつ貫通孔30に給電端子24が挿通するように、静電吸着用内部電極23が形成された基体22を温度制御部27の上面に載置し、大気中、200℃にて加熱処理し、接合剤層28を介して基体22と温度制御部27とを一体化した。さらに、給電端子24と貫通孔30との間にシリコーン樹脂(絶縁材料)を充填して絶縁し、サセプタ装置21を得た。
【0037】
「評価」
上記により得られたサセプタ装置21(実施例)、及び図3に示されるサセプタ装置1(従来例)それぞれの静電吸着特性を評価した。
実施例及び従来例のサセプタ装置の載置面に、直径200mmのシリコンウエハ(板状試料)を載置し、これらのサセプタ装置を、アルゴン(Ar)ガスを含むプラズマ雰囲気下において、シリコンウエハの面内温度が400℃となるように温度制御部内の流路に水(冷却用媒体)を流しつつ、シリコンウエハを載置面に静電吸着するため、静電吸着用内部電極に直流電圧:500V、750V、1000Vを印加した。
【0038】
その結果、実施例のサセプタ装置では、いずれの印加電圧でも何ら支障なくシリコンウエハを静電吸着することができたが、従来例のサセプタ装置では、印加電圧が1000Vのときに接合剤層に放電が生じ、安全装置が作動してシリコンウエハを静電吸着することができなかった。
また、実施例のサセプタ装置では、シリコンウエハ上のプラズ処理を10000回行ってもプラズマが消失することはなく、プラズマの安定性も良好であった。
実施例のサセプタ装置の静電吸着特性を表1に示す。
【0039】
【表1】
Figure 2004079588
【0040】
【発明の効果】
以上説明したように、本発明のサセプタ装置によれば、内部電極及び該内部電極と給電端子との接続部を被覆する絶縁性溶射膜と、温度制御部とを、接合剤層を介して接合したので、従来のサセプタ装置における支持板を絶縁性溶射膜に替えて薄膜化することができ、温度制御部と板状試料との間隔を小さくすることができ、温度制御部と板状試料との間の熱伝導性及びプラズマ透過性を改善することができる。
【0041】
基体及び温度制御部のいずれか一方の周縁部に嵌合凸部を、いずれか他方の周縁部に前記嵌合凸部と嵌合する嵌合凹部を、それぞれ設け、前記嵌合凸部と前記嵌合凹部を嵌合し、前記絶縁性溶射膜及び前記接合剤層を密閉した構成とすれば、前記内部電極、前記絶縁性溶射膜及び前記接合剤層を腐食性ガスやプラズマから保護することができ、板状試料へのコンタミネーション(汚染源)やパーティクルの原因となる虞もない。
さらに、接合剤層がプラズマに曝されて異常放電を起こして安全装置が頻繁に作動することもなく、安定に作動することができ、しかも、耐久性に優れたものとなる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態のサセプタ装置を示す断面図である。
【図2】本発明の第2の実施形態のサセプタ装置を示す断面図である。
【図3】従来のサセプタ装置の一例を示す断面図である。
【符号の説明】
21 サセプタ装置
22 基体
22a 載置面
22b 下面(他の主面)
22c リング状のフランジ
23 静電吸着用内部電極
24 給電端子
25 絶縁性溶射膜
26 流路
27 温度制御部
27a 切欠部
28 接合剤層
41 サセプタ装置
42 基体
42a 載置面
42b 下面(他の主面)
42c 切欠部
43 温度制御部
43a リング状のフランジ

Claims (4)

  1. 一主面が板状試料を載置する載置面とされたセラミックスからなる基体と、この基体の他の主面に配設された内部電極と、この内部電極に電気的に接続された給電端子と、前記内部電極及び該内部電極と前記給電端子との接続部を被覆する絶縁性溶射膜と、該絶縁性溶射膜の下部に配置され内部に温度制御用の媒体を循環させる流路が形成された温度制御部とを備え、
    前記絶縁性溶射膜と前記温度制御部とを接合剤層を介して接合することにより、前記基体と前記温度制御部とを一体化してなることを特徴とするサセプタ装置。
  2. 前記絶縁性溶射膜の厚みは20μm〜500μmであることを特徴とする請求項1記載のサセプタ装置。
  3. 前記内部電極の厚みは5μm〜200μmであることを特徴とする請求項1または2記載のサセプタ装置。
  4. 前記基体及び前記温度制御部のいずれか一方の周縁部に嵌合凸部を、いずれか他方の周縁部に前記嵌合凸部と嵌合する嵌合凹部を、それぞれ設け、
    前記嵌合凸部と前記嵌合凹部を嵌合することにより、前記絶縁性溶射膜及び前記接合剤層を密閉してなることを特徴とする請求項1、2または3記載のサセプタ装置。
JP2002234078A 2002-08-09 2002-08-09 サセプタ装置 Expired - Lifetime JP4034145B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2002234078A JP4034145B2 (ja) 2002-08-09 2002-08-09 サセプタ装置
US10/633,928 US7678197B2 (en) 2002-08-09 2003-08-04 Susceptor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002234078A JP4034145B2 (ja) 2002-08-09 2002-08-09 サセプタ装置

Publications (2)

Publication Number Publication Date
JP2004079588A true JP2004079588A (ja) 2004-03-11
JP4034145B2 JP4034145B2 (ja) 2008-01-16

Family

ID=32019020

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002234078A Expired - Lifetime JP4034145B2 (ja) 2002-08-09 2002-08-09 サセプタ装置

Country Status (2)

Country Link
US (1) US7678197B2 (ja)
JP (1) JP4034145B2 (ja)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006196864A (ja) * 2004-12-14 2006-07-27 Ngk Insulators Ltd アルミナ部材及びその製造方法
WO2008018341A1 (fr) * 2006-08-10 2008-02-14 Sumitomo Osaka Cement Co., Ltd. Dispositif de fixation électrostatique
JP2008205415A (ja) * 2007-02-16 2008-09-04 Creative Technology:Kk 静電チャック
JP2008235430A (ja) * 2007-03-19 2008-10-02 Tokyo Electron Ltd プラズマ処理装置内構造体及びプラズマ処理装置
JP2009188342A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 載置台及びプラズマ処理装置
WO2016158110A1 (ja) * 2015-03-31 2016-10-06 住友大阪セメント株式会社 静電チャック装置
JP6123952B1 (ja) * 2015-08-27 2017-05-10 住友大阪セメント株式会社 静電チャック装置
JP2017208562A (ja) * 2012-04-26 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Escの接着剤の浸食を防止するための方法及び装置
CN111446197A (zh) * 2019-01-16 2020-07-24 细美事有限公司 静电吸盘和包括其的静电吸盘装置
JP2020136474A (ja) * 2019-02-19 2020-08-31 日本特殊陶業株式会社 基板保持部材および基板保持機構

Families Citing this family (363)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050103274A1 (en) * 2003-11-14 2005-05-19 Cheng-Tsung Yu Reliability assessment system and method
US8284538B2 (en) * 2006-08-10 2012-10-09 Tokyo Electron Limited Electrostatic chuck device
US7838800B2 (en) * 2006-09-25 2010-11-23 Tokyo Electron Limited Temperature controlled substrate holder having erosion resistant insulating layer for a substrate processing system
JP5049891B2 (ja) * 2008-06-13 2012-10-17 新光電気工業株式会社 基板温調固定装置
US20100055330A1 (en) * 2008-08-28 2010-03-04 Hermes Systems Inc. Epitaxy Processing System and Its Processing Method
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
KR20130107001A (ko) * 2012-03-21 2013-10-01 엘지이노텍 주식회사 증착 장치
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR101729603B1 (ko) * 2013-02-25 2017-04-24 쿄세라 코포레이션 시료 유지구
USD743357S1 (en) * 2013-03-01 2015-11-17 Asm Ip Holding B.V. Susceptor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
KR102655866B1 (ko) * 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200023988A (ko) * 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
US10763154B2 (en) 2018-08-28 2020-09-01 Applied Materials, Inc. Measurement of flatness of a susceptor of a display CVD chamber
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) * 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
WO2020261992A1 (ja) 2019-06-28 2020-12-30 日本碍子株式会社 ウエハ載置台
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11075104B2 (en) * 2019-08-27 2021-07-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor chuck and method of making
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN113035683B (zh) * 2019-12-25 2023-09-29 中微半导体设备(上海)股份有限公司 一种下电极组件、等离子体处理器
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
JP6904442B1 (ja) * 2020-01-31 2021-07-14 住友大阪セメント株式会社 セラミックス接合体、静電チャック装置
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240001398A1 (en) * 2022-07-01 2024-01-04 Applied Materials, Inc. Substrate carrier improvement

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144778A (ja) * 1996-11-13 1998-05-29 Kobe Steel Ltd 静電チャック
JPH10150100A (ja) * 1996-09-19 1998-06-02 Hitachi Ltd 静電チャックとそれを用いた試料処理方法及び装置
JP2001007189A (ja) * 1999-06-24 2001-01-12 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
JP2001203258A (ja) * 2000-01-21 2001-07-27 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2001308165A (ja) * 2000-04-19 2001-11-02 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5275683A (en) * 1991-10-24 1994-01-04 Tokyo Electron Limited Mount for supporting substrates and plasma processing apparatus using the same
JPH08162519A (ja) 1994-12-07 1996-06-21 Toto Ltd 静電チャック及びその製造方法
JP3485390B2 (ja) 1995-07-28 2004-01-13 京セラ株式会社 静電チャック
US5775416A (en) * 1995-11-17 1998-07-07 Cvc Products, Inc. Temperature controlled chuck for vacuum processing
JPH09157846A (ja) * 1995-12-01 1997-06-17 Teisan Kk 温度調節装置
JPH09326385A (ja) * 1996-06-04 1997-12-16 Tokyo Electron Ltd 基板冷却方法
JP3622353B2 (ja) 1996-07-12 2005-02-23 東陶機器株式会社 静電チャックステージ及びその製造方法
JPH10270540A (ja) 1997-03-26 1998-10-09 Nippon Cement Co Ltd 静電チャックデバイスおよび静電チャック用基台
JP2001313331A (ja) 2000-04-28 2001-11-09 Sumitomo Osaka Cement Co Ltd 静電吸着装置
JP4559595B2 (ja) * 2000-07-17 2010-10-06 東京エレクトロン株式会社 被処理体の載置装置及びプラズマ処理装置
JP3883805B2 (ja) 2000-12-11 2007-02-21 住友大阪セメント株式会社 静電チャックステージ及びその製造方法
JP2003152064A (ja) * 2001-11-13 2003-05-23 Sumitomo Osaka Cement Co Ltd 電極内蔵型サセプタ及びその製造方法
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10150100A (ja) * 1996-09-19 1998-06-02 Hitachi Ltd 静電チャックとそれを用いた試料処理方法及び装置
JPH10144778A (ja) * 1996-11-13 1998-05-29 Kobe Steel Ltd 静電チャック
JP2001007189A (ja) * 1999-06-24 2001-01-12 Shin Etsu Chem Co Ltd 静電チャック及びその製造方法
JP2001203258A (ja) * 2000-01-21 2001-07-27 Tocalo Co Ltd 静電チャック部材およびその製造方法
JP2001308165A (ja) * 2000-04-19 2001-11-02 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法

Cited By (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4542485B2 (ja) * 2004-12-14 2010-09-15 日本碍子株式会社 アルミナ部材及びその製造方法
JP2006196864A (ja) * 2004-12-14 2006-07-27 Ngk Insulators Ltd アルミナ部材及びその製造方法
WO2008018341A1 (fr) * 2006-08-10 2008-02-14 Sumitomo Osaka Cement Co., Ltd. Dispositif de fixation électrostatique
JP2008042141A (ja) * 2006-08-10 2008-02-21 Sumitomo Osaka Cement Co Ltd 静電チャック装置
CN101501834B (zh) * 2006-08-10 2012-07-25 住友大阪水泥股份有限公司 静电吸盘装置
US8264813B2 (en) 2006-08-10 2012-09-11 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
KR101318591B1 (ko) * 2006-08-10 2013-10-15 스미토모 오사카 세멘토 가부시키가이샤 정전척 장치
JP2008205415A (ja) * 2007-02-16 2008-09-04 Creative Technology:Kk 静電チャック
JP2008235430A (ja) * 2007-03-19 2008-10-02 Tokyo Electron Ltd プラズマ処理装置内構造体及びプラズマ処理装置
JP2009188342A (ja) * 2008-02-08 2009-08-20 Tokyo Electron Ltd 載置台及びプラズマ処理装置
JP2017208562A (ja) * 2012-04-26 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Escの接着剤の浸食を防止するための方法及び装置
WO2016158110A1 (ja) * 2015-03-31 2016-10-06 住友大阪セメント株式会社 静電チャック装置
JPWO2016158110A1 (ja) * 2015-03-31 2018-01-18 住友大阪セメント株式会社 静電チャック装置
US10622239B2 (en) 2015-03-31 2020-04-14 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
JP6123952B1 (ja) * 2015-08-27 2017-05-10 住友大阪セメント株式会社 静電チャック装置
US10256131B2 (en) 2015-08-27 2019-04-09 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device
CN111446197A (zh) * 2019-01-16 2020-07-24 细美事有限公司 静电吸盘和包括其的静电吸盘装置
US11367646B2 (en) * 2019-01-16 2022-06-21 Semes Co., Ltd. Electrostatic chuck and electrostatic chuck apparatus including the same
JP2020136474A (ja) * 2019-02-19 2020-08-31 日本特殊陶業株式会社 基板保持部材および基板保持機構
JP7445386B2 (ja) 2019-02-19 2024-03-07 日本特殊陶業株式会社 基板保持部材および基板保持機構

Also Published As

Publication number Publication date
US7678197B2 (en) 2010-03-16
JP4034145B2 (ja) 2008-01-16
US20050098120A1 (en) 2005-05-12

Similar Documents

Publication Publication Date Title
JP4034145B2 (ja) サセプタ装置
JP4451098B2 (ja) サセプタ装置
JP4219927B2 (ja) 基板保持機構およびその製造方法、基板処理装置
TWI308366B (ja)
JP6064908B2 (ja) 静電チャック装置
JP2014209615A (ja) 静電チャック
JP2008160097A (ja) 静電チャック、静電チャックの製造方法および基板処理装置
JP4943086B2 (ja) 静電チャック装置及びプラズマ処理装置
JP2001189378A (ja) ウエハー吸着加熱装置
JP2013004900A (ja) 静電チャック装置
JPH11111828A (ja) 静電吸着装置
JP4331427B2 (ja) 半導体製造装置に使用される給電用電極部材
JP3973872B2 (ja) 電極内蔵型サセプタ及びその製造方法
JP4943085B2 (ja) 静電チャック装置及びプラズマ処理装置
JP2002368069A (ja) 静電吸着装置
JP2006186351A (ja) 半導体製造装置
JP2008042140A (ja) 静電チャック装置
JP2005063991A (ja) 半導体製造装置
JP2004055608A (ja) 電極内蔵型サセプタ
CN111446197B (zh) 静电吸盘和包括其的静电吸盘装置
JP2001077185A (ja) 静電チャック及びその製造方法
JP2008205415A (ja) 静電チャック
US20040146737A1 (en) Joined structures of ceramics
JP2000183145A (ja) ウエハステージ及び真空熱処理装置
JP2006120847A (ja) 双極型静電チャック、及びその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040412

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070403

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070621

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071016

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071024

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101102

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4034145

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101102

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111102

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111102

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121102

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121102

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131102

Year of fee payment: 6

EXPY Cancellation because of completion of term