FR2698381A1 - Appareil pour la production de dispositifs semi-conducteurs composés et conteneur d'une matière organique métallique à y utiliser. - Google Patents

Appareil pour la production de dispositifs semi-conducteurs composés et conteneur d'une matière organique métallique à y utiliser. Download PDF

Info

Publication number
FR2698381A1
FR2698381A1 FR9311280A FR9311280A FR2698381A1 FR 2698381 A1 FR2698381 A1 FR 2698381A1 FR 9311280 A FR9311280 A FR 9311280A FR 9311280 A FR9311280 A FR 9311280A FR 2698381 A1 FR2698381 A1 FR 2698381A1
Authority
FR
France
Prior art keywords
gas
pipe
flow
gaseous
gaseous materials
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
FR9311280A
Other languages
English (en)
Other versions
FR2698381B1 (fr
Inventor
Motoda Takashi
Karakida Shouichi
Kaneno Nobuaki
Kageyama Shigeki
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of FR2698381A1 publication Critical patent/FR2698381A1/fr
Application granted granted Critical
Publication of FR2698381B1 publication Critical patent/FR2698381B1/fr
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02543Phosphides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/02546Arsenides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

L'invention concerne un appareil pour la production d'un dispositif semi-conducteur composé. Selon l'invention, il comprend des moyens d'ajustement du débit (10a à 10c) de matières gazeuses respectives, un tuyau (12) de mélange de gaz pour mélanger ces matières gazeuses respectives, des tuyaux de distribution de gaz (13a à 13c) qui sont connectés à une extrémité du tuyau (12), des seconds moyens d'ajustement du débit (14a à 14c) pour ajuster les débits des matières gazeuses qui s'écoulent par les tuyaux de distribution de gaz (13a à 13c) et pour fournir les matières gazeuses dans un tube de réaction (3), des pastilles (2) placées sur le tube (3) de manière que les matières gazeuses dans le tube de réaction (3) soient résolues pour y provoquer la croissance d'un cristal, un moyen (21) de détection de pression dans le tuyau (12) et un moyen de commande (22) qui, à la réception d'une sortie du moyen de détection de pression (21), contrôle les seconds moyens d'ajustement du débit (14a à 14c) pour maintenir la pression dans le tuyau (12) à une valeur constante. L'invention s'applique notamment à l'industrie des semi-conducteurs.

Description

La présente invention se rapporte à un appareil pour la croissance de
cristaux semi-conducteurs composés utilisant une méthode de croissance en phase vapeur et elle se rapporte plus particulièrement à un perfectionnement
d'un mécanisme pour fournir la matière gazeuse à un tube de réaction.
La figure 8 donne un schéma d'un appareil pour la croissance d'un cristal semi-conducteur composé afin de former un film mince sur un certain nombre de pastilles par un procédé de dépôt chimique en phase vapeur à assez basse pression Plus particulièrement, cet appareil de croissance produit une réaction chimique sur les matières gazeuses sous une pression réduite afin de déposer un
solide sur les pastilles.
Plus particulièrement, comme cela est illustré à la figure 8, un suscepteur 1 contenant un certain nombre de pastilles 2 est placé dans un tube de réacteur 3 de forme cylindrique et, après avoir fermé les deux extrémités d'ouverture du tube de réaction 3 au moyen de couvercles 4 et 5, un gaz réactif (un mélange de matières gazeuses) est fourni au tube de réaction 3 via des entrées 6 a à 6 c d'alimentation en gaz Alors, dans un état o la pression dans le tube de réaction 3 est réduite via une sortie d'échappement 8 par une pompe d'échappement 9, l'intérieur du tube 3 est chauffé à une température prescrite par application de courant électrique à un four 7, afim de déposer un cristal sur les pastilles 2 selon une réaction chimique de la matière gazeuse Là, le débit de la matière gazeuse requis pour la croissance du cristal est contrôlé par des contrôleurs d'écoulement massique (que l'on appellera ci-après MFC) pour fournir la matière gazeuse l Oa à l Oc, et les gaz respectifs sont introduits à travers des tuyaux 1 la à 1 lc d'alimentation en matière gazeuse dans un tuyau 12 de mélange de gaz pour mélanger ces gaz La matière gazeuse qui y est mélangée est introduite dans le tube de réaction 3 par des tuyaux 13 a à 13 c de distribution de gaz afin d'équilibrer l'épaisseur, la composition ou analogue par
la croissance cristalline entreprise dans le tube de réaction 3.
En employant l'appareil de croissance ci-dessus décrit, la distribution de gaz dans le tube de réaction est mieux améliorée que dans le cas o le gaz réactif est fourni par une seule entrée d'alimentation en gaz d'une seule extrémité du tube de réaction Cependant, les débits de la matière gazeuse mélangée s'écoulant à travers les tuyaux respectifs de distribution de gaz ne sont pas toujours rendus égaux les uns aux autres simplement par ramification du tuyau d'alimentation en gaz mélangé en plusieurs tuyaux, ce qui n'a pas pour résultat
un film mince d'une grande égalité.
Dans un appareil de dépôt chimique en phase vapeur à plus basse pression qui est illustré à la figure 9, lequel est révélé dans la demande du modèle d'utilité publiée au Japon No 2-33260, selon une tension à la sortie d'un débitmètre de masse (que l'on appellera ci-après MFM) 15 qui est placé dans le trajet du tuyau de mélange de gaz 12, laquelle tension de sortie est appliquée à un circuit de contrôle de gaz 16, c'est-à-dire selon le débit de la matière gazeuse mélangée s'écoulant dans le MFM 15, les débits de la matière gazeuse mélangée s'écoulant dans les MFC respectifs pour ajuster les gaz réactifs 14 a, 14 b et 14 c, lesquels MFC sont respectivement placés dans le trajet des tuyaux de distribution de gaz 13 a, 13 b et 13 c, sont changés tandis que les pourcentages des quantités d'écoulement pour les MFC respectifs 14 a à 14 c sont maintenus à des valeurs qui sont préétablies à des appareils d'ajustement 17 a à 17 c, sous contrôle du circuit de contrôle de gaz 16 Par suite, les débits de la matière gazeuse mélangée s'écoulant à travers les tuyaux respectifs de distribution de gaz 13 a, 13 b et 13 c sont automatiquement ajustés en maintenant les pourcentages des quantités d'écoulement et les débits de la matière gazeuse
mélangée s'écoulant dans le tube de réaction 3 sont équilibrés.
Si entre les MFC i Qa à l Oc fournissant la matière gazeuse se trouvant dans le trajet des tuyaux d'alimentation en matière gazeuse 1 la à l lc et les MFC 14 a à 14 c se trouvant dans le trajet des tuyaux 13 a à 13 c de distribution de gaz, il y a une différence de précision du fait d'erreurs de fabrication, d'une détérioration avec les années ou analogues des MFC, c'est-à-dire si, bien que le circuit de contrôle de gaz 16 rende les débits des matières gazeuses s'écoulant dans les MFC 14 a à 14 c égaux à ceux s'écoulant dans les MFC 10 a à 10 c, les débits totaux des premiers MFC 14 a à 14 c sont plus petits que ceux des derniers MFC 1 Oa à 1 Oc, la matière gazeuse mélangée se trouve retardée dans le tuyau de mélange de gaz 12 qui se trouve entre les MFC 14 a à 14 c et les MFC 10 a à 1 Oc, ce qui diminue le débit de la matière gazeuse mélangée s'écoulant dans le MFM Cependant, dans cette structure, les débits du gaz réactif s'écoulant dans les MFC 14 a à 14 c diminuent avec la diminution du débit de la matière gazeuse mesurée dans le MFM 15 et les matières gazeuses sont d'autant plus retardées dans le tuyau 12 de mélange de gaz, ce qui diminue encore les débits des
matières gazeuses avec pour résultat un cercle vicieux.
Dans l'appareil pour la croissance d'un cristal semi-conducteur composé de l'art antérieur construit comme ci-dessus, la matière gazeuse est retardée dans le tuyau de mélange de gaz entre les MFC disposés respectivement au stade antérieur et au stade ultérieur du tuyau de mélange de gaz, étant donné les erreurs de fabrication, la détérioration avec les années ou analogues des MFC et
dans le pire des cas, la fourniture de la matière gazeuse mélangée peut s'arrêter.
La figure 10 donne un schéma bloc illustrant un appareil pour la croissance d'un cristal selon l'art antérieur, lequel est révélé dans la demande de brevet au Japon No 1-140712 Sur cette figure, des pastilles 2 sont disposées sur un suscepteur 31 ayant un arbre de rotation 30 Des soupapes variables avec le débit 32 a à 32 d sont disposées dans le trajet des tuyaux de distribution de gaz 13 Des matières liquides prescrites sont incorporées dans des appareils de barbotage 33 a à 33 c et on y fait passer H 2 gazeux en tant que gaz porteur pour produire les matières gazeuses souhaitées Des soupapes d'arrêt 35 a à 35 d sont
utilisées pour l'entretien.
Dans cet appareil, après mélange des matières gazeuses produites dans les appareils de barbotage 33 a à 33 c dans un tuyau de mélange de gaz 12, les rapports des quantités d'écoulement (rapport de distribution) des matières gazeuses mélangées s'écoulant à travers les tuyaux de distribution de gaz 13 sont contrôlés par les soupapes variables avec le débit 32 a à 32 d ayant un degré prescrit d'ouverture et la matière gazeuse mélangée est introduite dans le tube réactionnel 3 Les matières gazeuses introduites dans le tube réactionnel 3 comme on l'a décrit ci- dessus réagissent sur la surface ou à proximité des pastilles 2, faisant croître les cristaux souhaités sur les pastilles 2 Ainsi, dans cet appareil, l'uniformité de l'épaisseur de la couche et de la composition de la couche de cristal tirée sur la pastille 2 augmente grâce à l'ajustement des débits des gaz s'écoulant à travers les tuyaux 13 de distribution de gaz Cependant, selon cet appareil de l'art antérieur, on ne considère pas la nature inhérente de chaque matière Par conséquent, dans le traitement d'un certain nombre de pastilles de grande taille, il est difficile de contrôler à la fois l'uniformité de l'épaisseur des couches, la composition et la quantité d'addition d'impuretés dans la couche de cristal qui est formée, suffisamment, sur toute la surface de la pastille. Dans l'appareil illustré à la figure 10, les matières gazeuses sont produites en utilisant les appareils de barbotage (conteneurs d'une matière organique métallique) Cependant, il y a un problème par le fait qu'une petite quantité de la matière organique métallique gazeuse n'est pas fournie de manière
stable dans l'appareil conventionnel de barbotage.
Plus particulièrement, comme cela est illustré à la figure 11, dans l'appareil conventionnel de barbotage, en fournissant un débit spécifique d'un gaz porteur, par exemple H 2, à un conteneur 53 de matière, (que l'on appellera ci-après également cylindre) en provenance d'un tuyau 51 pour introduire le gaz porteur par un MFC 56 et en faisant passer le gaz porteur à travers la matière organique métallique 54, des bulles 55 sont produites et une matière gazeuse saturée de vapeur provenant de la matière organique métallique s'échappe d'un tuyau 52 des gaz déchappement A ce moment, en réglant la pression d'un manomètre 57 placé dans le trajet du tuyau déchappement de gaz 52 à une valeur prescrite, on obtient une quantité prescrite d'une matière gazeuse ayant une pression constante de vapeur Cependant, afin de sortir une petite quantité de la matière gazeuse, il faut introduire une petite quantité d'un gaz porteur dans la matière organique métallique Les bulles produites à ce moment ne sont pas des bulles continues mais intermittentes et instables parce que le gaz porteur introduit est en une trop petite quantité Par conséquent, la quantité de vapeur de la matière gazeuse à l'échappement du tuyau d'échappement de gaz 52 n'est pas stable non plus et on ne peut prélever la vapeur souhaitée de la matière
organique métallique.
Afin de résoudre les problèmes ci-dessus décrits, comme cela est illustré à la figure 12, une extrémité d'un tuyau 51 a d'introduction du gaz porteur n'est pas immergée dans la matière organique métallique 54 Dans cet appareil, un gaz porteur fourni au cylindre 53 ne produit pas de bulles, absorbe une vapeur saturée sortant à la surface de la matière organique métallique 54 à un état déquilibre pour un échappement du tuyau d'échappement de gaz 52 sous la forme de la matière gazeuse Cependant, dans cet appareil, tandis que la matière organique métallique 54 est consommée, la distance entre le tuyau d'échappement de gaz 52 et le niveau du liquide de la matière organique métallique 54 change Par conséquent, la quantité de vapeur de la matière gazeuse prélevée dans le tuyau d'échappement de gaz 52 change avec la consommation de la matière et on ne peut prélever de manière stable une
quantité souhaitée de matière gazeuse.
La présente invention a pour objet de contrôler régulièrement le débit de la matière gazeuse mélangée même lorsqu'il y a une différence de précision du fait d'erreurs de fabrication et d'une détérioration avec les années des FMC,
entre les MFC fournissant la matière gazeuse et les MFC ajustant le gaz réactif.
La présente invention a pour autre objet de procurer un appareil de production d'un dispositif semi-conducteur composé permettant d'obtenir une uniformité suffisante de l'épaisseur de la couche, de la composition et de la quantité d'addition dimpuretés de la couche de cristal développée sur la totalité
de la surface de la pastille.
La présente invention a pour autre objet de procurer un conteneur d'une matière organique métallique pouvant fournir de manière stable une petite
quantité de matière gazeuse.
Selon un premier aspect de la présente invention, un appareil de production dun dispositif semi-conducteur composé comporte un premier moyen d'ajustement du débit d'une matière gazeuse, un tuyau de mélange de gaz pour mélanger les matières gazeuses dont les débits sont ajustés, un certain nombre de tuyaux de distribution de gaz qui sont connectés à une extrémité du tuyau de mélange de gaz, un second moyen d'ajustement du débit pour ajuster la matière gazeuse s'écoulant à travers les tuyaux de distribution de gaz et pour fournir les matières gazeuses dans un tube de réaction, un certain nombre de pastilles placées dans le tube de réaction de manière que la matière gazeuse dans le tube de réaction soit forcée à croître en un cristal, un moyen pour détecter la pression dans le tuyau de mélange de gaz et un moyen de contrôle pour, à la réception d'une sortie du moyen de détection de pression, contrôler le second moyen d'ajustement du débit afin de maintenir la pression dans le tuyau de mélange de gaz à une valeur constante Par conséquent, un retard de la matière
gazeuse entre les premier et second moyens dajustement du débit est empêché.
Selon un second aspect de la présente invention, l'appareil, ci-dessus décrit pour la production d'un dispositif semi-conducteur composé comporte de plus un tuyau de dérivation du gaz réactif pour la ramification et l'échappement d'un gaz réactif dans le tuyau de mélange de gaz, un moyen d'ajustement du débit du tuyau de dérivation du gaz réactif s'écoulant à travers le tuyau de dérivation du gaz réactif et un moyen de contrôle pour, à la réception d'une sortie du moyen de détection de pression, contrôler le moyen d'ajustement du débit dans le tuyau de dérivation afm de maintenir la pression dans le tuyau de mélange de gaz à une valeur constante Par conséquent, on peut empêcher un retard de la matière gazeuse entre les premier et second moyens d'ajustement du débit. Selon un troisième aspect de la présente invention, l'appareil ci-dessus décrit pour la production d'un dispositif semi-conducteur composé comporte de plus un moyen de contrôle pour, à la réception d'une sortie du moyen de détection de pression, contrôler le premier moyen d'ajustement du débit afin de
maintenir la pression dans le tuyau de mélange de gaz à une valeur constante.
Par conséquent, un retard de la matière gazeuse entre les premier et second
moyens d'ajustement du débit est empêché.
Selon un quatrième aspect de la présente invention, l'appareil ci-dessus décrit pour produire un dispositif semi-conducteur composé comporte de plus un moyen de contrôle pour, à la réception d'une sortie du moyen de détection de pression, contrôler le moyen d'ajustement du débit du gaz porteur afin de maintenir la pression dans le tuyau de mélange de gaz à une valeur constante. Par conséquent, on peut empêcher un retard de la matière gazeuse entre les
premier et second moyens d'ajustement du débit.
Selon un cinquième aspect de la présente invention, l'appareil ci-dessus décrit pour produire un dispositif semi-conducteur composé comporte de plus un tuyau de dérivation pour la ramification et l'échappement d'un gaz porteur dans le tuyau de mélange de gaz, dont l'extrémité est connectée à une portion avant une portion o les matières gazeuses sont fournies au tuyau de mélange de gaz Par conséquent, en plus de l'effet des aspects ci-dessus décrits, il ne se produit aucun changement du débit d'alimentation des matières gazeuses, ce qui
supprime le changement de la composition du cristal.
Selon un sixième aspect de la présente invention, un appareil pour la production d'un dispositif semi-conducteur composé o les matières gazeuses comprenant un élément composant d'un cristal semi-conducteur composé sont introduites dans un tube réactionnel et la croissance cristalline d'un composé est effectuée sur des pastilles disposées dans le tube réactionnel, comprend des tuyaux d'alimentation en matière gazeuse qui sont séparés les uns des autres dans chaque groupe de l'élément composant incorporé dans les matières gazeuses et des moyens d'ajustement du débit pour ajuster les débits des matières gazeuses s'écoulant à travers les tuyaux d'alimentation en matières gazeuses L'appareil de production d'un dispositif semi-conducteur composé comporte de plus des tuyaux de fourniture dimpuretés gazeuses, lesquels sont séparés des tuyaux de fourniture de matière gazeuse Par conséquent, le rapport de distribution de chaque matière gazeuse est établi en considérant le coefficient de décomposition de la matière et on contrôle la concentration en impuretés
dans la phase gazeuse ainsi que le rapport de distribution.
Selon un septième aspect de la présente invention, un appareil qui, contenant une matière organique métallique liquéfiée, reçoit un gaz porteur et dégage une matière gazeuse produite par le gaz porteur saturé de vapeur provenant de la matière organique métallique comporte un conteneur lequel contient la matière organique métallique liquéfiée, un tuyau pour l'introduction du gaz porteur, qui, ayant son extrémité immergée dans la matière organique métallique, fournit le gaz porteur au conteneur lui-même par un contrôleur du débit du gaz porteur, un premier tuyau pour l'échappement de la matière gazeuse qui, n'ayant pas son extrémité en contact avec le niveau du liquide de la matière organique métallique, provoque l'échappement d'une grande quantité de matière gazeuse vers l'aval à travers un premier contrôleur de débit de matière gazeuse pour contrôler la pression et la quantité d'écoulement de la matière gazeuse et un second tuyau pour l'échappement de la matière gazeuse qui, n'ayant pas son extrémité en contact avec le niveau du liquide de la matière organique métallique, provoque l'échappement d'une petite quantité de matière gazeuse vers l'aval par un second contrôleur du débit de matière gazeuse Par conséquent, on obtient de manière stable une petite quantité de vapeur de la
matière organique métallique, du fait des bulles continues.
Selon un huitième mode de réalisation de la présente invention, l'appareil ci-dessus décrit contenant une matière organique métallique liquéfiée comporte de plus un tuyau d'introduction du gaz porteur, qui fournit le gaz porteur au conteneur lui-même par un premier contrôleur de débit, un tuyau pour l'échappement de la matière gazeuse qui comporte un second contrôleur de débit à l'aval, et des tuyaux de raccordement qui sont prévus aux extrémités respectives du tuyau d'introduction de gaz porteur et du tuyau d'échappement de matière gazeuse afin de glisser de manière flexible, lesquelles extrémités sont placées flottantes sur le niveau du liquide de la matière organique métallique tout en maintenant un espace constant de manière que les extrémités ne viennent pas en contact avec le niveau du liquide Par conséquent, même si le niveau du liquide baisse alors selon que la matière est consommée, la distance entre le tuyau d'échappement de la matière gazeuse et le niveau du liquide peut être
maintenue constante.
L'invention sera mieux comprise et d'autres buts, caractéristiques, détails
et avantages de celle-ci apparaîtront plus clairement au cours de la description
explicative qui va suivre faite en référence aux dessins schématiques annexés donnés uniquement à titre d'exemple illustrant plusieurs modes de réalisation de l'invention et dans lesquels: la figure 1 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un premier mode de réalisation de la présente invention; la figure 2 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un deuxième mode de réalisation de la présente invention; la figure 3 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un troisième mode de réalisation de la présente invention; la figure 4 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un quatrième mode de réalisation de la présente invention; la figure 5 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un cinquième mode de réalisation de la présente invention; la figure 6 donne un schéma bloc d'un appareil de production d'un dispositif semi-conducteur composé selon un sixième mode de réalisation de la présente invention; la figure 7 donne un schéma bloc dun appareil de production d'un dispositif semi-conducteur composé selon un septième mode de réalisation de la présente invention; la figure 8 donne un schéma bloc illustrant un appareil de l'art antérieur pour la production d'un dispositif semi- conducteur composé; la figure 9 donne un schéma bloc illustrant un appareil de l'art antérieur pour la production d'un dispositif semi- conducteur composé; la figure 10 donne un schéma bloc illustrant un appareil de l'art antérieur pour la production d'un dispositif semi-conducteur composé; la figure 11 donne un schéma bloc illustrant le conteneur de l'art antérieur d'une matière organique métallique; la figure 12 donne un schéma bloc illustrant le conteneur de l'art antérieur d'une matière organique métallique; la figure 13 donne un schéma bloc illustrant un conteneur d'une matière organique métallique selon un huitième mode de réalisation de la présente invention; et la figure 14 donne un schéma bloc illustrant un conteneur dune matière organique métallique selon un neuvième mode de réalisation de la
présente invention.
On décrira maintenant le premier mode de réalisation.
La figure 1 donne un schéma bloc illustrant un appareil de production d'un dispositif semi-conducteur composé selon un premier mode de réalisation de la présente invention Sur la figure, les mêmes chiffres de référence que ceux des figures 8 et 9 désignent des pièces identiques ou correspondantes Dans des appareils de barbotage 18 a et 18 b, on obtient des matières gazeuses souhaitées par passage du gaz porteur H 2, dont le débit est contrôlé par les MFC 10 a et 1 Ob fournissant la matière gazeuse, à travers des matières liquides Tandis que les matières gazeuses produites dans les appareils de barbotage 18 a et i 8 b et une matière gazeuse introduite par le MFC 1 Oc entrent dans le tuyau de mélange de gaz 12 via les vannes d'arrêt 20 a à 20 c, ces gaz entrent dans un tuyau en dérivation 25 via les vannes d'arrêt 19 a à 19 c Le gaz porteur H 2, dont le débit est contrôlé par les MFC 23 et 24, est constamment fourni au tuyau 12 de mélange de gaz et au tuyau 25 de dérivation Une extrémité du tuyau 25 de dérivation est connectée à la sortie d'échappement 8 du tube de réaction 3 Une extrémité du tuyau 12 de mélange de gaz se ramifie en trois tuyaux de distribution de gaz 13 a à 13 c, qui sont respectivement connectés aux entrées
d'alimentation en gaz 6 a à 6 c via les MFC d'ajustement de gaz réactif 14 a à 14 c.
Un circuit de commande 22 contrôle les MFC 14 a à 14 c d'ajustement de gaz réactif de manière que la sortie du manomètre 21 installé sur le tuyau 12 de mélange de gaz puisse être constante Une maille 28 est prévue pour filtrer
l'écoulement du gaz mélangé sortant des entrées 6 a à 6 c d'alimentation en gaz.
On décrira maintenant les opérations effectuées.
Là, on décrira le cas o on produit en succession sur les pastilles 2 une couche de Ga As et une couche de Al Ga As Du triméthylgallium (Ga(CH 3)3) est produit à partir de l'appareil de barbotage 18 a et du triméthylaluminium (Al(CH 3)3) est produit par l'appareil de barbotage 18 b L'arsine (As H 3) est
fournie d'une bombe et est introduite dans le MFC 1 Oc avec le gaz porteur (H 2).
D'abord, les pastilles o doit être effectuée la croissance cristalline sont déposées sur le suscepteur 1 dans le tube de réaction 3 Consécutivement, avec les trois soupapes d'arrêt 20 a à 20 c fermées et les soupapes d'arrêt 19 a à 19 c ouvertes, des débits prédéterminés du gaz porteur H 2 sont fournis par les MFC 23 et 24 de manière que la pression dans le tuyau 12 de mélange de gaz soit
égale à celle dans le tuyau en dérivation 25.
Deuxièmement, avec les vannes d'arrêt 19 a et 19 c fermées, les vannes d'arrêt 20 a et 20 c sont ouvertes et le triméthylgallium et l'arsine sont introduits dans le tuyau 12 de mélange de gaz La matière gazeuse mélangée traverse les tuyaux 13 a à 13 c de distribution de gaz et les débits des matières gazeuses sont ajustés par les MFC 14 a à 14 c Alors, les gaz sont fournis au tube de réaction 3 via les entrées d'alimentation en gaz 6 a à 6 c Là, le circuit de commande 22 contrôle les MFC donc le débit du gaz réactif fourni au tuyau de mélange de gaz 12 par le gaz porteur s'écoulant à travers les MFC 10 a, 1 Oc et 23 peut être, à la base égal à l'écoulement dans les MFC 14 a à 14 c qui sont connectés aux tuyaux 13 a à 13 c de distribution de gaz Cependant, quand les débits réels ne sont pas égaux aux débits d'étude, étant donné les différences de précision de fabrication et de détérioration avec les années entre les MFC 10 a, 1 Oc et 23 et les MFC 14 a
à 14 c, il faut un ajustement afin d'équilibrer ces débits.
Par exemple, quand le débit réel du gaz réactif fourni par les MFC l Oa, c et 23 est plus grand que les débits réels totaux des gaz réactifs s'écoulant à travers les MFC 14 a à 14 c, la matière gazeuse mélangée est retardée dans le tuyau de mélange de gaz 12 et la pression dans le tuyau 12 augmente, ce qui est détecté par le manomètre 21 Alors, quand le résultat détecté est introduit dans le circuit de commande 22, ce circuit 22 augmente les débits respectifs des matières gazeuses s'écoulant dans les MFC 14 a à 14 c selon l'augmentation de la pression Par suite, la pression accrue est abaissée avec pour résultat une
pression constante détectée au manomètre 21.
D'autre part, quand les débits réels totaux des gaz réactifs s'écoulant à travers les MFC 14 a à 14 c sont plus grands que le débit réel du gaz réactif fourni par les MFC 10 a, 10 c et 23, comme la pression détectée au manomètre 21 est réduite, le circuit de commande 22 réduit les débits respectifs des matières gazeuses dans les MFC 14 a à 14 c avec pour résultat une pression
constante dans le tuyau de mélange de gaz 12.
Un cristal de Ga As se développe sur la surface des pastilles 2 qui sont supportées sur le suscepteur 1 grâce à la matière gazeuse mélangée qui est fournie comme décrit ci-dessus Consécutivement, afin de laminer une couche de cristal de Al Ga As sur ce cristal de Ga As, en fermant les vannes d'arrêt 20 a et 19 b et en ouvrant les vannes d'arrêt 19 a et 20 b, l'alimentation en triméthylgallium s'arrête et du triméthylaluminium est fourni au tube réactionnel 3 Là, comme le gaz porteur est constamment fourni au tuyau de dérivation 25 et que sa pression interne est égale à celle du tuyau 12 de mélange de gaz, il y a diminution de la fluctuation de pression dans le tuyau de mélange de gaz 12 lors du passage des gaz, ce qui supprime le changement des débits de gaz Avec la construction ci-dessus, on obtient un film laminé qui a un changement net de composition cristalline à l'interface entre la couche du cristal
de Ga As et la couche de cristal de Al Ga As.
Ainsi, dans ce premier mode de réalisation, en contrôlant les MFC 14 a à 14 c ajustant les gaz réactifs par le circuit de commande 22 de manière que la pression dans le tuyau de mélange de gaz 12 puisse être constante, même lorsqu'il y a des différences de précision entre les MFC l Oa à l Oc et 23 et les MFC 14 a à 14 c ajustant les gaz réactifs, la matière gazeuse mélangée n'est pas retardée dans le tuyau 12 de mélange de gaz et de plus, la fourniture de gaz ne s'arrête pas, contrairement à l'appareil de l'art antérieur, ce qui permet d'obtenir
un cristal dont l'épaisseur est uniforme.
On décrira maintenant un appareil de production d'un dispositif semi-
conducteur composé selon un deuxième mode de réalisation de la présente invention. Dans ce deuxième mode de réalisation, en laissant une portion des gaz sortir du tuyau de mélange de gaz vers le tuyau de dérivation,cela diminue la pression accrue dans le tuyau de mélange de gaz, et empêche le gaz de se retarder entre les MFC Plus particulièrement, sur la figure 2, une extrémité d'un tuyau 27 de dérivation de gaz réactif est connectée au tuyau 12 de mélange de gaz à proximité des tuyaux 13 a à 13 c de distribution de gaz Dans le trajet du tuyau de dérivation 27, est disposé un MFC 26 d'ajustement de gaz en dérivation et l'autre extrémité du tuyau de dérivation 27 est connectée au tuyau de dérivation 25 Un circuit de commande 22 a contrôle le débit du MFC 26 ajustant le gaz de dérivation, recevant une sortie du manomètre 21 qui se trouve
dans le parcours du tuyau de mélange de gaz 12.
On décrira maintenant les opérations.
Les fonctionnements des soupapes respectives lorsque l'on entreprend la croissance cristalline sont les mêmes que dans le cas du premier mode de
réalisation On donnera ici la description d'un cas o le gaz est retardé du fait
des différences de précision entre les MFC 10 a à 10 c et 23 et les MFC 14 a à 14 c Comme dans le cas du premier mode de réalisation, quand le débit réel du gaz réactif fourni par les MFC 10 a, 1 Oc et 23 est plus grand que les débits réels totaux des gaz réactifs s'écoulant à travers les MFC 14 a à 14 c, la matière gazeuse mélangée est retardée dans le tuyau de mélange de gaz et la pression dans le tuyau 12 augmente, ce qui est détecté par le manomètre 21 Alors, quand le résultat détecté est introduit dans le circuit de commande 22 a, le circuit 22 a augmente le débit de la matière gazeuse s'écoulant dans le MFC 26 ajustant le gaz en dérivation selon l'augmentation de la pression Par suite, un gaz réactif équivalent à la pression excessive S 'écoule dans le tuyau de dérivation 25 et la pression accrue dans le tuyau de mélange de gaz 12 diminue avec pour résultat
une pression constante détectée au manomètre 21.
Selon ce mode de réalisation décrit ci-dessus, en disposant le tuyau 27 de dérivation du gaz réactif reliant le tuyau de mélange de gaz 12 au tuyau de dérivation 25, et en contrôlant le MFC 26 ajustant le gaz en dérivation qui est disposé dans le parcours du tuyau 27 de dérivation du gaz réactif par le circuit il de commande 22 a, de manière que la pression détectée au manomètre 21 puisse être constante, même s'il y a des différences de précision entre les MFC, le gaz n'est pas retardé entre les MFC parce que le gaz réactif excessif s'écoule dans le tuyau de dérivation 25 par le tuyau 27 de dérivation du gaz réactif On décrira maintenant un appareil de production d'un dispositif semi- conducteur composé selon un troisième mode de réalisation de la présente invention. Tandis que dans le deuxième mode de réalisation le tuyau de dérivation du gaz réactif 27 est connecté au tuyau de mélange de gaz 12 à proximité des tuyaux 13 a à 13 c de distribution de gaz comme cela est illustré à la figure 3, dans ce troisième mode de réalisation, une extrémité d'un tuyau de dérivation de gaz porteur 27 a est connecté au tuyau de mélange de gaz 12 à proximité du MFC 23 fournissant le gaz porteur Dans cette construction, quand le MFC 26 ajustant le gaz en dérivation, qui est disposé dans le trajet du tuyau de dérivation 27 a du gaz porteur, ajuste le débit du gaz, seul le gaz porteur s'échappe à la sortie d'échappement 8 Cela ne provoque pas de changement des débits d'alimentations des matières gazeuses vers le tube de réaction 3, ce qui supprime
le changement de la composition du cristal formé.
On décrira maintenant un appareil pour la production d'un dispositif semi-conducteur composé selon un quatrième mode de réalisation de la présente invention. Dans ce quatrième mode de réalisation, en contrôlant le MFC connecté au tuyau de mélange de gaz, cela diminue la pression accrue dans le tuyau de mélange de gaz, empêchant le gaz de se retarder entre les MFC Plus particulièrement, sur la figure 4, un circuit de commande 22 b contrôle le MFC 23 de manière que la pression détectée puisse être constante, recevant une sortie
du manomètre 21 placé dans le trajet du tuyau 12 de mélange de gaz.
On décrira maintenant le fonctionnement.
Comme pour les modes de réalisation ci-dessus décrits, quand les débits réels de gaz réactif fourni par les MFC 1 Oa à 1 Oc et 23 sont plus grands que les débits réels totaux des gaz réactifs s'écoulant à travers les MFC 14 a à 14 c, la matière gazeuse mélangée est retardée dans le tuyau 12 de mélange de gaz et la
pression dans ce tuyau 12 augmente, ce qui est détecté par le manomètre 21.
Alors, quand le résultat détecté est introduit dans le circuit de commande 22 b, ce circuit 22 b diminue le débit du gaz porteur qui s'écoule dans le MFC 23 qui est connecté au tuyau 12 de mélange de gaz, lequel fournit constamment le gaz porteur H 2 selon la pression accrue Par suite, la pression accrue dans le tuyau 12 de mélange de gaz baisse, ce qui permet de d'obtenir une pression constante détectée par le manomètre 21 D'autre part, quand la pression détectée par le manomètre 21 est plus faible que la valeur prédéterminée, le circuit de commande 22 b augmente le débit dans le MFC 23, donc la pression dans le tuyau 12 de mélange de gaz augmente pour devenir une pression prédéterminée. Selon ce quatrième mode de réalisation décrit ci-dessus, en contrôlant le MFC 23 par le circuit 22 b de manière que la pression dans le tuyau 12 de mélange de gaz, détectée par le manomètre 21 soit constante, la matière gazeuse n'est pas retardée entre les MFC même s'il y a des différences de précision entre
eux.
On décrira maintenant un appareil de production d'un dispositif semi-
conducteur composé selon un cinquième mode de réalisation de la présente invention. Tandis que, dans le quatrième mode de réalisation, la pression dans le tuyau 12 de mélange de gaz est détectée et que le MFC 23 est directement contrôlé par le circuit 22 b, dans ce cinquième mode de réalisation illustré à la figure 5, un certain nombre de MFC 23 a et 23 b pour fournir le gaz porteur sont disposés dans le trajet du tuyau 12 de mélange de gaz et le MFC 23 b qui est spécifique parmi eux est contrôlé par le circuit 22 b Là, le MFC 23 b, contrairement aux autres MFC, doit avoir une capacité suffisante pour contrôler le débit d'alimentation du gaz porteur de manière que la pression dans le tuyau de mélange de gaz 12 puisse être constante lorsqu'un retard se produit dans ce
tuyau 12.
Ainsi, en ajustant le débit du gaz porteur par le MFC 23 b ayant une grande capacité afin de contrôler la pression dans le tuyau 12 de mélange de
gaz, cela permet de résoudre facilement le retard entre les MFC.
Tandis que dans ce cinquième mode de réalisation, en emploie deux MFC 23 a et 23 b afin de fournir le gaz porteur au tuyau 12 de mélange de gaz, on peut en employer deux ou plus et un certain nombre de MFC d'ajustement du
débit du gaz porteur sont employés.
On décrira maintenant l'appareil de production d'un dispositif semi-
conducteur composé selon un sixième mode de réalisation de la présente invention.
Tandis que dans les quatrième et cinquième modes de réalisation ci-
dessus décrits, la pression accrue dans le tuyau de mélange de gaz 12 est abaissée en contrôlant le MFC pour qu'il fournisse constamment le gaz porteur au tuyau 12 de mélange de gaz, dans ce mode de réalisation, en contrôlant les MFC connectés aux appareils de barbotage qui sont connectés aux tuyaux
d'alimentation en matière gazeuse, cela empêche le retard du gaz entre les MFC.
Sur la figure 6, le circuit de commande 22 c contrôle les MFC 10 a à 10 c en
recevant une sortie du manomètre 21.
On décrira maintenant le fonctionnement. Comme dans les modes de réalisation ci-dessus décrits, quand le débit réel du gaz réactif fourni par les MFC 10 a, 1 Oc et 23 est plus important que les débits réels totaux des gaz réactifs s'écoulant à travers les MFC 14 a à 14 c, la matière gazeuse mélangée est retardée dans le tuyau 12 de mélange de gaz et la
pression dans le tuyau 12 est accrue, ce qui est détecté par le manomètre 21.
Alors, quand le résultat détecté est introduit dans le circuit de commande 22 c, le circuit 22 c diminue les débits s'écoulant dans les MFC 10 a à 10 c selon la pression accrue, ce qui ajuste constamment les débits du gaz porteur H 2 pour produire les matières gazeuses respectives Par suite, la pression accrue dans le tuyau 12 de mélange de gaz est diminuée, ce qui permet d'obtenir une pression constante détectée au manomètre 21 D'autre part, quand la pression détectée par le manomètre 21 est plus faible que la valeur prédéterminée, le circuit 22 c augmente les débits s'écoulant dans les MFC 1 Oa à 10 c, donc la pression dans le
tuyau 12 de mélange de gaz est accrue pour atteindre une valeur prédéterminée.
Selon ce sixième mode de réalisation décrit ci-dessus, en contrôlant les MFC 1 ia à 10 c par le circuit 22 c, qui fournissent le gaz porteur pour produire la matière gazeuse, de manière que la pression dans le tuyau 12 de mélange de gaz détectée par le manomètre 21 puisse être constante, le gaz n'est pas retardé entre
les MFC même s'il y a des différences de précision entre eux.
On décrira maintenant un appareil de production d'un dispositif semi-
conducteur composé selon un septième mode de réalisation de la présente invention. Tandis que le retard entre les MFC est résolu dans les modes de réalisation ci-dessus décrits, on obtient, grâce à ce septième mode de réalisation, une uniformité de l'épaisseur de la couche, de la composition et de la quantité d'addition d'impuretés dans la couche cristalline tirée sur la totalité de la surface
de la pastille.
Sur la figure 7, des MFC 40 a et 40 b pour fournir un gaz du groupe V sont connectés au tube de réaction 3 via un tuyau 12 b de mélange de gaz Par exemple, de l'arsine (As H 3) est fournie d'une bombe 100 a qui est connectée au MFC 40 a Des MFC 41 a et 41 b fournissent respectivement les impuretés gazeuses au tube de réaction 3 via des tuyaux d'alimentation en impureté gazeuse 43 et 43 b qui sont séparés l'un de l'autre Un MFC 42 fournit le gaz porteur H 2 à un tuyau 12 b de mélange de gaz et aux tuyaux d'alimentation en impuretés gazeuses 43 a et 43 b via un tuyau 44 Là, les appareils de barbotage 18 a et i 8 b fournissent des gaz du groupe III (triméthylgallium, triméthylaluminium) et l'on n'utilise pas l'appareil de barbotage 18 c Ainsi, dans ce septième mode de réalisation, un tuyau 12 a de mélange de gaz pour fournir les gaz du groupe III au tube de réaction 3 et un tuyau de mélange de gaz 12 b pour fournir le gaz du groupe V (As H 3) sont prévus séparément et de plus les tuyaux de fourniture d'impuretés gazeuses 43 a et 43 b et les tuyaux de mélange
de gaz 12 a et 12 b sont disposés séparément.
On décrira une méthode de croissance dun cristal accomplie en
employant l'appareil ci-dessus décrit.
Le gaz porteur H 2 est introduit dans les appareils de barbotage i 8 a à 18 c qui sont remplis de la matière du groupe III, et qui sont disposés avant le tuyau de mélange de gaz 12 a, la matière est vaporisée pour introduction dans le tube de réaction 3 par le tuyau 12 a de mélange de gaz Le gaz du groupe V remplissant une bombe est mélangé avec H 2 gazeux envoyé du tuyau 44 à l'intérieur du tuyau 12 b de mélange de gaz et est introduit dans le tube de réaction 3 Sur la surface de la pastille 2, sont soufflés le gaz du groupe III et le gaz du groupe V, qui sont respectivement introduits par les tuyaux de distribution de gaz 45 a à 45 c et 46 a à 46 c o se ramifient respectivement les extrémités des tuyaux de mélange 12 a et 12 b et le cristal est tiré à la surface de
la pastille 2.
Là, afin que l'épaisseur et la composition soient uniformes, il est nécessaire d'établir les rapports respectifs de distribution pour le gaz du groupe III et le gaz du groupe V en considérant les coefficients respectifs de décomposition de la matière du groupe III et de la matière du groupe V respectivement L'épaisseur de la couche du cristal dépend principalement de la distribution d'épaisseur de couche de la couche limite et de la distribution de concentration de la matière du groupe III dans la couche limite, et la composition dépend de la distribution de concentration de la matière du groupe V dans la couche limite ainsi que de son coefficient de décomposition Par conséquent, le rapport de distribution du gaz du groupe III et celui du gaz du groupe V sont ajustés en considérant ce qui précède, avec ainsi pour résultat un
cristal ayant une uniformité d'épaisseur de couche et de composition.
Dans cet appareil, la concentration du gaz du groupe III est rendue uniforme en ajustant les rapports de distribution du gaz du groupe III au moyen de soupapes à pointeau qui sont disposées dans le trajet des tuyaux de distribution de gaz 45 a à 45 c en aval du tuyau 12 a de mélange de gaz et la concentration du gaz du groupe V est rendue uniforme en ajustant les rapports de distribution du gaz du groupe V au moyen de soupapes à pointeau 29 qui sont disposées dans le trajet des tuyaux de distribution de gaz 46 a à 46 c en aval du tuyau 12 b de mélange de gaz De plus, en considérant que l'épaisseur de la couche limite dépend des débits de gaz qui sont fournis par les tuyaux respectifs de distribution de gaz, le gaz du groupe III et le gaz du groupe V sont respectivement ajustés de façon qu'il y ait un débit prédéterminé de gaz ainsi qu'un rapport prédéterminé de distribution avec pour résultat une uniformité
d'épaisseur de couche et de composition de la couche de cristal.
Par ailleurs, la concentration en impuretés dans le cristal dépend de la concentration en impuretés dans la phase gazeuse et du rapport de concentration entre l'impureté et l'élément constituant le cristal dans la phase gazeuse et pour que la concentration en impuretés dans le cristal soit uniforme, il est nécessaire de contrôler la concentration en impuretés dans la phase gazeuse ainsi que la
distribution de pression partielle pour chaque impureté.
Par exemple, pour une impureté telle que du silane (Si H 4) qui est absorbé du côté groupe III, en supposant que Si H 4 est fourni par le tuyau 43 a, la concentration en impuretés dans le cristal dépend de la proportion de Si H 4 gazeux au gaz du groupe III dans la phase gazeuse, c'est-à-dire du rapport Si H 4/gaz du groupe III Par conséquent, afin d'obtenir une uniformité de la concentration en Si dans le cristal, les rapports de distribution de Si H 4 gazeux dans les tuyaux de distribution 50 a à 50 c pour fournir Si H 4 doivent être ajustés
de manière que la distribution du rapport Si E 14/gaz du groupe III soit uniforme.
D'autre part, pour une impureté prise du côté groupe V comme du sulfure d'hydrogène (H 2 S) ou du séléniure d'hydrogène (H 2 Se), en supposant que cette impureté est fournie par le tuyau 43 b, la concentration en impuretés dans le cristal dépend de la proportion de H 2 S gazeux ou H 2 Se gazeux au gaz du groupe V dans la phase gazeuse, c'est-à-dire du rapport H 2 S/gaz du groupe V ou H 2 Se/gaz du groupe V Par conséquent, afin d'obtenir l'uniformité de la concentration en soufre (S) ou en sélénium (Se) dans le cristal, les rapports de distribution de H 2 S gazeux, ou H 2 Se gazeux dans les tuyaux de distribution 51 a à 51 c pour fournir H 2 S ou H 2 Se, doivent être ajustés de façon que la proportion
de H 2 S/groupe V ou H 2 Se/groupe V soit uniforme.
Dans ce septième mode de réalisation décrit ci-dessus, comme le gaz du groupe III et le gaz du groupe V sont respectivement introduits dans le tube de réaction 3 en utilisant les tuyaux 12 a et 12 b de mélange de gaz qui sont séparés l'un de l'autre, les rapports de distribution des gaz respectifs sont établis en considérant le coefficient de décomposition du matériau de cristal Par conséquent, même lorsque la croissance du cristal est effectuée sur un certain nombre de substrats de grande taille, par exemple six pastilles de 50 mm ou plus ou bien trois pastilles de 75 mm ou plus, en employant un suscepteur d'un diamètre de 20 cm et plus, on peut obtenir un cristal d'une uniformité suffisante d'épaisseur de couche et de composition sur la totalité du substrat Comme les tuyaux d'alimentation en impuretés gazeuses 43 a et 43 b sont séparément disposés ainsi que les tuyaux 12 a et 12 b de mélange de gaz, la concentration dans la phase gazeuse et le rapport de concentration entre les impuretés gazeuses et le gaz réactif introduit par les tuyaux 12 a et 12 b de mélange de gaz sont faciles à contrôler avec pour résultat un cristal ayant une uniformité de
concentration en impuretés.
Tandis que l'on a décrit un appareil de dépôt chimique en phase vapeur à la pression atmosphérique, dans ces modes de réalisation, la présente invention s'applique à d'autres appareils de dépôt comme un appareil de dépôt chimique
en phase vapeur à plus basse pression.
Tandis que le débit du gaz réactif en dérivation est contrôlé par le MFC 26 dans le second mode de réalisation, un mécanisme de barrage telle qu'une soupape électromagnétique ou une soupape à pointeau peut être employé à la
place du MFC 26.
Tandis que les modes de réalisation ci-dessus décrits concernent le cas o l'on place en succession, sur les pastilles, un cristal de Ga As et un cristal de Al Ga As, on peut obtenir les mêmes effets dans le cas o, en fournissant de l'azote comme gaz porteur et du silane ou de la phosphine comme matière gazeuse, on fait croître un film en verre de silicate de phosphore ou analogue ou bien dans le cas o l'on fait croître un cristal tel que Ga In P, Al Ga In P, In Ga As, Ga In As P, Al In As, Al Ga In As, ou Al Ga In As P. Tandis que, dans les modes de réalisation ci-dessus décrits, on emploie deux séries de tuyaux comprenant le tuyau 12 de mélange de gaz qui fournit les matières gazeuses au tube de réaction 3 et le tuyau de dérivation 25 qui contourne constamment et provoque l'échappement de la matière gazeuse vers
la sortie d'échappement 8, le tuyau de dérivation 25 n'est pas toujours requis.
Dans un tel cas, le tuyau 27 de dérivation de gaz réactif doit être directement
connecté à la sortie d'échappement dans le second mode de réalisation.
On décrira maintenant un conteneur d'une matière métallique organique
selon un huitième mode de réalisation de la présente invention.
Sur la figure 13, le chiffre de référence 56 désigne un premier contrôleur d'écoulement massique (MFC) qui doit être un premier appareil de contrôle du débit Un second MFC 59, devant être un second appareil de contrôle du débit,
est disposé en aval d'un second tuyau 58 d'échappement de gaz.
On décrira maintenant les opérations.
Par exemple, lorsqu'une matière organique métallique gazeuse doit être obtenue à raison de 3 cc par minute, un gaz porteur, par exemple H 2 gazeux à raison de 30 cc par minute, est introduit par un tuyau 51 d'introduction du gaz porteur dans un cylindre 53 par le premier MFC 56 Grâce à ce gaz porteur introduit qui passe par la matière organique métallique 54, des bulles sont produites et un gaz porteur saturé de vapeur provenant de le matière organique métallique 54, c'est-à-dire une matière gazeuse, s'échappe des premier et second tuyaux d'échappement de gaz 52 et 58 A ce moment, le débit du second MFC 59 et établi à 3 cc/mn et la pression du contrôleur 57 est établie à 1,013 bars Par suite, la matière gazeuse, à raison de 3 cc/mn, s'écoule de façon stable vers l'aval du second MFC 59, tandis que la matière gazeuse, à raison de 27 cc/mn,
s'écoule de matière stable vers l'aval du contrôleur de pression 57.
Ainsi, dans ce mode de réalisation, le second tuyau 58 d'échappement de gaz est disposé sur le cylindre 53 et on obtient une petite quantité souhaitée de matière gazeuse du second tuyau d'échappement de gaz 58 Par conséquent, même lorsqu'il faut obtenir une petite quantité du gaz réactif, des bulles continues 55 sont produites à partir de la quantité suffisante du gaz porteur, ce
qui permet d'obtenir la matière gazeuse à une quantité constante de vapeur.
On décrira maintenant un conteneur de matière organique métallique
selon un neuvième mode de réalisation de la présente invention.
Sur la figure 14, un tuyau 51 b d'introduction d'un gaz porteur est inséré dans un tuyau 60 d'introduction dun gaz porteur ayant un mécanisme coulissant et un tuyau 52 d'échappement de gaz est inséré dans un tuyau 61 d'échappement de gaz ayant un mécanisme coulissant Ces tuyaux 60 et 61 sont fixés à une plaque de séparation 63 qui est attachée à un moyen creux de suspension 62 de manière que les extrémités respectives des tuyaux 60 et 61 puissent être maintenues à des hauteurs hl et h 2 par rapport au niveau du liquide par un mécanisme de maintien qui comprend le moyen de suspension 62 et la plaque de séparation 63 Il est préférable d'utiliser un matériau pour le moyen creux de
suspension 63 qui ne soit pas corrodé par la matière organique métallique 54.
On décrira maintenant le fonctionnement.
On introduit une quantité prescrite d'un gaz porteur, par exemple, H 2 gazeux, grâce au MFC 56 par le tuyau 5 lb d'introduction du gaz porteur vers le tuyau 60 d'introduction du gaz porteur avec le mécanisme coulissant A ce moment, comme le tuyau 60 dintroduction du gaz porteur avec son mécanisme coulissant n'est pas immergé dans la matière organique métallique, H 2 gazeux ne passe pas à travers cette matière organique métallique 54, absorbe la vapeur saturée à la surface de la matière organique métallique 54 à un état d'équilibre sans produire de bulles pour s'échapper du cylindre 53 à travers le tuyau 61 d'échappement de gaz ayant le mécanisme coulissant et le tuyau 52 d'échappement de gaz A ce moment, tandis que le niveau du liquide diminue graduellement selon la consommation de la matière organique métallique 54, la distance entre le moyen creux de suspension 62 qui flotte sur le niveau du liquide de la matière organique métallique 54 et la plaque de séparation 63 reste toujours constante, la hauteur h 2 entre le tuyau d'échappement de gaz 61 avec le mécanisme coulissant et le niveau du liquide reste constante et la quantité de vapeur de la matière organique métallique absorbée dans le tuyau 61 d'échappement de gaz ne varie pas même avec la consommation de matière, on
peut ainsi prélever de manière stable une quantité souhaitée de matière gazeuse.
Ainsi, dans ce mode de réalisation, comme le tuyau déchappement de gaz 61 ayant le mécanisme coulissant, qui est fixé sur le moyen creux de suspension 62 qui peut flotter sur le niveau du liquide de la matière organique métallique 54, est prévu à une extrémité du tuyau d'échappement de gaz 52, quel que soit le changement du niveau du liquide avec la consommation de la matière, la distance entre l'extrémité du tuyau 61 et le niveau du liquide de la matière organique métallique 54 est toujours maintenue à une hauteur h 2, ce qui permet d'obtenir une matière gazeuse contenant une quantité constante de
vapeur, à partir de cette matière.
Tandis que le contrôleur de pression 57 est prévu en aval du premier tuyau d'échappement de gaz 52 dans le huitième mode de réalisation ci- dessus décrit, un contrôleur de débit massique ainsi qu'une jauge d'ajustement du débit
massique, comme une soupape à pointeau peuvent être utilisés.
Comme on l'a décrit ci-dessus, dans un appareil de production d'un dispositif semi-conducteur selon la présente invention, en contrôlant un premier ou un second moyen d'ajustement du débit d'un tuyau de mélange de gaz ou un moyen d'ajustement d'un gaz porteur de manière que la pression détectée dans le tuyau de mélange de gaz soit constante, en provoquant l'échappement d'une portion d'un gaz réactif dans le tuyau de mélange de gaz vers l'extérieur, on peut empêcher le retard des gaz entre les premier et second moyens d'ajustement du
débit, ce qui augmente l'uniformité de l'épaisseur de couche des cristaux.
Par ailleurs, en fournissant les matières gazeuses respectives en tant que constituant du cristal par les tuyaux en provenance de différents systèmes selon les natures respectives de la matière gazeuse et en disposant les moyens d'ajustement du débit dans les tuyaux respectifs, on peut établir un rapport de distribution de chaque matière gazeuse en considérant les coefficients de décomposition des matières respectives, ce qui donne ainsi un cristal supérieur par son uniformité d'épaisseur de couche et sa composition Cela augmente les caractéristiques du dispositif semi-conducteur, le rendement de production ainsi
que la productibilité en masse dans la fabrication.
De plus, en introduisant le gaz pour addition des impuretés au tube de réaction en employant des tuyaux qui sont séparés même dans le cas de la croissance d'un cristal sur un certain nombre de grandes pastilles, on peut obtenir un cristal d'une grande uniformité de concentration en impuretés, ce qui augmente les caractéristiques du dispositif semiconducteur ainsi que le
rendement de production et la productibilité en masse lors de la fabrication.
Par ailleurs, en utilisant le conteneur de la matière métallique organique de la présente invention, si on emploie plusieurs tuyaux pour l'échappement de la matière gazeuse ou bien si la distance entre l'extrémité du tuyau d'échappement de la matière gazeuse et le niveau du liquide de la matière organique métallique est maintenue constante, on peut fournir de manière stable une assez petite quantité de la vapeur de la matière organique métallique Par suite, la vitesse de la croissance du cristal peut être extrêmement ralentie et le contrôle de la croissance du cristal au niveau de la couche atomique peut être accompli et il est facile de contrôler un rapport minuscule du cristal mélangé c'est-à-dire la composition du cristal lors de la croissance d'un système mélangé,
par exemple Al Ga As.

Claims (1)

REVENDICATIONS 1 Appareil pour la production d'un dispositif semi-conducteur composé, caractérisé en ce qu'il comprend: des premiers moyens d'ajustement du débit (l Oa à l Oc) pour ajuster les débits de matières gazeuses respectives, un tuyau ( 12) de mélange de gaz pour mélanger lesdites matières gazeuses respectives dont les débits sont ajustés, un certain nombre de tuyaux pour distribuer des gaz ( 13 a à 13 c) qui sont connectés à une extrémité dudit tuyau de mélange de gaz ( 12), des seconds moyens d'ajustement du débit ( 14 a à 14 c) pour ajuster les débits desdites matières gazeuses s'écoulant via lesdits tuyaux pour distribuer les gaz ( 13 a à 13 c) et pour fournir lesdites matières gazeuses à un tube de réaction ( 3), un certain nombre de pastilles ( 2) placées dans ledit tube de réaction ( 3) de manière que lesdites matières gazeuses dans ledit tube de réaction ( 3) soient résolues pour y faire croître un cristal, un moyen pour détecter une pression ( 21) dans ledit tuyau de mélange de gaz ( 12), et un moyen de commande ( 22) pour, à la réception d'une sortie dudit moyen de détection de pression ( 21), contrôler lesdits seconds moyens d'ajustement du débit ( 14 a à 14 c) afin de maintenir une pression dans ledit tuyau de mélange de gaz ( 12) à une valeur constante. 2 Appareil pour la production d'un dispositif semi-conducteur composé, caractérisé en ce qu'il comprend: des premiers moyens d'ajustement du débit ( 10 a à l Oc) pour ajuster les débits de matières gazeuses respectives, un tuyau de mélange de gaz ( 12) pour mélanger lesdites matières gazeuses respectives dont les débits sont ajustés, un certain nombre de tuyaux pour la distribution de gaz ( 13 a à 13 c) qui sont connectés à une extrémité dudit tuyau de mélange de gaz ( 12), des seconds moyens d'ajustement du débit ( 14 a à 14 c) pour ajuster les débits desdites matières gazeuses s'écoulant via lesdits tuyaux pour la distribution des gaz ( 13 a à 13 c) et pour fournir lesdites matières gazeuses dans un tube de réaction ( 3), un certain nombre de pastilles ( 2) placées dans ledit tube de réaction ( 3) de manière que lesdites matières gazeuses dans ledit tube de réaction ( 3) soient résolues pour y provoquer la croissance d'un cristal, un moyen pour la détection d'une pression ( 21) dans ledit tuyau de mélange de gaz ( 12), un tuyau de dérivation de gaz réactif ( 27) pour la dérivation et l'échappement d'un gaz réactif dans ledit tuyau de mélange de gaz ( 12), un moyen ( 26) d'ajustement du débit dans le tuyau de dérivation pour ajuster le débit dudit gaz réactif s'écoulant dans ledit tuyau de dérivation de gaz réactif ( 27), et un moyen de commande ( 22 a) pour, à la réception d'une sortie dudit moyen de détection de pression ( 21), contrôler ledit moyen ( 26) d'ajustement du débit dans le tuyau de dérivation afin de maintenir la pression dans ledit tuyau de mélange de gaz ( 12) à une valeur constante. 3 Appareil de production d'un dispositif semi-conducteur composé caractérisé en ce qu'il comprend: des premiers moyens d'ajustement du débit ( 10 a à l Oc) pour ajuster les débits de matières gazeuses respectives; un tuyau de mélange de gaz ( 12) pour mélanger lesdites matières gazeuses respectives dont les débits sont ajustés; un certain nombre de tuyaux pour distribuer les gaz ( 13 a à 13 c) qui sont connectés à une extrémité dudit tuyau ( 12) de mélange de gaz; des seconds moyens d'ajustement de débit ( 14 a à 14 c) pour ajuster les débits desdites matières gazeuses s'écoulant via lesdits tuyaux pour distribuer les gaz ( 13 a à 13 c) et pour fournir lesdites matières gazeuses dans un tube de réaction ( 3); un certain nombre de pastilles ( 2) placées dans ledit tube de réaction ( 3) de manière que lesdites matières gazeuses dans ledit tube de réaction ( 3) soient résolues pour y provoquer la croissance d'un cristal; un moyen pour détecter une pression ( 21) dans ledit tuyau de mélange de gaz ( 12); et un moyen de commande ( 22 c) pour, à la réception d'une sortie dudit moyen de détection de pression ( 21), contrôler lesdits premiers moyens d'ajustement du débit (l Oa à 1 Oc) afin de maintenir la pression dans ledit tuyau de mélange de gaz ( 12) à une valeur constante. 4 Appareil de production d'un dispositif semi-conducteur composé caractérisé en ce qu'il comprend: des premiers moyens d'ajustement (l Oa à 10 c) pour ajuster les débits de matières gazeuses respectives; un tuyau ( 12) de mélange de gaz pour mélanger lesdites matières gazeuses, respectives dont les débits sont ajustés; un moyen d'ajustement du débit d'un gaz porteur ( 23) pour ajuster le débit de la fourniture d'un gaz porteur dans ledit tuyau de mélange de gaz ( 12); un certain nombre de tuyaux pour distribuer les gaz ( 13 a à 13 c) qui sont connectés à une extrémité dudit tuyau de mélange de gaz ( 12); des seconds moyens d'ajustement de débit ( 14 a à 14 c) pour ajuster les débits desdites matières gazeuses S 'écoulant via lesdites tuyaux pour distribuer les gaz ( 13 a à 13 c) et fournir lesdites matières gazeuses dans un tube de réaction ( 3); un certain nombre de pastilles ( 2) placées dans ledit tube de réaction ( 3) de manière que lesdites matières gazeuses dans ledit tube de réaction ( 3) soient résolues pour y provoquer la croissance d'un cristal; un moyen pour détecter une pression ( 21) dans ledit tuyau de mélange de gaz ( 12); et un moyen de commande ( 22 b) pour, à la réception d'une sortie dudit moyen de détection de pression ( 21), contrôler ledit moyen d'ajustement du débit du gaz porteur ( 23) afin de maintenir la pression dans ledit tuyau de mélange de gaz ( 12) à une valeur constante. Appareil selon les revendications 1 à 4, caractérisé en ce que les premiers moyens d'ajustement du débit ( 10 a à l Oc) sont connectés au tuyau de mélange de gaz ( 12) par des premières vannes d'arrêt ( 20 a à 20 c) et sont connectés à un tuyau de dérivation de matières gazeuses ( 25) auquel est constamment fourni le gaz porteur et dont l'extrémité est connectée à une sortie d'échappement ( 8) via des secondes vannes d'arrêt ( 19 a à 19 c) et certaines desdites premières vannes d'arrêt ( 20 a à 20 c) auxquelles sont fournies les matières gazeuses souhaitées sont ouvertes et certaines desdites secondes vannes d'arrêt ( 19 a à 19 c) correspondant auxdites premières vannes d'arrêt souhaitées sont fermées afin d'introduire lesdites matières gazeuses souhaitées dans ledit tuyau de mélange de gaz ( 12). 6 Appareil selon l'une quelconque des revendications 1 à 4 caractérisé en ce que des contrôleurs d'écoulement massique sont employés en tant que premiers et seconds moyens dajustement du débit ( 10 a à 1 Oc, 14 a à 14 c). 7 Appareil selon la revendication 4 caractérisé en ce que des contrôleurs du débit massique sont employés en tant que moyens d'ajustement du débit du gaz porteur ( 23). 8 Appareil de production d'un dispositif semi-conducteur composé caractérisé en ce qu'il comprend: des premiers moyens dajustement du débit ( 10 a à l Oc) pour ajuster les débits de matières gazeuses respectives; un tuyau de mélange de gaz ( 12) pour mélanger lesdites matières gazeuses respectives dont les débits sont ajustés; un moyen d'ajustement du débit du gaz porteur ( 23) pour ajuster le débit dans la fourniture d'un gaz porteur dans ledit tube de mélange de gaz ( 12); un certain nombre de tuyaux pour distribuer les gaz ( 13 a à 13 c) qui sont connectés à une extrémité dudit tuyau de mélange de gaz ( 12); des seconds moyens d'ajustement du débit ( 14 a à 14 c) pour ajuster les débits desdites matières gazeuses S 'écoulant à travers lesdits tuyaux pour distribuer les gaz ( 13 a à 13 c) et fournir lesdites matières gazeuses dans un tube de réaction ( 3); un certain nombre de pastilles ( 2) placées dans ledit tube de réaction ( 3) de manière que les matières gazeuses dans ledit tube de réaction ( 3) soient résolues pour y provoquer la croissance d'un cristal; un moyen pour la détection d'une pression ( 21) dans ledit tuyau du mélange de gaz ( 12); un tuyau en dérivation ( 27 a) pour la ramification et l'échappement d'un gaz porteur dans ledit tuyau de mélange de gaz ( 12), dont l'extrémité est connectée à une portion avant une portion o sont fournies lesdites matières gazeuses audit tuyau de mélange de gaz ( 12); un moyen ( 26) d Rajustement du débit dans le tuyau en dérivation pour ajuster le débit dudit gaz porteur s'écoulant dans ledit tuyau de dérivation ( 27 a); et un moyen de commande ( 22 a) pour, à la réception d'une sortie dudit moyen de détection de pression ( 21), contrôler ledit moyen d'ajustement du débit ( 26) afin de maintenir la pression dans ledit tuyau de mélange de gaz ( 12) à une valeur constante. 9 Appareil selon la revendication 7, caractérisé en ce qu'on emploie les contrôleurs d'écoulement massique à plusieurs ( 23 a, 23 b) et le moyen de commande ( 22 b) contrôle un contrôleur prédéterminé ( 23 b) parmi eux. Appareil de production d'un dispositif semi-conducteur composé, du type o des matières gazeuses, dont chacune contient un élément composant d'un cristal semi-conducteur composé sont introduites dans un tube de réaction et la croissance du cristal d'un composé est effectuée sur des pastilles qui sont disposées dans ledit tube de réaction, caractérisé en ce qu'il comprend: des tuyaux d'alimentation en matières gazeuses ( 12 a, 12 b) qui sont séparés pour chaque groupe de l'élément composant incorporé dans lesdites matières gazeuses; et un moyen d'ajustement du débit ( 29) pour ajuster les débits des matières gazeuses s'écoulant à travers lesdits tuyaux d'alimentation en matières gazeuses ( 12 a, 12 b). 11 Appareil selon la revendication 10, caractérisé en ce que dans la croissance du cristal semi-conducteur composé, des tuyaux d'alimentation en impuretés gazeuses ( 43 a, 43 b) pour fournir des impuretés gazeuses, sont disposés en étant séparés des tuyaux d'alimentation en matières gazeuses ( 12 a, 12 b) d'une distance telle que le cristal produise des types prédéterminés de conductivité. 12 Appareil selon la revendication 10, caractérisé en ce que des vannes à pointeau sont employées en tant que moyen d'ajustement du débit ( 29). 13 Appareil selon la revendication 10, caractérisé en ce qu'un tuyau ( 44) pour fournir un gaz porteur est connecté au tuyau ( 12 b) d' alimentation en matières gazeuses, lequel est connecté à une source de gaz ( 100) d'un gaz simple, d'o est fournie l'une desdites matières gazeuses. 14 Appareil selon la revendication 11, caractérisé en ce qu'un tuyau ( 44) pour fournir un gaz porteur est connecté au tuyau d'alimentation en impuretés gazeuses ( 43 a, 43 b). Appareil selon l'une des revendications précédentes, caractérisé en ce qu'il comprend: un conteneur métallique ( 53) de matière organique qui, contenant une matière organique métallique liquéfiée ( 54), reçoit un gaz porteur et provoque l'évacuation d'une matière gazeuse produite par ledit gaz porteur saturé de vapeur de ladite matière organique métallique; un tuyau ( 51) d'introduction d'un gaz porteur qui, ayant son extrémité immergée dans ladite matière organique métallique ( 54), fournit ledit gaz porteur audit conteneur ( 53) par un contrôleur du débit du gaz porteur ( 56); un premier tuyau ( 52) pour l'échappement d'une matière gazeuse qui, ayant son extrémité non en contact avec un niveau de liquide de ladite matière organique métallique ( 54), provoque l'échappement d'une grande quantité de matières gazeuses vers l'aval, à travers un premier contrôleur du débit de matière gazeuse ( 57) pour contrôler la pression ou la quantité d'écoulement de ladite matière gazeuse; et un second tuyau ( 58) pour l'échappement d'une matière gazeuse qui, ayant son extrémité non en contact avec le niveau du liquide de ladite matière organique métallique ( 54), provoque l'échappement d'une petite quantité de ladite matière gazeuse vers l'aval par un second contrôleur de débit de matière gazeuse ( 59). 16 Appareil selon l'une des revendications 1 à 14, caractérisé en ce
1 o qu'il comprend: un conteneur ( 53) d'une matière organique métallique qui, contenant une matière organique métallique liquéfiée ( 54), reçoit un gaz porteur et provoque l'chappement d'une matière gazeuse produite par ledit gaz porteur saturé de vapeur provenant de ladite matière organique métallique; un tuyau ( 5 lb) pour introduire le gaz porteur qui fournit ledit gaz porteur audit conteneur ( 53) par un premier contrôleur d'écoulement ( 56); un tuyau ( 52) pour l'échappement de la matière gazeuse qui contient un second contrôleur d'écoulement ( 57) vers l'aval; et des tuyaux de raccordement ( 60, 61) qui sont prévus aux extrémités respectives dudit tuyau d'introduction du gaz porteur ( 5 lb) et dudit tuyau d'échappement de matière gazeuse ( 52) afin de glisser de manière flexible et dont les extrémités sont placées flottantes sur un niveau du liquide de ladite matière organique métallique ( 54) en maintenant un espace constant entre eux de manière que lesdites extrémités ne soient pas en contact avec le niveau du
liquide.
FR9311280A 1992-11-20 1993-09-22 Appareil pour la production de dispositifs semi-conducteurs composes et conteneur d'une matiere organique metallique a y utiliser. Expired - Fee Related FR2698381B1 (fr)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP33504992 1992-11-20
JP2312193 1993-02-12
JP5071381A JPH06295862A (ja) 1992-11-20 1993-03-30 化合物半導体製造装置及び有機金属材料容器

Publications (2)

Publication Number Publication Date
FR2698381A1 true FR2698381A1 (fr) 1994-05-27
FR2698381B1 FR2698381B1 (fr) 1997-08-08

Family

ID=27284132

Family Applications (1)

Application Number Title Priority Date Filing Date
FR9311280A Expired - Fee Related FR2698381B1 (fr) 1992-11-20 1993-09-22 Appareil pour la production de dispositifs semi-conducteurs composes et conteneur d'une matiere organique metallique a y utiliser.

Country Status (4)

Country Link
US (2) US5496408A (fr)
JP (1) JPH06295862A (fr)
FR (1) FR2698381B1 (fr)
GB (1) GB2273391B (fr)

Families Citing this family (435)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100201386B1 (ko) * 1995-10-28 1999-06-15 구본준 화학기상증착장비의 반응가스 분사장치
US6248398B1 (en) * 1996-05-22 2001-06-19 Applied Materials, Inc. Coater having a controllable pressurized process chamber for semiconductor processing
EP0854210B1 (fr) * 1996-12-19 2002-03-27 Toshiba Ceramics Co., Ltd. Appareillage pour le dépôt de films minces à partir de la phase vapeur
US6039809A (en) * 1998-01-27 2000-03-21 Mitsubishi Materials Silicon Corporation Method and apparatus for feeding a gas for epitaxial growth
JPH11288893A (ja) 1998-04-03 1999-10-19 Nec Corp 半導体製造装置及び半導体装置の製造方法
US5980686A (en) * 1998-04-15 1999-11-09 Applied Komatsu Technology, Inc. System and method for gas distribution in a dry etch process
US6217937B1 (en) * 1998-07-15 2001-04-17 Cornell Research Foundation, Inc. High throughput OMVPE apparatus
US6300255B1 (en) 1999-02-24 2001-10-09 Applied Materials, Inc. Method and apparatus for processing semiconductive wafers
US6311959B1 (en) * 1999-04-22 2001-11-06 Applied Materials, Inc. Method and apparatus for generating controlled mixture of organic vapor and inert gas
GB9929279D0 (en) * 1999-12-11 2000-02-02 Epichem Ltd An improved method of and apparatus for the delivery of precursors in the vapour phase to a plurality of epitaxial reactor sites
SE523432C2 (sv) * 2000-01-12 2004-04-20 Mjoelkkannan Foervaltning Ab C Näringsdryck
AU2001247685A1 (en) * 2000-03-30 2001-10-15 Tokyo Electron Limited Method of and apparatus for tunable gas injection in a plasma processing system
DE10059386A1 (de) * 2000-11-30 2002-06-13 Aixtron Ag Verfahren und Vorrichtung zur dosierten Abgabe kleiner Flüssigkeitsvolumenströme
US6905547B1 (en) * 2000-12-21 2005-06-14 Genus, Inc. Method and apparatus for flexible atomic layer deposition
US7563328B2 (en) * 2001-01-19 2009-07-21 Tokyo Electron Limited Method and apparatus for gas injection system with minimum particulate contamination
US6786714B2 (en) * 2001-04-12 2004-09-07 James W. Haskew Delivery system for liquid catalysts
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
US20070032046A1 (en) * 2001-07-06 2007-02-08 Dmitriev Vladimir A Method for simultaneously producing multiple wafers during a single epitaxial growth run and semiconductor structure grown thereby
US20060011135A1 (en) * 2001-07-06 2006-01-19 Dmitriev Vladimir A HVPE apparatus for simultaneously producing multiple wafers during a single epitaxial growth run
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4071968B2 (ja) * 2002-01-17 2008-04-02 東芝三菱電機産業システム株式会社 ガス供給システム及びガス供給方法
US6838114B2 (en) 2002-05-24 2005-01-04 Micron Technology, Inc. Methods for controlling gas pulsing in processes for depositing materials onto micro-device workpieces
US7118783B2 (en) * 2002-06-26 2006-10-10 Micron Technology, Inc. Methods and apparatus for vapor processing of micro-device workpieces
DE10228997A1 (de) * 2002-06-28 2004-01-22 Advanced Micro Devices, Inc., Sunnyvale System und Verfahren zum Verringern der chemischen Reaktivität von Wasser und anderen Chemikalien, die bei der Herstellung integrierter Schaltungen verwendet werden
US6821347B2 (en) 2002-07-08 2004-11-23 Micron Technology, Inc. Apparatus and method for depositing materials onto microelectronic workpieces
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7192486B2 (en) * 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
KR100474565B1 (ko) * 2002-08-30 2005-03-10 삼성전자주식회사 소스 가스 공급 방법 및 장치
JP2004091850A (ja) * 2002-08-30 2004-03-25 Tokyo Electron Ltd 処理装置及び処理方法
US20040050325A1 (en) * 2002-09-12 2004-03-18 Samoilov Arkadii V. Apparatus and method for delivering process gas to a substrate processing system
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040112540A1 (en) * 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US6926775B2 (en) 2003-02-11 2005-08-09 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US20040163590A1 (en) * 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
US7335396B2 (en) 2003-04-24 2008-02-26 Micron Technology, Inc. Methods for controlling mass flow rates and pressures in passageways coupled to reaction chambers and systems for depositing material onto microfeature workpieces in reaction chambers
US7654975B2 (en) * 2003-04-24 2010-02-02 Northgate Technologies, Inc. Mixed-gas insufflation system
US20050178336A1 (en) * 2003-07-15 2005-08-18 Heng Liu Chemical vapor deposition reactor having multiple inlets
US7344755B2 (en) 2003-08-21 2008-03-18 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces; methods for conditioning ALD reaction chambers
US7422635B2 (en) 2003-08-28 2008-09-09 Micron Technology, Inc. Methods and apparatus for processing microfeature workpieces, e.g., for depositing materials on microfeature workpieces
JP2005159293A (ja) * 2003-09-18 2005-06-16 Nec Kagoshima Ltd 基板処理装置及び処理方法
CA2538456C (fr) * 2003-10-07 2013-01-15 Northgate Technologies Inc. Systeme et procede permettant de fournir une substance a une cavite corporelle
US7323231B2 (en) 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7581511B2 (en) 2003-10-10 2009-09-01 Micron Technology, Inc. Apparatus and methods for manufacturing microfeatures on workpieces using plasma vapor processes
JP5183065B2 (ja) * 2003-10-31 2013-04-17 トルーデル メディカル インターナショナル 体腔へ物質を送出するカテーテルを操作するためのシステムと方法
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
JP4366226B2 (ja) * 2004-03-30 2009-11-18 東北パイオニア株式会社 有機elパネルの製造方法、有機elパネルの成膜装置
US7584942B2 (en) 2004-03-31 2009-09-08 Micron Technology, Inc. Ampoules for producing a reaction gas and systems for depositing materials onto microfeature workpieces in reaction chambers
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
JP4560394B2 (ja) * 2004-12-13 2010-10-13 長州産業株式会社 薄膜形成用分子供給装置
JP2006253696A (ja) * 2005-03-10 2006-09-21 Asm America Inc ガスインジェクタ制御システム
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
EP2056922B1 (fr) 2006-08-04 2018-03-28 Northgate Technologies, Inc. Orifice permettant un accès permanent dans le corps humain
US7775508B2 (en) * 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US8708320B2 (en) 2006-12-15 2014-04-29 Air Products And Chemicals, Inc. Splashguard and inlet diffuser for high vacuum, high flow bubbler vessel
US7846497B2 (en) * 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) * 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) * 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
JP4972444B2 (ja) * 2007-03-30 2012-07-11 株式会社ニューフレアテクノロジー 気相成長装置及び気相成長方法
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
US8216419B2 (en) * 2008-03-28 2012-07-10 Bridgelux, Inc. Drilled CVD shower head
US20090096349A1 (en) * 2007-04-26 2009-04-16 Moshtagh Vahid S Cross flow cvd reactor
JP5372353B2 (ja) * 2007-09-25 2013-12-18 株式会社フジキン 半導体製造装置用ガス供給装置
US8668775B2 (en) * 2007-10-31 2014-03-11 Toshiba Techno Center Inc. Machine CVD shower head
US20090236447A1 (en) * 2008-03-21 2009-09-24 Applied Materials, Inc. Method and apparatus for controlling gas injection in process chamber
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8162296B2 (en) * 2009-03-19 2012-04-24 Air Products And Chemicals, Inc. Splashguard for high flow vacuum bubbler vessel
US8944420B2 (en) 2009-03-19 2015-02-03 Air Products And Chemicals, Inc. Splashguard for high flow vacuum bubbler vessel
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8133806B1 (en) 2010-09-30 2012-03-13 S.O.I.Tec Silicon On Insulator Technologies Systems and methods for forming semiconductor materials by atomic layer deposition
US8486192B2 (en) * 2010-09-30 2013-07-16 Soitec Thermalizing gas injectors for generating increased precursor gas, material deposition systems including such injectors, and related methods
US8845806B2 (en) * 2010-10-22 2014-09-30 Asm Japan K.K. Shower plate having different aperture dimensions and/or distributions
JP5626113B2 (ja) * 2011-05-18 2014-11-19 株式会社Ihi ガス分岐装置およびガス分岐方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
WO2013002885A1 (fr) * 2011-06-30 2013-01-03 Applied Materials, Inc. Procédé et appareil permettant un échange gazeux rapide, un changement rapide de gaz et une distribution de gaz programmable
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8728239B2 (en) * 2011-07-29 2014-05-20 Asm America, Inc. Methods and apparatus for a gas panel with constant gas flow
US9644285B2 (en) 2011-08-22 2017-05-09 Soitec Direct liquid injection for halide vapor phase epitaxy systems and methods
FR2979636B1 (fr) * 2011-09-07 2014-08-29 Soitec Silicon On Insulator Injection directe de liquide pour des systemes et des procedes d'epitaxie en phase vapeur a base d'halogenures
TWI470672B (zh) * 2011-08-22 2015-01-21 Soitec Silicon On Insulator 用於鹵化物氣相磊晶系統之直接液體注入及方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101427726B1 (ko) * 2011-12-27 2014-08-07 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 장치의 제조 방법
DE102012203212A1 (de) * 2012-03-01 2013-09-05 Osram Opto Semiconductors Gmbh Beschichtungsanlage und verfahren zur durchführung eines aufwachsprozesses
US20130255784A1 (en) * 2012-03-30 2013-10-03 Applied Materials, Inc. Gas delivery systems and methods of use thereof
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9840778B2 (en) * 2012-06-01 2017-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma chamber having an upper electrode having controllable valves and a method of using the same
US20140026977A1 (en) * 2012-07-25 2014-01-30 William Kimmerle Chemical precursor bubbler assembly
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20140120735A1 (en) * 2012-10-31 2014-05-01 Macronix International Co., Ltd. Semiconductor process gas flow control apparatus
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US11414759B2 (en) * 2013-11-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for supplying process gas into wafer process apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9572595B1 (en) 2014-03-05 2017-02-21 Northgate Technologies Inc. In-dwelling port for access into a body
CN103882409B (zh) * 2014-03-13 2016-04-20 中国科学院半导体研究所 源输送混合比可调气路装置
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015195312A (ja) * 2014-03-31 2015-11-05 株式会社ニューフレアテクノロジー 気相成長装置および気相成長方法
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10563305B2 (en) * 2015-05-13 2020-02-18 Versum Materials Us, Llc Container for chemical precursors in a deposition process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
JP6573559B2 (ja) * 2016-03-03 2019-09-11 東京エレクトロン株式会社 気化原料供給装置及びこれを用いた基板処理装置
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10453721B2 (en) 2016-03-15 2019-10-22 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10269600B2 (en) * 2016-03-15 2019-04-23 Applied Materials, Inc. Methods and assemblies for gas flow ratio control
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI719284B (zh) 2017-03-03 2021-02-21 美商應用材料股份有限公司 用於半導體製造前驅物的安瓿及用於半導體前驅物液體的安瓿
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (fr) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Dispositif de stockage pour stocker des cassettes de tranches destiné à être utilisé avec un four discontinu
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (fr) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Procédés de dépôt cyclique destinés à la formation d'un matériau métallifère, et films et structures comportant le matériau métallifère
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
TW202020218A (zh) * 2018-09-14 2020-06-01 美商應用材料股份有限公司 用於多流前驅物配分劑量的裝置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
JP7024740B2 (ja) * 2019-01-16 2022-02-24 株式会社デンソー 半導体製造装置
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
JP7302447B2 (ja) * 2019-11-20 2023-07-04 信越半導体株式会社 気相成膜装置
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11940819B1 (en) * 2023-01-20 2024-03-26 Applied Materials, Inc. Mass flow controller based fast gas exchange

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2569207A1 (fr) * 1984-08-14 1986-02-21 Mellet Robert Procede et dispositif d'obtention d'un courant gazeux contenant un compose a l'etat de vapeur, utilisable notamment pour introduire ce compose dans un reacteur d'epitaxie
EP0196170A2 (fr) * 1985-03-26 1986-10-01 Kabushiki Kaisha Toshiba Appareil pour croissance en phase vapeur par pyrolyse d'un composé organique métallique
DE3802732A1 (de) * 1987-01-31 1988-08-11 Toyoda Gosei Kk Verfahren und vorrichtung zur zuechtung von galliumnitrid aus der gasphase
EP0311446A2 (fr) * 1987-10-08 1989-04-12 Mitsubishi Rayon Co., Ltd. Appareillage pour la production de composé semi-conducteur
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE417507C (de) * 1925-08-11 Chem Fab Niederrhein G M B H F Verfahren zur Behandlung von Fluessigkeiten mit Gasen
US2405494A (en) * 1944-03-29 1946-08-06 Cedar Corp N O Air treating apparatus
US2921844A (en) * 1955-03-25 1960-01-19 Robert M Hutchison Odorizing unit
US3049850A (en) * 1959-06-25 1962-08-21 Procter A Smith Carbureter for internal combustion engines
US3552725A (en) * 1969-03-28 1971-01-05 Future Products Dev Corp Acceleration units
DE2747488C2 (de) * 1977-10-22 1982-09-09 Drägerwerk AG, 2400 Lübeck Vorrichtung zur Anfeuchtung und Erwärmung von Atemgas
US4369031A (en) * 1981-09-15 1983-01-18 Thermco Products Corporation Gas control system for chemical vapor deposition system
US4410467A (en) * 1981-11-09 1983-10-18 Wentworth Fred Albert Jr Ion-vapor generator and method
JPS6055478B2 (ja) * 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
JPS60138908A (ja) * 1983-12-27 1985-07-23 Toshiba Corp 減圧cvd装置
GB8428032D0 (en) * 1984-11-06 1984-12-12 Secr Defence Growth of crystalline layers
JPS62105997A (ja) * 1985-11-01 1987-05-16 Sumitomo Electric Ind Ltd 気相成長装置
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2587623B2 (ja) * 1986-11-22 1997-03-05 新技術事業団 化合物半導体のエピタキシヤル結晶成長方法
EP0277597B1 (fr) * 1987-01-31 1994-07-13 Toyoda Gosei Co., Ltd. Diode electroluminescente semiconductrice du type nitride de gallium et son procédé de fabrication
JP2668687B2 (ja) * 1987-11-27 1997-10-27 富士通株式会社 C v d 装 置
US4851016A (en) * 1988-03-21 1989-07-25 Roland Rylander Device for filtering a stream of air
US5200388A (en) * 1988-05-13 1993-04-06 Oki Electric Industry Co., Ltd. Metalorganic chemical vapor deposition of superconducting films
JPH0233260A (ja) * 1988-07-22 1990-02-02 Canon Inc 画像処理装置
DE3833232A1 (de) * 1988-09-30 1990-04-05 Leybold Ag Verfahren und vorrichtung zum verdampfen von bei raumtemperatur fluessigen monomeren
JPH0345957A (ja) * 1989-07-14 1991-02-27 Canon Inc 電子写真感光体
JPH0382763A (ja) * 1989-08-25 1991-04-08 Fujitsu Ltd 薄膜形成方法およびエッチング方法
US5124088A (en) * 1990-09-04 1992-06-23 Stumphauzer William C Process and apparatus for rapidly carbonating water
EP0502209B1 (fr) * 1990-09-21 1997-05-14 Fujitsu Limited Procede et appareil pour former par croissance des cristaux de composes semi-conducteurs
JPH04295089A (ja) * 1991-03-26 1992-10-20 Kokusai Chodendo Sangyo Gijutsu Kenkyu Center 酸化物超電導膜製造装置
JP2896268B2 (ja) * 1992-05-22 1999-05-31 三菱電機株式会社 半導体基板の表面処理装置及びその制御方法
JP2703694B2 (ja) * 1992-05-28 1998-01-26 信越半導体株式会社 ガス供給装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2569207A1 (fr) * 1984-08-14 1986-02-21 Mellet Robert Procede et dispositif d'obtention d'un courant gazeux contenant un compose a l'etat de vapeur, utilisable notamment pour introduire ce compose dans un reacteur d'epitaxie
EP0196170A2 (fr) * 1985-03-26 1986-10-01 Kabushiki Kaisha Toshiba Appareil pour croissance en phase vapeur par pyrolyse d'un composé organique métallique
DE3802732A1 (de) * 1987-01-31 1988-08-11 Toyoda Gosei Kk Verfahren und vorrichtung zur zuechtung von galliumnitrid aus der gasphase
EP0311446A2 (fr) * 1987-10-08 1989-04-12 Mitsubishi Rayon Co., Ltd. Appareillage pour la production de composé semi-conducteur
US4980204A (en) * 1987-11-27 1990-12-25 Fujitsu Limited Metal organic chemical vapor deposition method with controlled gas flow rate

Also Published As

Publication number Publication date
FR2698381B1 (fr) 1997-08-08
GB2273391A (en) 1994-06-15
US5589110A (en) 1996-12-31
GB9322940D0 (en) 1994-01-05
US5496408A (en) 1996-03-05
GB2273391B (en) 1997-01-15
JPH06295862A (ja) 1994-10-21

Similar Documents

Publication Publication Date Title
FR2698381A1 (fr) Appareil pour la production de dispositifs semi-conducteurs composés et conteneur d'une matière organique métallique à y utiliser.
US4783343A (en) Method for supplying metal organic gas and an apparatus for realizing same
EP1038048B1 (fr) Systeme d'alimentation en gaz d'un reacteur de chimio-deposition et son procede de commande
CN109666921B (zh) 用于原子层沉积的系统和方法
EP0175601A1 (fr) Procédé et dispositif d'obtention d'un courant gazeux contenant un composé a l'état de vapeur, utilisable notamment pour introduire ce composé dans un réacteur d'épitaxie
FR2918301A1 (fr) Revetement barriere depose par plasma comprenant au moins trois couches, procede d'obtention d'un tel revetement et recipient revetu d'un tel revetement
JP2004504496A (ja) 基質上へのフィルムの蒸着法
FR2604296A1 (fr) Procede de depot de films minces semi-conducteurs composes iii-v ou ii-vi sur un substrat par decomposition thermique
FR2487121A1 (fr) Procede et dispositif de formation d'une couche semi-conductrice par depot par jet moleculaire
FR2578681A1 (fr) Procede pour former une couche mince monocristalline d'element semi-conducteur
JPH01187808A (ja) 層を有する半導体装置又は物品のエピタキシヤル製造のための方法及び装置
US8143145B2 (en) Method and arrangement for producing an N-semiconductive indium sulfide thin layer
US20060251815A1 (en) Atomic layer deposition methods
EP3535435A1 (fr) Procede de dépôt de films minces de chalcogenure
Piotrowski et al. Composition and thickness control of CdxHg1-xTe layers grown by open tube isothermal vapour phase epitaxy
FR2502185A1 (fr) Dispositif de depot d'une couche mince
Jensen et al. Metalorganic chemical vapor deposition: Examples of the influence of precursor structure on film properties
EP0006118B1 (fr) Procédé de dépôt en phase vapeur d'arséniure de gallium à forte teneur en germanium
EP0138965B1 (fr) Tetramethyltine utilise comme source de dopage pour le developpement mocvd de couches semiconductrices epitaxiales
US20240141486A1 (en) Apparatus for providing a gas mixture to a reaction chamber and method of using same
EP0138963A1 (fr) Source de dopant au diethylberilium pour couches semiconductrices epitaxiales a croissance par depot de vapeur chimique organique metallique (mocvd).
GB2298087A (en) Apparatus for producing compound semiconductor devices.
FR2953222A1 (fr) Depot d'une couche mince de cu(in,ga)x2 par pulverisation cathodique
US20220403513A1 (en) Apparatus for providing a gas mixture to a reaction chamber and method of using same
FR2620135A1 (fr) Procede de croissance d'un cristal de silicium en forme de ruban de structure dendritique

Legal Events

Date Code Title Description
ST Notification of lapse