GB2298087A - Apparatus for producing compound semiconductor devices. - Google Patents

Apparatus for producing compound semiconductor devices. Download PDF

Info

Publication number
GB2298087A
GB2298087A GB9608084A GB9608084A GB2298087A GB 2298087 A GB2298087 A GB 2298087A GB 9608084 A GB9608084 A GB 9608084A GB 9608084 A GB9608084 A GB 9608084A GB 2298087 A GB2298087 A GB 2298087A
Authority
GB
United Kingdom
Prior art keywords
gas
pipe
mfcs
pressure
compound semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
GB9608084A
Other versions
GB9608084D0 (en
GB2298087B (en
Inventor
Takashi Motoda
Shouichi Karakida
Nobuaki Kaneno
Shigeki Kageyama
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5071381A external-priority patent/JPH06295862A/en
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Publication of GB9608084D0 publication Critical patent/GB9608084D0/en
Publication of GB2298087A publication Critical patent/GB2298087A/en
Application granted granted Critical
Publication of GB2298087B publication Critical patent/GB2298087B/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • C23C16/4482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material by bubbling of carrier gas through liquid source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases

Landscapes

  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

An apparatus for producing a compound semiconductor device wherein gases each including a component element of a compound semiconductor crystal are introduced into a reaction tube 3 and crystal growth of a compound is carried out on wafers 2 disposed in said reaction tube 3 including: ```gas supply pipes 12a, 12b which include a separate pipe for each component element, and ```flow rate adjusting means 29 for adjusting the flow rates of the gases flowing through the gas supply pipes 12a, 12b.

Description

APPARATUS FOR PRODUCING COMPOUND SEMICONDUCTOR DEVICES rTErn OV THE TMVNTTOh1 The present invention relates to a compound semiconductor crystal growth apparatus utilizing a vapor phase growth method, and more particularly to an improvement in a mechanism for supplying material gas to a reaction tube.
BACKGROUND OF THE TNVNTTONS Figure 8 is a block diagram illustrating a prior art compound semiconductor crystal growth apparatus for forming a thin film on a plurality of wafers by a lower pressure chemical vapor deposition. More specifically, this growth apparatus generates chemical reaction on material gases under reduced pressure to deposit a solid on the wafers.
More particularly, as illustrated in Figure 8 , a susceptor 1 holding a plurality of wafers 2 is placed in a reaction tube 3 having a cylindrical shape and, after both aperture ends of the reaction tube 3 are closed with lids 4 and 5, a reactive gas (a mixture of material gases) is supplied to the reaction tube 3 via gas supply inlets 6a to 6c. Then, in a state where the pressure in the reaction tube 3 is reduced via an exhaust outlet 8 by an exhaust pump 9, the inside of the reaction tube 3 is heated to a prescribed temperature by applying an electric current to a furnace 7, to deposit a crystal on the wafers 2 according to chemical reaction of the material gas.Here, the flow rate of the material gas required for crystal growth, is controlled by mass flow controllers (hereinafter referred to as MFC) for supplying material gas 10a to 10c, and respective gases are introduced through material gas supply pipes lia to lic into a gas mixing pipe 12 for mixing those gases. The material gas mixed thereat is introduced into the reaction tube 3 through gas distributing pipes 13a to 13c, in order to equalize the thickness, composition or the like, by crystal growth conducted in the reaction tube 3.
By employing the above-described growth apparatus, gas distribution in the reaction tube is improved to a greater extent than that in a case where the reactive gas is provided via a single gas supply inlet from only an end of the reaction tube. However, the flow rates of the mixed material gas flowing through the respective gas distributing pipes are not always equal to each other by only branching the mixed gas supply pipe into plural pipes, not resulting in a thin film having a high equality.
In a lower pressure chemical vapor deposition apparatus illustrated in Pigure 9, which is disclosed in Japanese Published Utility Model Application No.Hei.2-33260, according to an output voltage from a mass flow meter (hereinafter referred to as MFM) 15 placed in the way of the gas mixing pipe 12, which output voltage is input to a gas controlling circuit 16, i.e., according to the flow rate of the mixed material gas flowing in the MFM 15, the flow rates of the mixed material gas flowing in the respective MFCs for adjusting reactive gas 14a, 14b and 14c, which MFCs are respectively placed in the way of the gas distributing pipes 13a, 13b and 13c, are changed, while the percentages of flow quantities for the respective MFCs 14a to 14c are kept at values which are preset at setting appliances 17a to 17c, controlled by the gas controlling circuit 16. As a result, the flow rates of the mixed material gas flowing through the respective gas distributing pipes 13a, 13b and 13c are automatically adjusted with keeping the percentages of flow quantities, and the flow rates of the mixed material gas flowing into the reaction tube 3 are equalized.
When, between the material gas supplying MFCs 10a to 10c placed in the way of the material gas supply pipes lla to llc and the MFCs 14a to 14c placed in the way of the gas distributing pipes 13a to 13c, difference in preciseness occurs due to errors in manufacture, deterioration with years, or the like of the MFCs, i.e., when though the gas controlling circuit 16 makes the flow rates of material gases flowing in the MFCs 14a to 14c equal to those flowing in the MFCs 10a to 10c, the total flow rates of the former MFCs 14a to 14c are smaller than those of the latter MFCs 10a to 10c, the mixed material gas is retarded in the gas mixing pipe 12 located between the MFCs 14a to 14c and the MFCs 10a to 10c, lowering the flow rate of the mixed material gas flowing in the MFM 15.In this structure, however, the flow rates of the reactive gas flowing in the MFCs 14a to 14c are lowered with a decrease in the flow rate of the material gas measured in the MFM 15, and further more material gases are retarded in the gas mixing pipe 12, further lowering the flow rates of the material gases, resulting in a vicious circle.
In the prior art compound semiconductor crystal growth apparatus constructed as described above, the material gas is retarded in the gas mixing pipe between the MFCs disposed respectively at prior and latter stage of the gas mixing pipe, due to errors in manufacture, deterioration with years, or the like of the MFCs, and in the worst case, the supply of the mixed material gas stops.
Figure 10 is a block diagram illustrating a prior art crystal growth apparatus, which is disclosed in Japanese Patent Application No.Hei.1-140712. In the figure, wafers 2 are disposed on a susceptor 31 having a rotation shaft 30.
Flow rate variable valves 32a to 32d are disposed in the way of gas distributing pipes 13. Prescribed material liquids are included in bubbling apparatuses 33a to 33c and H2 gas as carrier gas is passed therethrough to generate desired material gases. Shut-off valves 35a to 35d are used for maintenance.
In this apparatus, after the material gases generated in the bubbling apparatuses 33a to 33c are mixed in a gas mixing pipe 12, the ratio of flow quantities (distribution ratio) of the mixed material gases flowing through the gas distributing pipes 13 are controlled by the flow rate variable valves 32a to 32d having a prescribed degree of opening, and the mixed material gas is introduced into the reaction tube 3. The material gases introduced into the reaction tube 3 as described above react on the surface or in the vicinity of the wafers 2, growing desired crystals on the wafers 2. Thus, in this apparatus, the uniformity in the layer thickness and composition of the crystal layer grown on the wafer 2 is increased by adjusting the flow rates of the gases flowing through the gas distributing pipes 13. According to this prior art apparatus, however, the inherent nature of each material is not considered.
Therefore, in processing a number of large sized wafers, it was difficult to control all of the uniformity in the layer thickness, the composition and the impurity addition amount of the grown crystal layer sufficiently on the whole surface of the wafer.
In the apparatus illustrated in Figure 10, the material gases are generated using the bubbling apparatuses (containers of metal organic material). However, there is a problem that a small amount of metal organic material gas is not stably supplied in the conventional bubbling apparatus.
More particularly, as illustrated in Figure 11, in the conventional bubbling apparatus, by supplying a specific flow rate of a carrier gas, for example, H2, to a material container 53 (hereinafter also referred to as cylinder) from a pipe 51 for introducing carrier gas by an MFC 56 and passing the carrier gas through metal organic material 54, bubbles 55 are generated, and a material gas saturated with steam from the metal organic material is exhausted from a pipe 52 for exhausting gas. At this time, by setting a control pressure of a pressure control gauge 57 placed in the way of the gas exhausting pipe 52 at a prescribed value, a prescribed amount of material gas having a constant steam pressure is obtained. However, in order to take out a small amount of material gas, a small amount of carrier gas must be introduced into the metal organic material.The bubbles generated at that time are not continuous bubbles but intermittent and unstable ones because the introduced carrier gas is too small. Therefore, the amount of steam of the material gas exhausted from the gas exhausting pipe 52 is also unstable, whereby desired steam from the metal organic material is not taken out.
So as to solve the above-described problems, as illustrated in Figure 12, an end of a carrier gas introducing pipe 51a is not immersed in the metal organic material 54. In this apparatus, a carrier gas supplied to the cylinder 53 does not generate any bubbles, takes in a saturated steam existing at the surface of the metal organic material 54 in an equilibrium state, and is exhausted from the gas exhausting pipe 52 as a material gas. However, in this apparatus, according as the metal organic material 54 is consumed, the distance between the gas exhausting pipe 52 and the liquid level of the metal organic material 54 is varied. Therefore, the amount of steam of the material gas taken in the gas exhausting pipe 52 is varied with the consumption of the material, whereby a desired amount of material gas is not stably taken out.
SUMMARY OF THs INVENTION It is an object of the present invention to control regularly the flow rate of the mixed material gas even when there is difference in preciseness due to errors in manufacture and deterioration with years of the MFCs between the material gas supplying MFCs and the reactive gas adjusting MFCs.
It is another object of the present invention to provide an apparatus for producing a compound semiconductor device capable of obtaining the sufficient uniformity in the layer thickness, the composition, and the impurity addition amount of the crystal layer grown on the whole surface of the wafer.
It is still another object of the present invention to provide a container of metal organic material capable of stably supplying a small amount of material gas.
Other objects and advantages of the present invention will become apparent from the detailed description given hereinafter; it should be understood, however, that the detailed description and specific embodiment are given by way of illustration only, since various changes and modifications within the spirit and scope of the invention will become apparent to the those skilled in the art from this detailed description.
According to a first aspect of the present invention, an apparatus for producing a compound semiconductor device includes first flow rate adjusting means of material gas, a gas mixing pipe for mixing the material gases which are adjusted in their flow rates, a plurality of gas distributing pipes connected to an end of the gas mixing pipe, second flow rate adjusting means for adjusting the material gas flowing through the gas distributing pipes and for supplying the material gases into a reaction tube, a plurality of wafers placed in the reaction tube so that the material gas in the reaction tube is resolved to grow a crystal thereon, means for detecting a pressure in the gas mixing pipe and a control means for, upon receipt of an output from the pressure detecting means, controlling the second flow rate adjusting means to keep a pressure in the gas mixing pipe at a constant value.Therefore, retardation of the material gas between the first and the second flow rate adjusting means is prevented.
According to a secend aspect of the present invention, the above-described apparatus for producing a compound semiconductor device further includes a reactive gas by-pass pipe for branching and exhausting a reactive gas in the gas mixing pipe, a by-pass pipe flow rate adjusting means of the reactive gas flowing through the reactive gas by-pass pipe and a control means for, upon receipt of an output from the pressure detecting means, controlling the by-pass pipe flow rate adjusting means to keep a pressure in the gas mixing pipe at a constant value. Therefore, retardation of the material gas between the first and the second flow rate adjusting means is prevented.
According to a third aspect of the present invention, the above-described apparatus for producing a compound semiconductor device further includes a control means for, upon receipt of an output from the pressure detecting means, controlling the first flow rate adjusting means to keep a pressure in the gas mixing pipe at a constant value.
Therefore, retardation of the material gas between the first and the second flow rate adjusting means is prevented.
According to a fourth aspect of the present invention, the above-described apparatus for producing a compound semiconductor device further includes a control means for, upon receipt of an output from the pressure detecting means, controlling the carrier gas flow rate adjusting means to keep a pressure in the gas mixing pipe at a constant value.
Therefore, retardation of the material gas between the first and the second flow rate adjusting means is prevented.
According to a fifth aspect of the present invention, the above-described apparatus for producing a compound semiconductor device further includes a by-pass pipe for branching and exhausting a carrier gas in the gas mixing pipe, of which end is connected to a portion before a portion where the material gases are supplied to the gas mixing pipe. Therefore, in addition to the effect of the above-described aspects, no change of the supply flow rate of the material gas occurs, suppressing the change of the composition of the crystal.
According to a sixth aspect of the present invention, an apparatus for producing a compound semiconductor device in which material gases including a component element of a compound semiconductor crystal are introduced into a reaction tube and crystal growth of a compound is carried out on wafers disposed in the reaction tube, includes material gas supply pipes which are separated with each other in every group of the component element included in the material gases, and flow rate adjusting means for adjusting flow rates of the material gases flowing through the material gas supply pipes. The apparatus for producing a compound semiconductor device further includes impurity gas supply pipes which is separated with the material gas supply pipes.Therefore, the distribution ratio of each material gas is set considering the decomposition coefficient of the material, and the impurity concentration in the gas phase and the distribution ratio are controlled.
According to a seventh aspect of the present invention, an apparatus which, containing a liquefied metal organic material, receives a carrier gas and exhausts a material gas produced by the carrier gas saturated with steam from the metal organic material includes a container proper containing the liquefied metal organic material, a pipe for introducing carrier gas, which, having its end immersed in the metal organic material, supplies the carrier gas to the container proper through a carrier gas flow rate controller, a first pipe for exhausting material gas, which, having its end not in contact with a liquid level of the metal organic material, exhausts a large amount of material gas to the downstream through a first material gas flow rate controller for controlling one of a pressure and a flow quantity of the material gas, and a second pipe for exhausting material gas, which, having its end not in contact with the liquid level of the metal organic material, exhausts a small amount of material gas to the downstream through a second material gas flow rate controller. Therefore, a small amount of steam from the metal organic material is stably obtained due to continuous bubbles.
According to an eighth embodiment of the present invention, the above-described apparatus containing a liquefied metal organic material further includes a pipe for introducing carrier gas, which supplies the carrier gas to the container proper through a first flow rate controller, a pipe for exhausting material gas, which includes a second flow rate controller at the downstream thereof, and patching pipes which are provided at respective ends of the carrier gas introducing pipe and the material gas exhausting pipe so as to slide flexibly and which ends are floatingly positioned over a liquid level of the metal organic material with keeping a constant space therebetween so that the ends are not in contact with the liquid level.Therefore, even if the liquid level is lowered according as the material is consumed, the distance between the material gas exhausting pipe and the liquid level is kept constant.
BRIEF nFACRTPTTON OF HE DRAWINGS Figure 1 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a first embodiment of the present invention.
Figure 2 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a second embodiment of the present invention.
Figure 3 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a third embodiment of the present invention.
Figure 4 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a fourth embodiment of the present invention.
Figure 5 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a fifth embodiment of the present invention.
Figure 6 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a sixth embodiment of the present invention.
Figure 7 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a seventh embodiment of the present invention.
Figure 8 is a block diagram illustrating the prior art apparatus for producing a compound semiconductor device.
Figure 9 is a block diagram illustrating the prior art apparatus for producing a compound semiconductor device.
Figure 10 is a block diagram illustrating the prior art apparatus for producing a compound semiconductor device.
Figure 11 is a block diagram illustrating the prior art container of a metal organic material.
Figure 12 is a block diagram illustrating the prior art container of a metal Organic material.
Figure 13 is a block diagram illustrating a container of a metal organic material in accordance with an eighth embodiment of the present invention.
Figure 14 is a block diagram illustrating a container of a metal organic material in accordance with a ninth embodiment of the present invention.
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT First Embodiment Figure 1 is a block diagram illustrating an apparatus for producing a compound semiconductor device in accordance with a first embodiment of the present invention. In the figure, the same reference numerals as those of Figures 8 and 9 designate the same or corresponding parts. In bubbling apparatuses 18a and 18b, desired material gases are obtained by passing carrier gas H2, of which flow rate is controlled by the material gas supplying MFCs 10a and 10b, through material liquids.While the material gases produced in the bubbling apparatuses 18a and 18b and a material gas introduced through the MFC 10c enter the gas mixing pipe 12 via shut-off valves 20a to 20c, these gases enter a by-pass pipe 25 via shut-off valves 19a to 19c. Carrier gas H2 of which flow rate is controlled by MFCs 23 and 24 is constantly supplied to the gas mixing pipe 12 and the bypass pipe 25. An end of the by-pass pipe 25 is connected to the exhaust outlet 8 of the reaction tube 3. An end of the gas mixing pipe 12 is branched into the three gas distributing pipes 13a to 13c, which are respectively connected to the gas supply inlets 6a to 6c via the reactive gas adjusting MFCs 14a to 14c. A control circuit 22 controls the reactive gas adjusting MFCs 14a to 14c so that the output from the pressure gauge 21 installed to the gas mixing pipe 12 may be constant. A mesh 28 is provided to smooth the flow of the mixed gas coming out of the gas supply inlets 6a to 6c.
Next, description is given of the operations.
Here, a case will be described when a GaAs layer and an AlGaAs layer are successively laminated on the wafers 2.
Trimethylgallium (Ga(CH3)3) is generated from the bubbling apparatus 18a and trimethylaluminium (A1(CH3)3) is generated from the bubbling apparatus 18b. Here, arsine (AsH3) is supplied from a bomb and is introduced to the MFC 10c with carrier gas (H2).
First, the wafers 2 where crystal growth is to be carried out thereon, are deposited on the susceptor 1 in the reaction tube 3. Consecutively, with the shut-off valves 20a to 20c closed and the shut-off valves 19a to 19c opened, the predetermined flow rates of the carrier gas H2 are supplied from the MFCs 23 and 24 so that a pressure in the gas mixing pipe 12 is equal to that in the by-pass pipe 25.
Secondly, with the shut-off valves 19a and 19c closed, the shut-off valves 20a and 20c are opened and trimethylgallium and arsine are introduced into the gas mixing pipe 12. The mixed material gas goes through the gas distributing pipes 13a to 13c and the flow rates of the material gases are adjusted by the MFCs 14a to 14c. Then, the gasses are supplied to the reaction tube 3 via the gas supply inlets 6a to 6c. Here, the control circuit 22 controls the MFCs, so that the flow rate of the reactive gas supplied to the gas mixing pipe 12 by the carrier gas flowing through the MFCs 10a, 10c and 23, may be basically equal to those flowing in the MFCs 14a to 14c connected to the gas distributing pipes 13a to 13c.However, when the actual flow rates are not equal to the designed flow rates due to differences in preciseness of manufacture and deterioration with years between the MFCs 10a, 10c and 23 and the MFCs 14a to 14c, adjustment is required in order to equalize these flow rates.
For example, when the actual flow rate of the reactive gas supplied by the MFCs 10a, 10c and 23 is larger than the actual total flow rates of the reactive gases flowing through the MFCs 14a to 14c, the mixed material gas is retarded in the gas mixing pipe 12 and the pressure in the pipe 12 is increased, which is detected by the pressure gauge 21. Then, when the detected result is input into the control circuit 22, the circuit 22 increases the respective flow rates of the material gases flowing in the MFCs 14a to 14c in accordance with increase in the pressure. As a result, the increased pressure is lowered, resulting in a constant pressure detected at the pressure gauge 21.
On the other hand, when the actual total flow rates of the reactive gases flowing through the MFCs 14a to 14c are larger than the actual flow rate of the reactive gas supplied by the MFCs lOa, 10c and 23, since the pressure detected at the pressure gauge 21 is reduced, the control circuit 22 reduces the respective flow rates of the material gases in the MFCs 14a to 14c, resulting in a constant pressure in the gas mixing pipe 12.
A GaAs crystal is grown on the surface of the wafers 2 supported on the susceptor 1 by the mixed material gas which is supplied as described above. Consecutively, so as to laminate an AlGaAs crystal layer on this GaAs crystal, by closing the shut-off valves 20a and 19b and opening the shut-off valves l9a and 20b, the supply of trimethylgallium stops and trimethylaluminium is supplied to the reaction tube 3. Here, since the carrier gas is constantly supplied to the by-pass pipe 25 so that its internal pressure is equal to that of the gas mixing pipe 12, pressure fluctuation in the gas mixing pipe 12 is decreased in switching the gases, to suppress the change of the flow rates of the gases. As constructed as described above, a laminated film that has a sharp change in crystalline composition at crystal interface between the GaAs crystal layer and the AlGaAs crystal layer, is obtained.
Thus, in this first embodiment, by controlling the reactive gas adjusting MFCs 14a to 14c by the control circuit 22 so that the pressure in the gas mixing pipe 12 may be constant, even when there are differences in preciseness between the MFCs 10a to 10c and 23 and the reactive gas adjusting MFCs 14a to 14c, the mixed material gas is not retarded in the gas mixing pipe 12 and further, the supply of the gas does not stop differently from the prior art apparatus, obtaining a crystal of which thickness is uniform.
Second Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a second embodiment of the present invention.
In this second embodiment, by letting a portion of the gases out of the gas mixing pipe to the by-pass pipe, the increased pressure in the gas mixing pipe is lowered, preventing the gas from retarding between the MFCs. More particularly, in Figure 2, an end of a reactive gas by-pass pipe 27 is connected to the gas mixing pipe 12 in the vicinity of the gas distributing pipes 13a to 13c. In the way of the by-pass pipe 27, a by-pass gas adjusting MFC 26 is disposed, and the other end of the by-pass pipe 27 is connected to the by-pass pipe 25. A control circuit 22a controls the flow rate of the by-pass gas adjusting MFC 26, receiving an output from the pressure gauge 21 disposed in the way of the gas mixing pipe 12.
Next, description is given of the operations.
The operations of the respective valves in conducting crystal growth are the same as those of the first embodiment. Here, description is given of a case where the gas is retarded due to differences in preciseness between the MFCs 10a to 10c and 23 and the MFCs 14a to 14c.
Similarly to the first embodiment, when the actual flow rate of the reactive gas supplied by the MFCs 10a, 10c and 23 is larger than the actual total flow rates of the reactive gases flowing through the MFCs 14a to 14c, the mixed material gas is retarded in the gas mixing pipe 12 and the pressure in the pipe 12 is increased, which is detected by the pressure gauge 21. Then, when the detected result is input into the control circuit 22a, the circuit 22a increases the flow rate of the material gas flowing in the by-pass gas adjusting MFC 26 in accordance with increase in the pressure. As a result, the reactive gas equivalent to the excessive pressure flows into the by-pass pipe 25 and the increased pressure in the gas mixing pipe 12 is lowered, resulting in a constant pressure detected at the pressure gauge 21.
According to this embodiment as described above, by disposing the reactive gas by-pass pipe 27 connecting the gas mixing pipe 12 to the by-pass pipe 25, and by controlling the by-pass gas adjusting MFC 26 disposed in the way of the reactive gas by-pass pipe 27 with the control circuit 22a, so that the pressure detected at the pressure gauge 21 may be constant, even if there are differences in preciseness between the MFCs, the gas is not retarded between the MFCs, because the excessive reactive gas flows into the by-pass pipe 25 through the reactive gas by-pass pipe 27.
Third Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a third embodiment of the present invention.
While, in the second embodiment, the reactive gas bypass pipe 27 is connected to the gas mixing pipe 12 in the vicinity of the gas distributing pipes 13a to 13c, as illustrated in Figure 3, in this third embodiment, an end of a carrier gas by-pass pipe 27a is connected to the gas mixing pipe 12 in the vicinity of the MFC 23 supplying the carrier gas. In this construction, when the by-pass gas adjusting MFC 26 disposed in the way of the carrier gas bypass pipe 27a is adjusting the flow rate of the gas, only the carrier gas is exhausted to the exhaust outlet 8. This makes no change in the supply flow rates of the material gases to the reaction tube 3 occur, suppressing the change in the composition of the grown crystal.
Fourth Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a forth embodiment of the present invention.
In this fourth embodiment, by controlling the MFC connected to the gas mixing pipe, the increased pressure in the gas mixing pipe is lowered, preventing the gas from retarding between the MFCs. More particularly, in Figure 4, a control circuit 22b controls the MFC 23 so that the detected pressure may be constant, receiving an output from the pressure gauge 21 placed in the way of the gas mixing pipe 12.
Next, description is given of the operations.
Similarly to the above-described embodiments, when the actual flow rates of the reactive gas supplied by the MFCs 10a, 10c and 23 is larger than the actual total flow rates of the reactive gases flowing through the MFCs 14a to 14c, the mixed material gas is retarded in the gas mixing pipe 12 and the pressure in the pipe 12 is increased, which is detected by the pressure gauge 21. Then, when the detected result is input into the control circuit 22b, the control circuit 22b decreases the flow rate of the carrier gas flowing in the MFC 23 connected to the gas mixing pipe 12, which constantly supplies carrier gas H2, according to the increased pressure. As a result, the increased pressure in the gas mixing pipe 12 is lowered, obtaining a constant pressure detected by the pressure gauge 21.On the other hand, when the pressure detected by the pressure gauge 21 is smaller than the predetermined value, the control circuit 22b increases the flow rate flowing in the MFC 23 so that the pressure in the gas mixing pipe 12 is increased to be a predetermined pressure.
According to this fourth embodiment as described above, by controlling the MFC 23 by the control circuit 22b so that the pressure in the gas mixing pipe 12 detected by the pressure gauge 21 may be constant, the material gas is not retarded between the MFCs even if there are differences in preciseness therebetween.
Fifth Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a fifth embodiment of the present invention.
While in the fourth embodiment the pressure in the gas mixing pipe 12 is detected and the MFC 23 is directly controlled by the control circuit 22b, in this fifth embodiment illustrated in Figure 5, a plurality of MFCs 23a and 23b for supplying carrier gas are disposed in the way of the gas mixing pipe 12, and the MFC 23b as specific one among them is controlled by the control circuit 22b. Here, the MFC 23b, differently from the other MFCs, must have a capacitance enough to control the supply flow rate of the carrier gas so that the pressure in the gas mixing pipe 12 may be constant when retardation occurs in the gas mixing pipe 12.
Thus, by adjusting the flow rate of the carrier gas by the MFC 23b of a large capacitance in order to control the pressure in the gas mixing pipe 12, retardation between the MFCs is solved with good responsibility.
While in this fifth embodiment two MFCs 23a and 23b are employed so as to supply the carrier gas to the gas mixing pipe 12, two or more MFCs are employed and a plurality of MFCs for adjusting the flow rate of the carrier gas are employed.
Sixth Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a sixth embodiment of the present invention.
While, in the above-described fourth and fifth embodiments, the increased pressure in the gas mixing pipe 12 is lowered by controlling the MFC for constantly supplying the carrier gas to the gas mixing pipe 12, in this embodiment, by controlling the MFCs connected to the bubbling apparatuses connected to the material gas supply pipes, retardation of the gas between the MFCs is prevented.
In Figure 6, a control circuit 22c controls the MFCs 10a to 10c, receiving an output from the pressure gauge 21.
Next, description is given of the operations.
Similarly to the above-described embodiments, when the actual flow rate of the reactive gas supplied by the MFCs 10a, 10c and 23 is larger than the actual total flow rates of the reactive gases flowing through the MFCs 14a to 14c, the mixed material gas is retarded in the gas mixing pipe 12 and the pressure in the pipe 12 is increased, which is detected by the pressure gauge 21. Then, when the detected result is input into the control circuit 22c, the control circuit 22c decreases the flow rates flowing in the MFCs 10a to 10c according to the increased pressure, which constantly adjusts the flow rates of carrier gas H2 for generating the respective material gases. As a result, the increased pressure in the gas mixing pipe 12 is lowered, obtaining a constant pressure detected by the pressure gauge 21.On the other hand, when the pressure detected by the pressure gauge 21 is smaller than the predetermined value, the control circuit 22c increases the flow rates flowing in the MFCs 10a to 10c so that the pressure in the gas mixing pipe 12 is increased to be a predetermined pressure.
According to this sixth embodiment as described above, by controlling the MFCs 10a to 10c by the control circuit 22c, which supply carrier gas for generating the material gas, so that the pressure in the gas mixing pipe 12 detected by the pressure gauge 21 may be constant, the gas is not retarded between the MFCs even if there are differences in preciseness therebetween.
Seventh Embodiment Description will be given of an apparatus for producing a compound semiconductor device in accordance with a seventh embodiment of the present invention.
While retardation between the MFCs is solved in the above-described embodiments, the uniformity in the layer thickness, the composition, and the impurity addition amount of the crystal layer grown on the whole surface of the wafer is obtained in this seventh embodiment.
In Figure 7, MFCs 40a and 40b for supplying V-group gas are connected to the reaction tube 3 via a gas mixing pipe 12b. For example, arsine (AsH3) is supplied from a bomb 100a which is connected to the MFC 40a. MFCs 41a and 41b respectively supply the impurity gas to the reaction tube 3 via impurity gas supply pipes 43a and 43b which are separated from each other. An MFC 42 supplies the carrier gas H2 to a gas mixing pipe 12b and the impurity gas supply pipes 43a and 43b via a pipe 44. Here, the bubbling apparatuses 18a and 18b supply Ill-group gases (trimethylgallium, trimethylaluminium) and the bubbling apparatus 18c is not used.Thus, in this seventh embodiment, there are separately provided a gas mixing pipe 12a for supplying the III-group gases to the reaction tube 3 and the gas mixing pipe 12b for supplying the V-group gas (AsH3), and further there are separately disposed the impurity gas supply pipes 43a and 43b and the gas mixing pipes 12a and 12b.
Description will be given of a crystal growth method performed employing the above-described apparatus.
The carrier gas H2 is introduced into the bubbling apparatuses 10a to 10c filled with the II I-group material, which are disposed before the gas mixing pipe 12a, the material is vaporized, and introduced into the reaction tube 3 through the gas mixing pipe 12a. The V-group gas filled in a bomb is mixed with H2 gas sent from the pipe 44 inside the gas mixing pipe 12b and introduced into the reaction tube 3. Over the surface of the wafer 2, the III-group gas and the V-group gas respectively introduced through gas distributing pipes 45a to 45c and 46a to 46c into which ends of the gas mixing pipes 12a and 12b are respectively branched, are blown, and the crystal is grown on the surface of the wafer 2.
Here, in order to make the thickness and the composition uniform, it is necessary to set the respective distribution ratios for the Ill-group gas and the V-group gas with considering the respective decomposition coefficients of the Ill-group and V-group material, respectively. The layer thickness of the crystal mainly depends on the layer thickness distribution of the boundarylayer and the concentration distribution of the Ill-group material in the boundary-layer, and the composition depends on the concentration distribution of the V-group material in the boundary-layer and its decomposition coefficient.
Therefore, the distribution ratio of the Ill-group gas and that of the V-group gas are adjusted with considering the above-described, thereby resulting in the crystal having the uniformity in the layer thickness and composition.
In this apparatus, the concentration of the Ill-group gas is made uniform by adjusting the distribution ratios of the Ill-group gas with needle valves 29 disposed in the way of the gas distributing pipes 45a to 45c at downstream of the gas mixing pipe 12a, and the concentration of the Vgroup gas is made uniform by adjusting the distribution ratios of the V-group gas with the needle valves 29 disposed in the way of the gas distributing pipes 46a to 46c at downstream of the gas mixing pipe 12b.In addition, considering that the thickness of the boundary-layer depends on the gas flow rates supplied from the respective gas distributing pipes, the III-group gas and the V-group gas are adjusted respectively so as to have a predetermined gas flow rate and a predetermined distribution ratio, resulting in the uniformity in the layer thickness and the composition of the crystal layer.
Further, the impurity concentration in the crystal depends on the impurity concentration in the gas phase and the ratio of concentration between the impurity and the element constituting the crystal in the gas phase, and in order to make the impurity concentration in the crystal uniform, it is necessary to control the impurity concentration in the gas phase and the distribution of the partial pressure for each impurity.
For example, as for the impurity such as silane (SiH4) which is taken in into the III-group side, supposing that SiH4 is supplied from the pipe 43a, the impurity concentration in the crystal depends on the proportion of SiH4 gas to the Ill-group gas in the gas phase, i.e., SiH4/III-group gas ratio. Therefore, in order to obtain the uniformity of the Si concentration in the crystal, the distribution ratios of the SiH4 gas in distributing pipes 50a to 50c for supplying SiH4 are to be adjusted so that the distribution of the SiH4/III-group gas ratio should be uniform.On the other hand, as for the impurity taken in into the V-group side such as hydrogen sulfide (H2S) or hydrogen selenide (H2Se), supposing that such impurity is supplied from the pipe 43b, the impurity concentration in the crystal depends on the proportion of H2S gas or H2Se to V-group gas in the gas phase, i.e., H2S/V-group or H2Se/Vgroup gas ratio. Therefore, in order to obtain the uniformity of the sulfer (S) or selenium (Se) concentration in the crystal, the distribution ratios of the H2S gas or H2Se gas in distributing pipes 51a to 51c for supplying H2S or H2Se are to be adjusted so that the distribution of the proportion of H2S/V-group or H2Se/V-group gas ratio should be uniform.
In this seventh embodiment as described above, since the Ill-group gas and the V-group gas are respectively introduced into the reaction tube 3 using the gas mixing pipes 12a and 12b which are separated from each other, the distribution ratios of respective gases are set considering the decomposition coefficient of the crystal material.
Therefore, even when the crystal growth is carried out on a number of large sized substrates, for example, 6 or more 2inch wafers, or 3 or more 3-inch wafers, employing a susceptor of a diameter of 20cm and more, the crystal having a sufficient uniformity on the entire substrate in its layer thickness and its composition iS obtained. Since the impurity gas supply pipes 43a and 43b are separately disposed with the gas mixing pipes 12a and 12b, the concentration in the gas phase and the concentration ratio between the impurity gas and the reactive gas introduced from the gas mixing pipes 12a and 12b are easily controlled, resulting in the cryStal having the uniformity in the impurity concentration.
While description is given of the atmospheric chemical vapor deposition apparatus in the embodiments, the present invention is applied to other deposition apparatuses such as lower pressure chemical vapor deposition apparatus.
While the by-passed flow rate of the reactive gas is controlled by the MFC 26 in the second embodiment, a gate mechanism such as electromagnetic valve or needle valve is employed in place of the MFC 26.
While in the above-described embodiments description is given of the case where a GaAs crystal and a AlGaAs crystal are successively laminated on the wafers, the same effect is obtained in a case where, by supplying nitrogen as carrier gas and silane or phosphine as material gas, there is grown phosphorus silicate glass film or the like, or in a case where there is grown crystal such as GaInP, AlGaInP, InGaAs, GaInAsP, Alias, AlGaInAs, or AlGaInAsP.
While in the above-described embodiments two series of pipes comprising the gas mixing pipe 12 supplying the material gases to the reaction tube 3 and the by-pass pipe 25 constantly by-passing and exhausting the material gas to the exhaust outlet 8 are employed, the by-pass pipe 25 is not always required to provide. In such a case, the reactive gas by-pass pipe 27 must be directly connected to the exhaust outlet 8 in the second embodiment.
Eighth Embodiment Description will be given of a container of metal organic material in accordance with an eighth embodiment of the present invention.
In Figure 13, reference numeral 56 designates a first mass flow controller (MFC) to be a first flow rate control gauge. A second MFC 59 to be a second flow rate control gauge is disposed at downstream of a second gas exhausting pipe 58.
Next, description will be given of the operations.
For example, when a metal organic material gas of 3 cc per minute is to be obtained, a carrier gas, for example H2 gas of 30 Çc per minute is introduced through a carrier gas introducing pipe 51 to a cylinder 53 by the first MFC 56.
By this introduced carrier gas passing through metal organic material 54, bubbles 55 are generated, and a carrier gas saturated with steam from the metal organic material 54, i.e., a material gas is exhausted from first and second gas exhausting pipes 52 and 58. At this time, the flow rate of the second MFC 59 is set at 3 cc/min and the pressure of a pressure controller 57 is set at 760 torr. As a result, the material gas of 3 cc/min stably flows to the downstream of the second MFC 59, while the material gas of 27 cc/min stably flows to the downstream of the pressure controller 57.
Thus, in this embodiment, the second gas exhausting pipe 58 is disposed at the cylinder 53 and desired small amount of material gas is obtained from the second gas exhausting pipe 58. Therefore, even when a small amount of reactive gas is to be obtained, the continuous bubbles 55 are generated from the sufficient amount of carrier gas, thereby obtaining the material gas having a constant amount of steam.
Ninth Embodiment Description will be given of a container of metal organic material in accordance with a ninth embodiment of the present invention.
In Figure 14, a carrier gas introducing pipe 51b is inserted into a carrier gas introducing pipe 60 having a sliding mechanism, and a gas exhausting pipe 52 is inserted into a gas exhausting pipe 61 having a sliding mechanism.
These pipes 60 and 61 are fixed at a partition plate 63 attached to a hollow suspense 62 so that respective ends of the pipes 60 and 61 may keep heights of hl and h2 from the liquid level by a liquid level maintaining mechanism comprising the suspense 62 and the partition plate 63. It is preferred to use a material for the hollow suspense 62, which is not corroded by the metal organic material 54.
Next, description will be given of the operations.
A prescribed amount of a carrier gas, for example, H2 gas is introduced by the MFC 56 through a carrier gas introducing pipe 51b to the carrier gas introducing pipe 60 having the sliding mechanism by the MFC 56. At this time, because the carrier gas introducing pipe 60 having the sliding mechanism is not immersed in the metal organic material 54, H2 gas does not pass through the metal organic material 54, takes in saturated steam existing at the surface of the metal organic material 54 in an equilibrium state without generating bubbles, and is exhausted from the cylinder 53 through the gas exhausting pipe 61 having the sliding mechanism and the gas exhausting pipe 52.At this time, while the liquid level is gradually getting lower according as the metal organic material 54 is consumed, the distance between the hollow suspense 62 which floats on the liquid level of the metal organic material 54 and the partition plate 63 is always constant, the height h2 between the gas exhausting pipe 61 having the sliding mechanism and the liquid level is kept constant, and the amount of steam from the metal organic material taken in into the gas exhausting pipe 61 does not vary even with the consumption of the material, whereby a desired amount of material gas is stably taken out.
Thus, in this embodiment, since the gas exhausting pipe 61 having the sliding mechanism, which is fixed at the hollow suspense 62 which can float on the liquid level of the metal organic material 54, is provided at an end of the gas exhausting pipe 52, regardless of the change of the liquid level with the consumption of the material, the distance between the end of the pipe 61 and the liquid level of the metal organic material 54 is always kept at height h2, thereby obtaining the material gas having a constant amount of steam from the material.
While the pressure controller 57 is provided at the downstream of the first gas exhausting pipe 52 in the abovedescribed eighth embodiment, a mass flow controller as well as a mass flow adjusting gauge such as needle valve can be used.
As described above, in an apparatus for producing a semiconductor device in accordance with the present invention, by controlling a first or a second flow rate adjusting means of a gas mixing pipe or a carrier gas adjusting means so that the detected pressure in the gas mixing pipe may be constant, exhausting a portion of a reactive gas in the gas mixing pipe outside, retardation of the gases between the first and the second flow rate adjusting means is prevented, increasing uniformity in the layer thickness of the crystals.
Further, by supplying the respective material gases as constituents of the crystal through the pipes of different systems for respective natures of the material gas and disposing the flow rate adjusting means in the respective pipes, the distribution ratio of each material gas is set with considering the decomposition coefficients of respective materials, thereby resulting in a crystal superior in the uniformity in the layer thickness and composition. This increases the characteristics of the semiconductor device, the production yield and the mass producibility in the manufacture thereof.
In addition, by introducing the gas for adding the impurity to the reaction tube employing the pipes which are separated from each other, even in the crystal growth of a number of large sized wafer8, the crystal having a high uniformity in impurity concentration is produced, thereby increasing the characteristics of the semiconductor device, and the production yield and the mass producibility in the manufacture thereof.
Further, by using the container of metal organic material of the present invention, a pipe for exhausting material gas is employed in plurality or a distance between an end of the material gas exhausting pipe and the liquid level of the metal organic material is kept constant, quite a small amount of metal organic material steam is stably supplied. As a result, the speed of crystal growth is extremely slowed down, and the crystal growth control at a level of atomic layer is performed and minute mixed crystal ratio, i.e., crystal composition is easily controlled in the crystal growth of mixed system crystal, for example, AlGaAs.

Claims (3)

1. An apparatus for producing a compound semiconductor device wherein material gases each including a component element of a compound semiconductor crystal are introduced into a reaction tube and crystal growth of a compound is carried out on wafers disposed in said reaction tube including: material gas supply pipes which are separated from each other for every group of said component element included in said material gases; and flow rate adjusting means for adjusting the flow rates of said material gases flowing through said material gas supply pipes.
2. The apparatus for producing a compound semiconductor device of Claim 1, wherein a pipe for supplying a carrier gas is connected to said impurity gas supply pipes.
3. Apparatus for producing a compound semiconductor device, substantially as hereinbefore described with reference to Figure 7 of the accompanying drawings.
GB9608084A 1992-11-20 1993-11-08 Apparatus for producing compound semiconductor devices Expired - Fee Related GB2298087B (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP33504992 1992-11-20
JP2312193 1993-02-12
JP5071381A JPH06295862A (en) 1992-11-20 1993-03-30 Compound semiconductor fabrication system and organic metal material vessel
GB9322940A GB2273391B (en) 1992-11-20 1993-11-08 Apparatus for producing compound semiconductor devices

Publications (3)

Publication Number Publication Date
GB9608084D0 GB9608084D0 (en) 1996-06-19
GB2298087A true GB2298087A (en) 1996-08-21
GB2298087B GB2298087B (en) 1997-01-15

Family

ID=27451081

Family Applications (1)

Application Number Title Priority Date Filing Date
GB9608084A Expired - Fee Related GB2298087B (en) 1992-11-20 1993-11-08 Apparatus for producing compound semiconductor devices

Country Status (1)

Country Link
GB (1) GB2298087B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348417B1 (en) 1998-04-03 2002-02-19 Nec Corporation Semiconductor device manufacturing apparatus and semiconductor device manufacturing method

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987000965A1 (en) * 1985-08-09 1987-02-12 Stauffer Chemical Company Method and apparatus for the chemical vapor deposition of iii-v semiconductors utilizing organometallic and elemental pnictide sources
EP0228910A1 (en) * 1985-12-28 1987-07-15 Canon Kabushiki Kaisha Apparatus for forming deposited film
GB2248456A (en) * 1990-09-12 1992-04-08 Philips Electronic Associated A method of growing III-V compound semiconductor material on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1987000965A1 (en) * 1985-08-09 1987-02-12 Stauffer Chemical Company Method and apparatus for the chemical vapor deposition of iii-v semiconductors utilizing organometallic and elemental pnictide sources
EP0228910A1 (en) * 1985-12-28 1987-07-15 Canon Kabushiki Kaisha Apparatus for forming deposited film
GB2248456A (en) * 1990-09-12 1992-04-08 Philips Electronic Associated A method of growing III-V compound semiconductor material on a substrate

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6348417B1 (en) 1998-04-03 2002-02-19 Nec Corporation Semiconductor device manufacturing apparatus and semiconductor device manufacturing method
US6391116B2 (en) 1998-04-03 2002-05-21 Nec Corporation Semiconductor device manufacturing apparatus and semiconductor device manufacturing method

Also Published As

Publication number Publication date
GB9608084D0 (en) 1996-06-19
GB2298087B (en) 1997-01-15

Similar Documents

Publication Publication Date Title
US5496408A (en) Apparatus for producing compound semiconductor devices
JP7440217B2 (en) Gas distribution system and reactor system equipped with same
US4783343A (en) Method for supplying metal organic gas and an apparatus for realizing same
US11680318B2 (en) Vapor delivery device, methods of manufacture and methods of use thereof
US4911101A (en) Metal organic molecular beam epitaxy (MOMBE) apparatus
KR920010690B1 (en) Metal organic chemical vapor deposition apparatus and its method
US7846499B2 (en) Method of pulsing vapor precursors in an ALD reactor
US4916089A (en) Process for the epitaxial production of semiconductor stock material
KR20070120462A (en) Metal-organic vaporizing and feeding apparatus, metal-organic chemical vapor deposition apparatus, metal-organic chemical vapor deposition method, gas flow rate regulator, semiconductor manufacturing apparatus, and semiconductor manufacturing method
CN111394789A (en) Gas inlet structure, gas inlet method and gas inlet equipment of chemical vapor deposition equipment
JPH01140712A (en) Cvd system
EP0196170B1 (en) Organic metallic compound pyrolysis vapor growth apparatus
GB2298087A (en) Apparatus for producing compound semiconductor devices.
CA1325160C (en) Apparatus for producing compound semiconductor
JPH0963965A (en) Organic metal feeding device and organic metal vapor growth device
US20210324517A1 (en) Semiconductor manufacturing device
JP2567309B2 (en) Metalorganic vapor phase growth equipment
WO2018143839A1 (en) Method of feeding gases into a reactor to grow epitaxial structures based on group iii nitride metals and a device for carrying out said method
JPS6390121A (en) Vapor phase crystal growth system
JPS5922120Y2 (en) Vapor phase growth equipment
JPS61263119A (en) Producing equipment of semiconductor by vapor growth
JPH08288226A (en) Metal organic vapor growth apparatus
JPS61229321A (en) Vapor growth method
JPH06314658A (en) Vapor growing apparatus
JPH0243721A (en) Semiconductor manufacturing device

Legal Events

Date Code Title Description
PCNP Patent ceased through non-payment of renewal fee

Effective date: 20001108